blob: 2d33bd1cb419a098fdee3059edbee5639df07b8b [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_proj_example ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 250000 250000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 519 BY 1 STEP 460 0 ;
TRACKS X 230 DO 543 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER li1 ;
TRACKS X 170 DO 735 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER met1 ;
TRACKS X 230 DO 543 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 543 STEP 460 LAYER met2 ;
TRACKS X 340 DO 368 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 368 STEP 680 LAYER met3 ;
TRACKS X 460 DO 272 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 272 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 74 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 74 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 36 STEP 6900 ;
GCELLGRID Y 0 DO 37 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 6804 ;
- ANTENNA__102__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 152720 206720 ) FN ;
- ANTENNA__102__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 153640 204000 ) S ;
- ANTENNA__103__A sky130_fd_sc_hd__diode_2 + PLACED ( 155940 212160 ) FN ;
- ANTENNA__120__A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 209440 ) FS ;
- ANTENNA__121__A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 212160 ) FN ;
- ANTENNA__123__A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 212160 ) N ;
- ANTENNA__124__A sky130_fd_sc_hd__diode_2 + PLACED ( 50600 209440 ) FS ;
- ANTENNA__126__A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 212160 ) N ;
- ANTENNA__127__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 159620 217600 ) N ;
- ANTENNA__128__A sky130_fd_sc_hd__diode_2 + PLACED ( 170660 214880 ) S ;
- ANTENNA__129__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 175260 214880 ) FS ;
- ANTENNA__130__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 176640 225760 ) FS ;
- ANTENNA__131__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 175720 223040 ) N ;
- ANTENNA__132__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 173880 220320 ) S ;
- ANTENNA__134__A sky130_fd_sc_hd__diode_2 + PLACED ( 136160 217600 ) N ;
- ANTENNA__135__A sky130_fd_sc_hd__diode_2 + PLACED ( 148120 220320 ) FS ;
- ANTENNA__136__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 161000 223040 ) N ;
- ANTENNA__137__A sky130_fd_sc_hd__diode_2 + PLACED ( 41400 217600 ) FN ;
- ANTENNA__139__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 151340 225760 ) FS ;
- ANTENNA__140__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 151340 217600 ) N ;
- ANTENNA__141__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 138460 223040 ) N ;
- ANTENNA__142__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 147660 217600 ) FN ;
- ANTENNA__143__A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 220320 ) FS ;
- ANTENNA__145__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 120980 220320 ) S ;
- ANTENNA__147__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 120980 209440 ) FS ;
- ANTENNA__148__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 118680 223040 ) N ;
- ANTENNA__149__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 112700 217600 ) FN ;
- ANTENNA__150__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 110860 225760 ) FS ;
- ANTENNA__151__A sky130_fd_sc_hd__diode_2 + PLACED ( 94300 220320 ) FS ;
- ANTENNA__153__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 102580 217600 ) N ;
- ANTENNA__155__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 100740 209440 ) FS ;
- ANTENNA__156__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 86940 223040 ) N ;
- ANTENNA__157__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 85100 212160 ) FN ;
- ANTENNA__158__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 83260 220320 ) S ;
- ANTENNA__159__A sky130_fd_sc_hd__diode_2 + PLACED ( 68540 220320 ) FS ;
- ANTENNA__161__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 74060 223040 ) N ;
- ANTENNA__163__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 66700 223040 ) N ;
- ANTENNA__164__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 63480 220320 ) S ;
- ANTENNA__165__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 56120 223040 ) N ;
- ANTENNA__166__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 54280 220320 ) FS ;
- ANTENNA__167__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 223040 ) FN ;
- ANTENNA__169__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 41400 223040 ) N ;
- ANTENNA__171__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 209440 ) FS ;
- ANTENNA__172__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 31280 223040 ) N ;
- ANTENNA__173__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 21160 212160 ) FN ;
- ANTENNA__174__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 21620 223040 ) N ;
- ANTENNA__175__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 223040 ) FN ;
- ANTENNA__175__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 18400 220320 ) S ;
- ANTENNA__175__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 21160 220320 ) FS ;
- ANTENNA__176__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 20700 209440 ) FS ;
- ANTENNA__176__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 8740 212160 ) FN ;
- ANTENNA__176__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 206720 ) FN ;
- ANTENNA__176__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 206720 ) FN ;
- ANTENNA__177__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 217600 ) N ;
- ANTENNA__177__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 8740 214880 ) S ;
- ANTENNA__177__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 220320 ) S ;
- ANTENNA__177__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 21620 217600 ) N ;
- ANTENNA__178__C sky130_fd_sc_hd__diode_2 + PLACED ( 18400 19040 ) FS ;
- ANTENNA__181__A sky130_fd_sc_hd__diode_2 + PLACED ( 221260 38080 ) FN ;
- ANTENNA__185__A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 35360 ) FS ;
- ANTENNA__188__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 213440 24480 ) S ;
- ANTENNA__189__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 215280 27200 ) FN ;
- ANTENNA__190__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 38080 ) N ;
- ANTENNA__191__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 209760 21760 ) FN ;
- ANTENNA__192__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 208840 13600 ) S ;
- ANTENNA__195__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 207000 21760 ) FN ;
- ANTENNA__196__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 218500 32640 ) FN ;
- ANTENNA__197__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 225400 38080 ) FN ;
- ANTENNA__198__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 215740 29920 ) S ;
- ANTENNA__199__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228160 43520 ) FN ;
- ANTENNA__202__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 225400 43520 ) FN ;
- ANTENNA__203__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 223100 40800 ) S ;
- ANTENNA__204__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 46240 ) S ;
- ANTENNA__205__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 48960 ) FN ;
- ANTENNA__206__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 223560 46240 ) S ;
- ANTENNA__209__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228160 54400 ) FN ;
- ANTENNA__210__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 59840 ) FN ;
- ANTENNA__211__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 57120 ) S ;
- ANTENNA__212__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 65280 ) FN ;
- ANTENNA__213__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 62560 ) S ;
- ANTENNA__216__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 68000 ) S ;
- ANTENNA__217__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 76160 ) FN ;
- ANTENNA__218__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 223560 68000 ) S ;
- ANTENNA__219__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 223100 73440 ) S ;
- ANTENNA__220__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 81600 ) FN ;
- ANTENNA__223__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 87040 ) FN ;
- ANTENNA__224__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 84320 ) S ;
- ANTENNA__225__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 228620 92480 ) FN ;
- ANTENNA__226__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 89760 ) S ;
- ANTENNA__227__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 234600 100640 ) S ;
- ANTENNA__228__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 95200 ) S ;
- ANTENNA__229__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 100640 ) FS ;
- ANTENNA__230__C sky130_fd_sc_hd__diode_2 + PLACED ( 54280 24480 ) FS ;
- ANTENNA__232__D sky130_fd_sc_hd__diode_2 + PLACED ( 46000 13600 ) S ;
- ANTENNA__234__B sky130_fd_sc_hd__diode_2 + PLACED ( 61640 27200 ) N ;
- ANTENNA__367__A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 217600 ) FN ;
- ANTENNA__368__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 40800 ) FS ;
- ANTENNA__369__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 228480 ) FN ;
- ANTENNA__370__A sky130_fd_sc_hd__diode_2 + PLACED ( 11960 43520 ) N ;
- ANTENNA__371__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 68000 ) FS ;
- ANTENNA__372__A sky130_fd_sc_hd__diode_2 + PLACED ( 36800 220320 ) FS ;
- ANTENNA__373__A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 95200 ) FS ;
- ANTENNA__374__A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 122400 ) FS ;
- ANTENNA__375__A sky130_fd_sc_hd__diode_2 + PLACED ( 53360 223040 ) FN ;
- ANTENNA__376__A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 231200 ) FS ;
- ANTENNA__377__A sky130_fd_sc_hd__diode_2 + PLACED ( 66700 13600 ) FS ;
- ANTENNA__378__A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 231200 ) FS ;
- ANTENNA__379__A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 225760 ) FS ;
- ANTENNA__380__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 152320 ) N ;
- ANTENNA__381__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 10880 ) FN ;
- ANTENNA__382__A sky130_fd_sc_hd__diode_2 + PLACED ( 100740 225760 ) S ;
- ANTENNA__383__A sky130_fd_sc_hd__diode_2 + PLACED ( 99820 19040 ) FS ;
- ANTENNA__384__A sky130_fd_sc_hd__diode_2 + PLACED ( 109940 19040 ) FS ;
- ANTENNA__385__A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 231200 ) FS ;
- ANTENNA__386__A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 16320 ) N ;
- ANTENNA__387__A sky130_fd_sc_hd__diode_2 + PLACED ( 135700 225760 ) FS ;
- ANTENNA__388__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 179520 ) N ;
- ANTENNA__389__A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 206720 ) N ;
- ANTENNA__390__A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 10880 ) N ;
- ANTENNA__391__A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 233920 ) FN ;
- ANTENNA__392__A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 233920 ) FN ;
- ANTENNA__393__A sky130_fd_sc_hd__diode_2 + PLACED ( 224940 223040 ) N ;
- ANTENNA__394__A sky130_fd_sc_hd__diode_2 + PLACED ( 173880 228480 ) N ;
- ANTENNA__395__A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 13600 ) S ;
- ANTENNA__396__A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 231200 ) S ;
- ANTENNA__397__A sky130_fd_sc_hd__diode_2 + PLACED ( 198260 225760 ) FS ;
- ANTENNA__398__A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 223040 ) FN ;
- ANTENNA__399__A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 220320 ) FS ;
- ANTENNA__400__A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 220320 ) FS ;
- ANTENNA__401__A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 223040 ) N ;
- ANTENNA__402__A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 225760 ) FS ;
- ANTENNA__403__A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 231200 ) FS ;
- ANTENNA__404__A sky130_fd_sc_hd__diode_2 + PLACED ( 46460 231200 ) FS ;
- ANTENNA__405__A sky130_fd_sc_hd__diode_2 + PLACED ( 38640 223040 ) N ;
- ANTENNA__406__A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 223040 ) N ;
- ANTENNA__407__A sky130_fd_sc_hd__diode_2 + PLACED ( 62560 223040 ) N ;
- ANTENNA__408__A sky130_fd_sc_hd__diode_2 + PLACED ( 71300 231200 ) FS ;
- ANTENNA__409__A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 225760 ) FS ;
- ANTENNA__410__A sky130_fd_sc_hd__diode_2 + PLACED ( 74980 220320 ) FS ;
- ANTENNA__411__A sky130_fd_sc_hd__diode_2 + PLACED ( 80500 223040 ) N ;
- ANTENNA__412__A sky130_fd_sc_hd__diode_2 + PLACED ( 90160 220320 ) FS ;
- ANTENNA__413__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 223040 ) N ;
- ANTENNA__414__A sky130_fd_sc_hd__diode_2 + PLACED ( 104880 228480 ) N ;
- ANTENNA__415__A sky130_fd_sc_hd__diode_2 + PLACED ( 103500 225760 ) FS ;
- ANTENNA__416__A sky130_fd_sc_hd__diode_2 + PLACED ( 110860 223040 ) N ;
- ANTENNA__417__A sky130_fd_sc_hd__diode_2 + PLACED ( 125120 223040 ) N ;
- ANTENNA__418__A sky130_fd_sc_hd__diode_2 + PLACED ( 127880 223040 ) N ;
- ANTENNA__419__A sky130_fd_sc_hd__diode_2 + PLACED ( 130640 225760 ) FS ;
- ANTENNA__420__A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 223040 ) N ;
- ANTENNA__421__A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 223040 ) N ;
- ANTENNA__422__A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 225760 ) FS ;
- ANTENNA__423__A sky130_fd_sc_hd__diode_2 + PLACED ( 163300 225760 ) FS ;
- ANTENNA__424__A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 228480 ) N ;
- ANTENNA__425__A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 223040 ) N ;
- ANTENNA__426__A sky130_fd_sc_hd__diode_2 + PLACED ( 170660 220320 ) FS ;
- ANTENNA__427__A sky130_fd_sc_hd__diode_2 + PLACED ( 189060 228480 ) N ;
- ANTENNA__428__A sky130_fd_sc_hd__diode_2 + PLACED ( 191820 228480 ) N ;
- ANTENNA__429__A sky130_fd_sc_hd__diode_2 + PLACED ( 195500 225760 ) FS ;
- ANTENNA__430__A sky130_fd_sc_hd__diode_2 + PLACED ( 194580 223040 ) N ;
- ANTENNA__431__A sky130_fd_sc_hd__diode_2 + PLACED ( 204700 225760 ) FS ;
- ANTENNA__432__A sky130_fd_sc_hd__diode_2 + PLACED ( 211600 228480 ) N ;
- ANTENNA__433__A sky130_fd_sc_hd__diode_2 + PLACED ( 217580 228480 ) N ;
- ANTENNA__434__A sky130_fd_sc_hd__diode_2 + PLACED ( 219420 225760 ) FS ;
- ANTENNA__440__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 139380 19040 ) S ;
- ANTENNA__441__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 147660 21760 ) N ;
- ANTENNA__442__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 38640 13600 ) S ;
- ANTENNA__443__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 23920 220320 ) FS ;
- ANTENNA__444__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 23460 209440 ) FS ;
- ANTENNA__445__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 27140 223040 ) N ;
- ANTENNA__446__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 34040 223040 ) N ;
- ANTENNA__447__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 29440 217600 ) N ;
- ANTENNA__448__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 50600 233920 ) FN ;
- ANTENNA__449__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 40940 209440 ) FS ;
- ANTENNA__450__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 63020 233920 ) FN ;
- ANTENNA__451__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 59800 212160 ) N ;
- ANTENNA__452__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 75440 233920 ) FN ;
- ANTENNA__453__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 74520 214880 ) FS ;
- ANTENNA__454__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 70380 217600 ) N ;
- ANTENNA__455__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 78660 220320 ) FS ;
- ANTENNA__456__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 80040 214880 ) FS ;
- ANTENNA__458__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 98900 223040 ) FN ;
- ANTENNA_clkbuf_0_clk_A sky130_fd_sc_hd__diode_2 + PLACED ( 110400 125120 ) FN ;
- ANTENNA_input100_A sky130_fd_sc_hd__diode_2 + PLACED ( 20240 40800 ) S ;
- ANTENNA_input101_A sky130_fd_sc_hd__diode_2 + PLACED ( 25300 38080 ) FN ;
- ANTENNA_input102_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) S ;
- ANTENNA_input103_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 24480 ) S ;
- ANTENNA_input104_A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 40800 ) S ;
- ANTENNA_input105_A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 38080 ) FN ;
- ANTENNA_input106_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 38080 ) FN ;
- ANTENNA_input107_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 40800 ) S ;
- ANTENNA_input108_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 38080 ) FN ;
- ANTENNA_input109_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 40800 ) S ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 65280 ) FN ;
- ANTENNA_input110_A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 13600 ) S ;
- ANTENNA_input111_A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 40800 ) S ;
- ANTENNA_input112_A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 40800 ) S ;
- ANTENNA_input113_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 38080 ) FN ;
- ANTENNA_input114_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 46240 ) S ;
- ANTENNA_input115_A sky130_fd_sc_hd__diode_2 + PLACED ( 64400 27200 ) FN ;
- ANTENNA_input116_A sky130_fd_sc_hd__diode_2 + PLACED ( 67620 24480 ) S ;
- ANTENNA_input117_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 38080 ) FN ;
- ANTENNA_input118_A sky130_fd_sc_hd__diode_2 + PLACED ( 73600 21760 ) FN ;
- ANTENNA_input119_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 24480 ) S ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 65280 ) FN ;
- ANTENNA_input120_A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 35360 ) S ;
- ANTENNA_input121_A sky130_fd_sc_hd__diode_2 + PLACED ( 64400 29920 ) S ;
- ANTENNA_input122_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 38080 ) FN ;
- ANTENNA_input123_A sky130_fd_sc_hd__diode_2 + PLACED ( 60720 32640 ) FN ;
- ANTENNA_input124_A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 27200 ) FN ;
- ANTENNA_input125_A sky130_fd_sc_hd__diode_2 + PLACED ( 14720 43520 ) FN ;
- ANTENNA_input126_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 27200 ) FN ;
- ANTENNA_input127_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 24480 ) S ;
- ANTENNA_input128_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 46240 ) S ;
- ANTENNA_input129_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 43520 ) FN ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 212520 27200 ) FN ;
- ANTENNA_input130_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 46240 ) S ;
- ANTENNA_input131_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 43520 ) FN ;
- ANTENNA_input132_A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 46240 ) S ;
- ANTENNA_input133_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 43520 ) FN ;
- ANTENNA_input134_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 46240 ) S ;
- ANTENNA_input135_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 48960 ) FN ;
- ANTENNA_input136_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 48960 ) FN ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 76160 ) FN ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 226780 78880 ) S ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 220340 73440 ) S ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 229080 87040 ) FN ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 224020 78880 ) S ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 81600 ) FN ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 89760 ) S ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 24480 ) S ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 95200 ) S ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 92480 ) FN ;
- ANTENNA_input22_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 103360 ) FN ;
- ANTENNA_input23_A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 29920 ) S ;
- ANTENNA_input24_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 106080 ) S ;
- ANTENNA_input25_A sky130_fd_sc_hd__diode_2 + PLACED ( 230920 103360 ) FN ;
- ANTENNA_input26_A sky130_fd_sc_hd__diode_2 + PLACED ( 209760 27200 ) FN ;
- ANTENNA_input27_A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 19040 ) S ;
- ANTENNA_input28_A sky130_fd_sc_hd__diode_2 + PLACED ( 215740 32640 ) FN ;
- ANTENNA_input29_A sky130_fd_sc_hd__diode_2 + PLACED ( 218500 38080 ) FN ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 32640 ) FN ;
- ANTENNA_input30_A sky130_fd_sc_hd__diode_2 + PLACED ( 207000 27200 ) FN ;
- ANTENNA_input31_A sky130_fd_sc_hd__diode_2 + PLACED ( 213440 35360 ) S ;
- ANTENNA_input32_A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 29920 ) S ;
- ANTENNA_input33_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 108800 ) FN ;
- ANTENNA_input34_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 136000 ) FN ;
- ANTENNA_input35_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 141440 ) FN ;
- ANTENNA_input36_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 144160 ) S ;
- ANTENNA_input37_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 146880 ) FN ;
- ANTENNA_input38_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 152320 ) FN ;
- ANTENNA_input39_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 152320 ) FN ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 230000 51680 ) S ;
- ANTENNA_input40_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 157760 ) FN ;
- ANTENNA_input41_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 160480 ) S ;
- ANTENNA_input42_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 163200 ) FN ;
- ANTENNA_input43_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 168640 ) FN ;
- ANTENNA_input44_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 108800 ) FN ;
- ANTENNA_input45_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 168640 ) FN ;
- ANTENNA_input46_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 174080 ) FN ;
- ANTENNA_input47_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 176800 ) S ;
- ANTENNA_input48_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 179520 ) FN ;
- ANTENNA_input49_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 184960 ) FN ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 220340 40800 ) S ;
- ANTENNA_input50_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 184960 ) FN ;
- ANTENNA_input51_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 190400 ) FN ;
- ANTENNA_input52_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 193120 ) S ;
- ANTENNA_input53_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 195840 ) FN ;
- ANTENNA_input54_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 201280 ) FN ;
- ANTENNA_input55_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 111520 ) S ;
- ANTENNA_input56_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 201280 ) FN ;
- ANTENNA_input57_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 206720 ) FN ;
- ANTENNA_input58_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 114240 ) FN ;
- ANTENNA_input59_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 119680 ) FN ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 227240 51680 ) S ;
- ANTENNA_input60_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 122400 ) S ;
- ANTENNA_input61_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 125120 ) FN ;
- ANTENNA_input62_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 127840 ) S ;
- ANTENNA_input63_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 130560 ) FN ;
- ANTENNA_input64_A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 136000 ) FN ;
- ANTENNA_input65_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 21760 ) FN ;
- ANTENNA_input66_A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 24480 ) S ;
- ANTENNA_input67_A sky130_fd_sc_hd__diode_2 + PLACED ( 145360 24480 ) S ;
- ANTENNA_input68_A sky130_fd_sc_hd__diode_2 + PLACED ( 156400 21760 ) FN ;
- ANTENNA_input69_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 51680 ) S ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 48960 ) FN ;
- ANTENNA_input70_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 51680 ) S ;
- ANTENNA_input71_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 43520 ) FN ;
- ANTENNA_input72_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 46240 ) S ;
- ANTENNA_input73_A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 43520 ) FN ;
- ANTENNA_input74_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 46240 ) S ;
- ANTENNA_input75_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 43520 ) FN ;
- ANTENNA_input76_A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 43520 ) FN ;
- ANTENNA_input77_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 40800 ) S ;
- ANTENNA_input78_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 46240 ) S ;
- ANTENNA_input79_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 40800 ) S ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 57120 ) S ;
- ANTENNA_input80_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 43520 ) FN ;
- ANTENNA_input81_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 48960 ) FN ;
- ANTENNA_input82_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 43520 ) FN ;
- ANTENNA_input83_A sky130_fd_sc_hd__diode_2 + PLACED ( 43700 40800 ) S ;
- ANTENNA_input84_A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 38080 ) FN ;
- ANTENNA_input85_A sky130_fd_sc_hd__diode_2 + PLACED ( 46460 40800 ) S ;
- ANTENNA_input86_A sky130_fd_sc_hd__diode_2 + PLACED ( 49220 40800 ) S ;
- ANTENNA_input87_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 38080 ) FN ;
- ANTENNA_input88_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 35360 ) S ;
- ANTENNA_input89_A sky130_fd_sc_hd__diode_2 + PLACED ( 56580 38080 ) FN ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 62560 ) S ;
- ANTENNA_input90_A sky130_fd_sc_hd__diode_2 + PLACED ( 51980 40800 ) S ;
- ANTENNA_input91_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 35360 ) S ;
- ANTENNA_input92_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 51680 ) S ;
- ANTENNA_input93_A sky130_fd_sc_hd__diode_2 + PLACED ( 63480 32640 ) FN ;
- ANTENNA_input94_A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 29920 ) S ;
- ANTENNA_input95_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 48960 ) FN ;
- ANTENNA_input96_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 48960 ) FN ;
- ANTENNA_input97_A sky130_fd_sc_hd__diode_2 + PLACED ( 15640 51680 ) S ;
- ANTENNA_input98_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 48960 ) FN ;
- ANTENNA_input99_A sky130_fd_sc_hd__diode_2 + PLACED ( 18400 51680 ) S ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 59840 ) FN ;
- ANTENNA_output137_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 209440 ) FS ;
- ANTENNA_output138_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 214880 ) FS ;
- ANTENNA_output139_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 220320 ) FS ;
- ANTENNA_output140_A sky130_fd_sc_hd__diode_2 + PLACED ( 202860 19040 ) S ;
- ANTENNA_output141_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 217600 ) FN ;
- ANTENNA_output142_A sky130_fd_sc_hd__diode_2 + PLACED ( 231840 220320 ) S ;
- ANTENNA_output144_A sky130_fd_sc_hd__diode_2 + PLACED ( 204240 21760 ) FN ;
- ANTENNA_output145_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 217600 ) FN ;
- ANTENNA_output146_A sky130_fd_sc_hd__diode_2 + PLACED ( 206080 24480 ) S ;
- ANTENNA_output147_A sky130_fd_sc_hd__diode_2 + PLACED ( 200100 19040 ) S ;
- ANTENNA_output148_A sky130_fd_sc_hd__diode_2 + PLACED ( 229080 220320 ) S ;
- ANTENNA_output149_A sky130_fd_sc_hd__diode_2 + PLACED ( 201480 21760 ) FN ;
- ANTENNA_output151_A sky130_fd_sc_hd__diode_2 + PLACED ( 230920 217600 ) FN ;
- ANTENNA_output161_A sky130_fd_sc_hd__diode_2 + PLACED ( 226320 220320 ) S ;
- ANTENNA_output166_A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 223040 ) FN ;
- ANTENNA_output169_A sky130_fd_sc_hd__diode_2 + PLACED ( 228160 217600 ) FN ;
- ANTENNA_output170_A sky130_fd_sc_hd__diode_2 + PLACED ( 223560 220320 ) S ;
- ANTENNA_output200_A sky130_fd_sc_hd__diode_2 + PLACED ( 218960 223040 ) N ;
- ANTENNA_output247_A sky130_fd_sc_hd__diode_2 + PLACED ( 8740 54400 ) FN ;
- ANTENNA_output248_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 48960 ) FN ;
- ANTENNA_output249_A sky130_fd_sc_hd__diode_2 + PLACED ( 28980 48960 ) FN ;
- ANTENNA_output250_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 43520 ) FN ;
- ANTENNA_output251_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 48960 ) FN ;
- ANTENNA_output252_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 46240 ) FS ;
- ANTENNA_output253_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 27200 ) N ;
- ANTENNA_output254_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 24480 ) FS ;
- ANTENNA_output255_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 46240 ) FS ;
- ANTENNA_output256_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 46240 ) FS ;
- ANTENNA_output257_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 43520 ) N ;
- ANTENNA_output258_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 54400 ) FN ;
- ANTENNA_output259_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 46240 ) FS ;
- ANTENNA_output260_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 43520 ) N ;
- ANTENNA_output261_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 27200 ) N ;
- ANTENNA_output262_A sky130_fd_sc_hd__diode_2 + PLACED ( 81420 21760 ) N ;
- ANTENNA_output263_A sky130_fd_sc_hd__diode_2 + PLACED ( 66240 32640 ) N ;
- ANTENNA_output264_A sky130_fd_sc_hd__diode_2 + PLACED ( 69920 29920 ) FS ;
- ANTENNA_output265_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 35360 ) FS ;
- ANTENNA_output266_A sky130_fd_sc_hd__diode_2 + PLACED ( 78660 24480 ) FS ;
- ANTENNA_output267_A sky130_fd_sc_hd__diode_2 + PLACED ( 84180 21760 ) N ;
- ANTENNA_output268_A sky130_fd_sc_hd__diode_2 + PLACED ( 72680 29920 ) FS ;
- ANTENNA_output269_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 57120 ) S ;
- ANTENNA_output270_A sky130_fd_sc_hd__diode_2 + PLACED ( 78660 27200 ) N ;
- ANTENNA_output271_A sky130_fd_sc_hd__diode_2 + PLACED ( 75440 29920 ) FS ;
- ANTENNA_output272_A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 51680 ) S ;
- ANTENNA_output273_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 54400 ) FN ;
- ANTENNA_output274_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 54400 ) FN ;
- ANTENNA_output275_A sky130_fd_sc_hd__diode_2 + PLACED ( 23920 51680 ) S ;
- ANTENNA_output276_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 54400 ) FN ;
- ANTENNA_output277_A sky130_fd_sc_hd__diode_2 + PLACED ( 26680 51680 ) S ;
- ANTENNA_output278_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 54400 ) FN ;
- ANTENNA_output279_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 212160 ) FN ;
- FILLER_0_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 10880 ) N ;
- FILLER_0_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 10880 ) N ;
- FILLER_0_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 10880 ) N ;
- FILLER_0_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 10880 ) N ;
- FILLER_0_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 10880 ) N ;
- FILLER_0_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 10880 ) N ;
- FILLER_0_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 10880 ) N ;
- FILLER_0_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 10880 ) N ;
- FILLER_0_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 10880 ) N ;
- FILLER_0_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 10880 ) N ;
- FILLER_0_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 10880 ) N ;
- FILLER_0_186 sky130_fd_sc_hd__decap_4 + PLACED ( 91080 10880 ) N ;
- FILLER_0_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 10880 ) N ;
- FILLER_0_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 10880 ) N ;
- FILLER_0_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 10880 ) N ;
- FILLER_0_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 10880 ) N ;
- FILLER_0_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 10880 ) N ;
- FILLER_0_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 10880 ) N ;
- FILLER_0_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 10880 ) N ;
- FILLER_0_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 10880 ) N ;
- FILLER_0_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 10880 ) N ;
- FILLER_0_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 10880 ) N ;
- FILLER_0_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 10880 ) N ;
- FILLER_0_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 10880 ) N ;
- FILLER_0_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 10880 ) N ;
- FILLER_0_270 sky130_fd_sc_hd__decap_8 + PLACED ( 129720 10880 ) N ;
- FILLER_0_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 10880 ) N ;
- FILLER_0_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 10880 ) N ;
- FILLER_0_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 10880 ) N ;
- FILLER_0_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 10880 ) N ;
- FILLER_0_298 sky130_fd_sc_hd__decap_4 + PLACED ( 142600 10880 ) N ;
- FILLER_0_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 10880 ) N ;
- FILLER_0_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 10880 ) N ;
- FILLER_0_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 10880 ) N ;
- FILLER_0_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 10880 ) N ;
- FILLER_0_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 10880 ) N ;
- FILLER_0_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 10880 ) N ;
- FILLER_0_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 10880 ) N ;
- FILLER_0_347 sky130_fd_sc_hd__decap_6 + PLACED ( 165140 10880 ) N ;
- FILLER_0_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 10880 ) N ;
- FILLER_0_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 10880 ) N ;
- FILLER_0_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 10880 ) N ;
- FILLER_0_382 sky130_fd_sc_hd__decap_8 + PLACED ( 181240 10880 ) N ;
- FILLER_0_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 10880 ) N ;
- FILLER_0_390 sky130_fd_sc_hd__fill_2 + PLACED ( 184920 10880 ) N ;
- FILLER_0_396 sky130_fd_sc_hd__decap_4 + PLACED ( 187680 10880 ) N ;
- FILLER_0_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 10880 ) N ;
- FILLER_0_407 sky130_fd_sc_hd__fill_1 + PLACED ( 192740 10880 ) N ;
- FILLER_0_411 sky130_fd_sc_hd__decap_8 + PLACED ( 194580 10880 ) N ;
- FILLER_0_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 10880 ) N ;
- FILLER_0_424 sky130_fd_sc_hd__decap_6 + PLACED ( 200560 10880 ) N ;
- FILLER_0_430 sky130_fd_sc_hd__fill_1 + PLACED ( 203320 10880 ) N ;
- FILLER_0_434 sky130_fd_sc_hd__decap_4 + PLACED ( 205160 10880 ) N ;
- FILLER_0_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 10880 ) N ;
- FILLER_0_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 10880 ) N ;
- FILLER_0_452 sky130_fd_sc_hd__decap_6 + PLACED ( 213440 10880 ) N ;
- FILLER_0_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 10880 ) N ;
- FILLER_0_468 sky130_fd_sc_hd__decap_8 + PLACED ( 220800 10880 ) N ;
- FILLER_0_480 sky130_fd_sc_hd__decap_8 + PLACED ( 226320 10880 ) N ;
- FILLER_0_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 10880 ) N ;
- FILLER_0_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 10880 ) N ;
- FILLER_0_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 10880 ) N ;
- FILLER_0_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 10880 ) N ;
- FILLER_0_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 10880 ) N ;
- FILLER_0_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 10880 ) N ;
- FILLER_0_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 10880 ) N ;
- FILLER_0_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 10880 ) N ;
- FILLER_0_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 10880 ) N ;
- FILLER_0_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 10880 ) N ;
- FILLER_0_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 10880 ) N ;
- FILLER_0_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 10880 ) N ;
- FILLER_10_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 38080 ) N ;
- FILLER_10_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 38080 ) N ;
- FILLER_10_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 38080 ) N ;
- FILLER_10_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 38080 ) N ;
- FILLER_10_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 38080 ) N ;
- FILLER_10_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 38080 ) N ;
- FILLER_10_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 38080 ) N ;
- FILLER_10_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 38080 ) N ;
- FILLER_10_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 38080 ) N ;
- FILLER_10_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 38080 ) N ;
- FILLER_10_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 38080 ) N ;
- FILLER_10_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 38080 ) N ;
- FILLER_10_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 38080 ) N ;
- FILLER_10_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 38080 ) N ;
- FILLER_10_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 38080 ) N ;
- FILLER_10_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 38080 ) N ;
- FILLER_10_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 38080 ) N ;
- FILLER_10_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 38080 ) N ;
- FILLER_10_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 38080 ) N ;
- FILLER_10_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 38080 ) N ;
- FILLER_10_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 38080 ) N ;
- FILLER_10_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 38080 ) N ;
- FILLER_10_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 38080 ) N ;
- FILLER_10_457 sky130_fd_sc_hd__decap_6 + PLACED ( 215740 38080 ) N ;
- FILLER_10_465 sky130_fd_sc_hd__decap_4 + PLACED ( 219420 38080 ) N ;
- FILLER_10_471 sky130_fd_sc_hd__decap_4 + PLACED ( 222180 38080 ) N ;
- FILLER_10_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 38080 ) N ;
- FILLER_10_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 38080 ) N ;
- FILLER_10_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 38080 ) N ;
- FILLER_10_488 sky130_fd_sc_hd__decap_4 + PLACED ( 230000 38080 ) N ;
- FILLER_10_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 38080 ) N ;
- FILLER_10_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 38080 ) N ;
- FILLER_10_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 38080 ) N ;
- FILLER_10_6 sky130_fd_sc_hd__decap_6 + PLACED ( 8280 38080 ) N ;
- FILLER_10_61 sky130_fd_sc_hd__decap_8 + PLACED ( 33580 38080 ) N ;
- FILLER_10_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 38080 ) N ;
- FILLER_10_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 38080 ) N ;
- FILLER_10_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 38080 ) N ;
- FILLER_11_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 40800 ) FS ;
- FILLER_11_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 40800 ) FS ;
- FILLER_11_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 40800 ) FS ;
- FILLER_11_20 sky130_fd_sc_hd__decap_4 + PLACED ( 14720 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 40800 ) FS ;
- FILLER_11_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 40800 ) FS ;
- FILLER_11_24 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 40800 ) FS ;
- FILLER_11_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 40800 ) FS ;
- FILLER_11_28 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 40800 ) FS ;
- FILLER_11_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 40800 ) FS ;
- FILLER_11_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 40800 ) FS ;
- FILLER_11_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 40800 ) FS ;
- FILLER_11_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 40800 ) FS ;
- FILLER_11_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 40800 ) FS ;
- FILLER_11_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 40800 ) FS ;
- FILLER_11_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 40800 ) FS ;
- FILLER_11_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 40800 ) FS ;
- FILLER_11_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 40800 ) FS ;
- FILLER_11_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 40800 ) FS ;
- FILLER_11_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 40800 ) FS ;
- FILLER_11_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 40800 ) FS ;
- FILLER_11_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 40800 ) FS ;
- FILLER_11_461 sky130_fd_sc_hd__decap_6 + PLACED ( 217580 40800 ) FS ;
- FILLER_11_469 sky130_fd_sc_hd__decap_4 + PLACED ( 221260 40800 ) FS ;
- FILLER_11_475 sky130_fd_sc_hd__decap_4 + PLACED ( 224020 40800 ) FS ;
- FILLER_11_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 40800 ) FS ;
- FILLER_11_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 40800 ) FS ;
- FILLER_11_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 40800 ) FS ;
- FILLER_11_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 40800 ) FS ;
- FILLER_11_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 40800 ) FS ;
- FILLER_11_57 sky130_fd_sc_hd__fill_2 + PLACED ( 31740 40800 ) FS ;
- FILLER_11_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 40800 ) FS ;
- FILLER_11_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 40800 ) FS ;
- FILLER_11_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 40800 ) FS ;
- FILLER_11_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 40800 ) FS ;
- FILLER_11_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 40800 ) FS ;
- FILLER_11_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 40800 ) FS ;
- FILLER_11_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 40800 ) FS ;
- FILLER_11_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 40800 ) FS ;
- FILLER_12_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 43520 ) N ;
- FILLER_12_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 43520 ) N ;
- FILLER_12_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 43520 ) N ;
- FILLER_12_16 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 43520 ) N ;
- FILLER_12_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 43520 ) N ;
- FILLER_12_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 43520 ) N ;
- FILLER_12_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 43520 ) N ;
- FILLER_12_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 43520 ) N ;
- FILLER_12_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 43520 ) N ;
- FILLER_12_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 43520 ) N ;
- FILLER_12_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 43520 ) N ;
- FILLER_12_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 43520 ) N ;
- FILLER_12_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 43520 ) N ;
- FILLER_12_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 43520 ) N ;
- FILLER_12_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 43520 ) N ;
- FILLER_12_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 43520 ) N ;
- FILLER_12_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 43520 ) N ;
- FILLER_12_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 43520 ) N ;
- FILLER_12_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 43520 ) N ;
- FILLER_12_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 43520 ) N ;
- FILLER_12_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 43520 ) N ;
- FILLER_12_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 43520 ) N ;
- FILLER_12_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 43520 ) N ;
- FILLER_12_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 43520 ) N ;
- FILLER_12_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 43520 ) N ;
- FILLER_12_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 43520 ) N ;
- FILLER_12_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 43520 ) N ;
- FILLER_12_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 43520 ) N ;
- FILLER_12_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 43520 ) N ;
- FILLER_12_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 43520 ) N ;
- FILLER_12_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 43520 ) N ;
- FILLER_12_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 43520 ) N ;
- FILLER_12_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 43520 ) N ;
- FILLER_12_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 43520 ) N ;
- FILLER_13_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 46240 ) FS ;
- FILLER_13_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 46240 ) FS ;
- FILLER_13_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 46240 ) FS ;
- FILLER_13_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 46240 ) FS ;
- FILLER_13_29 sky130_fd_sc_hd__decap_6 + PLACED ( 18860 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 46240 ) FS ;
- FILLER_13_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 46240 ) FS ;
- FILLER_13_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 46240 ) FS ;
- FILLER_13_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 46240 ) FS ;
- FILLER_13_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 46240 ) FS ;
- FILLER_13_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 46240 ) FS ;
- FILLER_13_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 46240 ) FS ;
- FILLER_13_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 46240 ) FS ;
- FILLER_13_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 46240 ) FS ;
- FILLER_13_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 46240 ) FS ;
- FILLER_13_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 46240 ) FS ;
- FILLER_13_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 46240 ) FS ;
- FILLER_13_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 46240 ) FS ;
- FILLER_13_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 46240 ) FS ;
- FILLER_13_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 46240 ) FS ;
- FILLER_13_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 46240 ) FS ;
- FILLER_13_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 46240 ) FS ;
- FILLER_13_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 46240 ) FS ;
- FILLER_13_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 46240 ) FS ;
- FILLER_13_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 46240 ) FS ;
- FILLER_13_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 46240 ) FS ;
- FILLER_13_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 46240 ) FS ;
- FILLER_13_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 46240 ) FS ;
- FILLER_13_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 46240 ) FS ;
- FILLER_13_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 46240 ) FS ;
- FILLER_13_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 46240 ) FS ;
- FILLER_13_83 sky130_fd_sc_hd__decap_12 + PLACED ( 43700 46240 ) FS ;
- FILLER_13_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 46240 ) FS ;
- FILLER_14_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 48960 ) N ;
- FILLER_14_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 48960 ) N ;
- FILLER_14_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 48960 ) N ;
- FILLER_14_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 48960 ) N ;
- FILLER_14_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 48960 ) N ;
- FILLER_14_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 48960 ) N ;
- FILLER_14_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 48960 ) N ;
- FILLER_14_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 48960 ) N ;
- FILLER_14_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 48960 ) N ;
- FILLER_14_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 48960 ) N ;
- FILLER_14_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 48960 ) N ;
- FILLER_14_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 48960 ) N ;
- FILLER_14_37 sky130_fd_sc_hd__decap_6 + PLACED ( 22540 48960 ) N ;
- FILLER_14_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 48960 ) N ;
- FILLER_14_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 48960 ) N ;
- FILLER_14_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 48960 ) N ;
- FILLER_14_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 48960 ) N ;
- FILLER_14_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 48960 ) N ;
- FILLER_14_43 sky130_fd_sc_hd__fill_1 + PLACED ( 25300 48960 ) N ;
- FILLER_14_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 48960 ) N ;
- FILLER_14_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 48960 ) N ;
- FILLER_14_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 48960 ) N ;
- FILLER_14_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 48960 ) N ;
- FILLER_14_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 48960 ) N ;
- FILLER_14_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 48960 ) N ;
- FILLER_14_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 48960 ) N ;
- FILLER_14_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 48960 ) N ;
- FILLER_14_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 48960 ) N ;
- FILLER_14_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 48960 ) N ;
- FILLER_14_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 48960 ) N ;
- FILLER_14_50 sky130_fd_sc_hd__fill_1 + PLACED ( 28520 48960 ) N ;
- FILLER_14_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 48960 ) N ;
- FILLER_14_53 sky130_fd_sc_hd__decap_4 + PLACED ( 29900 48960 ) N ;
- FILLER_14_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 48960 ) N ;
- FILLER_14_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 51680 ) FS ;
- FILLER_15_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 51680 ) FS ;
- FILLER_15_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 51680 ) FS ;
- FILLER_15_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 51680 ) FS ;
- FILLER_15_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 51680 ) FS ;
- FILLER_15_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 51680 ) FS ;
- FILLER_15_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 51680 ) FS ;
- FILLER_15_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 51680 ) FS ;
- FILLER_15_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 51680 ) FS ;
- FILLER_15_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 51680 ) FS ;
- FILLER_15_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 51680 ) FS ;
- FILLER_15_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 51680 ) FS ;
- FILLER_15_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 51680 ) FS ;
- FILLER_15_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 51680 ) FS ;
- FILLER_15_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 51680 ) FS ;
- FILLER_15_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 51680 ) FS ;
- FILLER_15_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 51680 ) FS ;
- FILLER_15_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 51680 ) FS ;
- FILLER_15_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 51680 ) FS ;
- FILLER_15_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 51680 ) FS ;
- FILLER_15_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 51680 ) FS ;
- FILLER_15_473 sky130_fd_sc_hd__decap_8 + PLACED ( 223100 51680 ) FS ;
- FILLER_15_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 51680 ) FS ;
- FILLER_15_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 51680 ) FS ;
- FILLER_15_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 51680 ) FS ;
- FILLER_15_490 sky130_fd_sc_hd__decap_4 + PLACED ( 230920 51680 ) FS ;
- FILLER_15_498 sky130_fd_sc_hd__decap_6 + PLACED ( 234600 51680 ) FS ;
- FILLER_15_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 51680 ) FS ;
- FILLER_15_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 51680 ) FS ;
- FILLER_15_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 51680 ) FS ;
- FILLER_15_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 51680 ) FS ;
- FILLER_15_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 54400 ) N ;
- FILLER_16_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 54400 ) N ;
- FILLER_16_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 54400 ) N ;
- FILLER_16_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 54400 ) N ;
- FILLER_16_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 54400 ) N ;
- FILLER_16_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 54400 ) N ;
- FILLER_16_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 54400 ) N ;
- FILLER_16_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 54400 ) N ;
- FILLER_16_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 54400 ) N ;
- FILLER_16_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 54400 ) N ;
- FILLER_16_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 54400 ) N ;
- FILLER_16_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 54400 ) N ;
- FILLER_16_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 54400 ) N ;
- FILLER_16_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 54400 ) N ;
- FILLER_16_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 54400 ) N ;
- FILLER_16_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 54400 ) N ;
- FILLER_16_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 54400 ) N ;
- FILLER_16_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 54400 ) N ;
- FILLER_16_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 54400 ) N ;
- FILLER_16_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 54400 ) N ;
- FILLER_16_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 54400 ) N ;
- FILLER_16_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 54400 ) N ;
- FILLER_16_477 sky130_fd_sc_hd__decap_6 + PLACED ( 224940 54400 ) N ;
- FILLER_16_483 sky130_fd_sc_hd__fill_1 + PLACED ( 227700 54400 ) N ;
- FILLER_16_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 54400 ) N ;
- FILLER_16_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 54400 ) N ;
- FILLER_16_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 54400 ) N ;
- FILLER_16_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 54400 ) N ;
- FILLER_16_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 54400 ) N ;
- FILLER_16_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 54400 ) N ;
- FILLER_16_9 sky130_fd_sc_hd__decap_6 + PLACED ( 9660 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 57120 ) FS ;
- FILLER_17_12 sky130_fd_sc_hd__decap_12 + PLACED ( 11040 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 57120 ) FS ;
- FILLER_17_24 sky130_fd_sc_hd__decap_12 + PLACED ( 16560 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 57120 ) FS ;
- FILLER_17_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 57120 ) FS ;
- FILLER_17_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 57120 ) FS ;
- FILLER_17_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 57120 ) FS ;
- FILLER_17_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 57120 ) FS ;
- FILLER_17_36 sky130_fd_sc_hd__decap_12 + PLACED ( 22080 57120 ) FS ;
- FILLER_17_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 57120 ) FS ;
- FILLER_17_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 57120 ) FS ;
- FILLER_17_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 57120 ) FS ;
- FILLER_17_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 57120 ) FS ;
- FILLER_17_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 57120 ) FS ;
- FILLER_17_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 57120 ) FS ;
- FILLER_17_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 57120 ) FS ;
- FILLER_17_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 57120 ) FS ;
- FILLER_17_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 57120 ) FS ;
- FILLER_17_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 57120 ) FS ;
- FILLER_17_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 57120 ) FS ;
- FILLER_17_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 57120 ) FS ;
- FILLER_17_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 57120 ) FS ;
- FILLER_17_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 57120 ) FS ;
- FILLER_17_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 57120 ) FS ;
- FILLER_17_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 57120 ) FS ;
- FILLER_17_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 57120 ) FS ;
- FILLER_17_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 57120 ) FS ;
- FILLER_17_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 57120 ) FS ;
- FILLER_17_9 sky130_fd_sc_hd__fill_1 + PLACED ( 9660 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 59840 ) N ;
- FILLER_18_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 59840 ) N ;
- FILLER_18_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 59840 ) N ;
- FILLER_18_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 59840 ) N ;
- FILLER_18_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 59840 ) N ;
- FILLER_18_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 59840 ) N ;
- FILLER_18_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 59840 ) N ;
- FILLER_18_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 59840 ) N ;
- FILLER_18_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 59840 ) N ;
- FILLER_18_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 59840 ) N ;
- FILLER_18_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 59840 ) N ;
- FILLER_18_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 59840 ) N ;
- FILLER_18_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 59840 ) N ;
- FILLER_18_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 59840 ) N ;
- FILLER_18_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 59840 ) N ;
- FILLER_18_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 59840 ) N ;
- FILLER_18_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 59840 ) N ;
- FILLER_18_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 59840 ) N ;
- FILLER_18_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 62560 ) FS ;
- FILLER_19_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 62560 ) FS ;
- FILLER_19_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 62560 ) FS ;
- FILLER_19_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 62560 ) FS ;
- FILLER_19_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 62560 ) FS ;
- FILLER_19_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 62560 ) FS ;
- FILLER_19_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 62560 ) FS ;
- FILLER_19_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 62560 ) FS ;
- FILLER_19_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 62560 ) FS ;
- FILLER_19_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 62560 ) FS ;
- FILLER_19_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 62560 ) FS ;
- FILLER_19_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 62560 ) FS ;
- FILLER_19_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 62560 ) FS ;
- FILLER_19_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 62560 ) FS ;
- FILLER_19_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 62560 ) FS ;
- FILLER_19_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 62560 ) FS ;
- FILLER_19_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 62560 ) FS ;
- FILLER_19_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 62560 ) FS ;
- FILLER_19_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 62560 ) FS ;
- FILLER_19_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 62560 ) FS ;
- FILLER_1_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 13600 ) FS ;
- FILLER_1_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 13600 ) FS ;
- FILLER_1_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 13600 ) FS ;
- FILLER_1_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 13600 ) FS ;
- FILLER_1_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 13600 ) FS ;
- FILLER_1_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 13600 ) FS ;
- FILLER_1_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 13600 ) FS ;
- FILLER_1_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 13600 ) FS ;
- FILLER_1_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 13600 ) FS ;
- FILLER_1_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 13600 ) FS ;
- FILLER_1_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 13600 ) FS ;
- FILLER_1_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 13600 ) FS ;
- FILLER_1_187 sky130_fd_sc_hd__fill_1 + PLACED ( 91540 13600 ) FS ;
- FILLER_1_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 13600 ) FS ;
- FILLER_1_199 sky130_fd_sc_hd__decap_8 + PLACED ( 97060 13600 ) FS ;
- FILLER_1_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 13600 ) FS ;
- FILLER_1_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 13600 ) FS ;
- FILLER_1_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 13600 ) FS ;
- FILLER_1_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 13600 ) FS ;
- FILLER_1_240 sky130_fd_sc_hd__decap_8 + PLACED ( 115920 13600 ) FS ;
- FILLER_1_248 sky130_fd_sc_hd__fill_1 + PLACED ( 119600 13600 ) FS ;
- FILLER_1_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 13600 ) FS ;
- FILLER_1_260 sky130_fd_sc_hd__decap_4 + PLACED ( 125120 13600 ) FS ;
- FILLER_1_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 13600 ) FS ;
- FILLER_1_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 13600 ) FS ;
- FILLER_1_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 13600 ) FS ;
- FILLER_1_291 sky130_fd_sc_hd__decap_6 + PLACED ( 139380 13600 ) FS ;
- FILLER_1_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 13600 ) FS ;
- FILLER_1_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 13600 ) FS ;
- FILLER_1_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 13600 ) FS ;
- FILLER_1_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 13600 ) FS ;
- FILLER_1_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 13600 ) FS ;
- FILLER_1_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 13600 ) FS ;
- FILLER_1_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 13600 ) FS ;
- FILLER_1_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 13600 ) FS ;
- FILLER_1_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 13600 ) FS ;
- FILLER_1_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 13600 ) FS ;
- FILLER_1_382 sky130_fd_sc_hd__decap_8 + PLACED ( 181240 13600 ) FS ;
- FILLER_1_390 sky130_fd_sc_hd__fill_2 + PLACED ( 184920 13600 ) FS ;
- FILLER_1_396 sky130_fd_sc_hd__decap_4 + PLACED ( 187680 13600 ) FS ;
- FILLER_1_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 13600 ) FS ;
- FILLER_1_410 sky130_fd_sc_hd__decap_4 + PLACED ( 194120 13600 ) FS ;
- FILLER_1_417 sky130_fd_sc_hd__decap_4 + PLACED ( 197340 13600 ) FS ;
- FILLER_1_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 13600 ) FS ;
- FILLER_1_424 sky130_fd_sc_hd__decap_4 + PLACED ( 200560 13600 ) FS ;
- FILLER_1_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 13600 ) FS ;
- FILLER_1_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 13600 ) FS ;
- FILLER_1_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 13600 ) FS ;
- FILLER_1_452 sky130_fd_sc_hd__decap_4 + PLACED ( 213440 13600 ) FS ;
- FILLER_1_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 13600 ) FS ;
- FILLER_1_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 13600 ) FS ;
- FILLER_1_473 sky130_fd_sc_hd__decap_4 + PLACED ( 223100 13600 ) FS ;
- FILLER_1_480 sky130_fd_sc_hd__decap_8 + PLACED ( 226320 13600 ) FS ;
- FILLER_1_488 sky130_fd_sc_hd__fill_1 + PLACED ( 230000 13600 ) FS ;
- FILLER_1_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 13600 ) FS ;
- FILLER_1_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 13600 ) FS ;
- FILLER_1_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 13600 ) FS ;
- FILLER_1_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 13600 ) FS ;
- FILLER_1_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 13600 ) FS ;
- FILLER_1_57 sky130_fd_sc_hd__fill_2 + PLACED ( 31740 13600 ) FS ;
- FILLER_1_68 sky130_fd_sc_hd__decap_4 + PLACED ( 36800 13600 ) FS ;
- FILLER_1_74 sky130_fd_sc_hd__decap_4 + PLACED ( 39560 13600 ) FS ;
- FILLER_1_84 sky130_fd_sc_hd__decap_4 + PLACED ( 44160 13600 ) FS ;
- FILLER_1_90 sky130_fd_sc_hd__decap_4 + PLACED ( 46920 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 65280 ) N ;
- FILLER_20_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 65280 ) N ;
- FILLER_20_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 65280 ) N ;
- FILLER_20_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 65280 ) N ;
- FILLER_20_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 65280 ) N ;
- FILLER_20_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 65280 ) N ;
- FILLER_20_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 65280 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 65280 ) N ;
- FILLER_20_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 65280 ) N ;
- FILLER_20_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 65280 ) N ;
- FILLER_20_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 65280 ) N ;
- FILLER_20_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 65280 ) N ;
- FILLER_20_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 65280 ) N ;
- FILLER_20_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 65280 ) N ;
- FILLER_20_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 65280 ) N ;
- FILLER_20_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 65280 ) N ;
- FILLER_20_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 65280 ) N ;
- FILLER_20_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 65280 ) N ;
- FILLER_20_469 sky130_fd_sc_hd__fill_1 + PLACED ( 221260 65280 ) N ;
- FILLER_20_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 65280 ) N ;
- FILLER_20_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 65280 ) N ;
- FILLER_20_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 65280 ) N ;
- FILLER_20_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 65280 ) N ;
- FILLER_20_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 65280 ) N ;
- FILLER_20_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 65280 ) N ;
- FILLER_20_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 68000 ) FS ;
- FILLER_21_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 68000 ) FS ;
- FILLER_21_20 sky130_fd_sc_hd__decap_12 + PLACED ( 14720 68000 ) FS ;
- FILLER_21_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 68000 ) FS ;
- FILLER_21_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 68000 ) FS ;
- FILLER_21_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 68000 ) FS ;
- FILLER_21_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 68000 ) FS ;
- FILLER_21_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 68000 ) FS ;
- FILLER_21_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 68000 ) FS ;
- FILLER_21_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 68000 ) FS ;
- FILLER_21_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 68000 ) FS ;
- FILLER_21_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 68000 ) FS ;
- FILLER_21_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 68000 ) FS ;
- FILLER_21_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 68000 ) FS ;
- FILLER_21_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 68000 ) FS ;
- FILLER_21_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 68000 ) FS ;
- FILLER_21_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 68000 ) FS ;
- FILLER_21_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 68000 ) FS ;
- FILLER_21_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 68000 ) FS ;
- FILLER_21_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 68000 ) FS ;
- FILLER_21_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 68000 ) FS ;
- FILLER_21_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 68000 ) FS ;
- FILLER_21_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 68000 ) FS ;
- FILLER_21_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 68000 ) FS ;
- FILLER_21_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 70720 ) N ;
- FILLER_22_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 70720 ) N ;
- FILLER_22_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 70720 ) N ;
- FILLER_22_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 70720 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 70720 ) N ;
- FILLER_22_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 70720 ) N ;
- FILLER_22_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 70720 ) N ;
- FILLER_22_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 70720 ) N ;
- FILLER_22_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 70720 ) N ;
- FILLER_22_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 70720 ) N ;
- FILLER_22_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 70720 ) N ;
- FILLER_22_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 70720 ) N ;
- FILLER_22_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 70720 ) N ;
- FILLER_22_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 70720 ) N ;
- FILLER_22_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 70720 ) N ;
- FILLER_22_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 70720 ) N ;
- FILLER_22_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 70720 ) N ;
- FILLER_22_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 70720 ) N ;
- FILLER_22_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 70720 ) N ;
- FILLER_22_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 70720 ) N ;
- FILLER_22_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 70720 ) N ;
- FILLER_22_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 70720 ) N ;
- FILLER_22_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 70720 ) N ;
- FILLER_22_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 73440 ) FS ;
- FILLER_23_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 73440 ) FS ;
- FILLER_23_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 73440 ) FS ;
- FILLER_23_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 73440 ) FS ;
- FILLER_23_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 73440 ) FS ;
- FILLER_23_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 73440 ) FS ;
- FILLER_23_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 73440 ) FS ;
- FILLER_23_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 73440 ) FS ;
- FILLER_23_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 73440 ) FS ;
- FILLER_23_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 73440 ) FS ;
- FILLER_23_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 73440 ) FS ;
- FILLER_23_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 73440 ) FS ;
- FILLER_23_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 73440 ) FS ;
- FILLER_23_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 73440 ) FS ;
- FILLER_23_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 73440 ) FS ;
- FILLER_23_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 73440 ) FS ;
- FILLER_23_461 sky130_fd_sc_hd__decap_6 + PLACED ( 217580 73440 ) FS ;
- FILLER_23_469 sky130_fd_sc_hd__decap_4 + PLACED ( 221260 73440 ) FS ;
- FILLER_23_475 sky130_fd_sc_hd__decap_4 + PLACED ( 224020 73440 ) FS ;
- FILLER_23_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 73440 ) FS ;
- FILLER_23_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 73440 ) FS ;
- FILLER_23_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 73440 ) FS ;
- FILLER_23_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 73440 ) FS ;
- FILLER_23_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 76160 ) N ;
- FILLER_24_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 76160 ) N ;
- FILLER_24_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 76160 ) N ;
- FILLER_24_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 76160 ) N ;
- FILLER_24_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 76160 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 76160 ) N ;
- FILLER_24_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 76160 ) N ;
- FILLER_24_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 76160 ) N ;
- FILLER_24_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 76160 ) N ;
- FILLER_24_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 76160 ) N ;
- FILLER_24_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 76160 ) N ;
- FILLER_24_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 76160 ) N ;
- FILLER_24_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 76160 ) N ;
- FILLER_24_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 76160 ) N ;
- FILLER_24_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 76160 ) N ;
- FILLER_24_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 76160 ) N ;
- FILLER_24_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 76160 ) N ;
- FILLER_24_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 76160 ) N ;
- FILLER_24_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 76160 ) N ;
- FILLER_24_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 76160 ) N ;
- FILLER_24_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 76160 ) N ;
- FILLER_24_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 76160 ) N ;
- FILLER_24_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 76160 ) N ;
- FILLER_24_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 76160 ) N ;
- FILLER_24_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 78880 ) FS ;
- FILLER_25_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 78880 ) FS ;
- FILLER_25_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 78880 ) FS ;
- FILLER_25_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 78880 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 78880 ) FS ;
- FILLER_25_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 78880 ) FS ;
- FILLER_25_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 78880 ) FS ;
- FILLER_25_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 78880 ) FS ;
- FILLER_25_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 78880 ) FS ;
- FILLER_25_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 78880 ) FS ;
- FILLER_25_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 78880 ) FS ;
- FILLER_25_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 78880 ) FS ;
- FILLER_25_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 78880 ) FS ;
- FILLER_25_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 78880 ) FS ;
- FILLER_25_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 78880 ) FS ;
- FILLER_25_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 78880 ) FS ;
- FILLER_25_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 78880 ) FS ;
- FILLER_25_473 sky130_fd_sc_hd__fill_2 + PLACED ( 223100 78880 ) FS ;
- FILLER_25_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 78880 ) FS ;
- FILLER_25_483 sky130_fd_sc_hd__decap_4 + PLACED ( 227700 78880 ) FS ;
- FILLER_25_490 sky130_fd_sc_hd__decap_4 + PLACED ( 230920 78880 ) FS ;
- FILLER_25_498 sky130_fd_sc_hd__decap_6 + PLACED ( 234600 78880 ) FS ;
- FILLER_25_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 78880 ) FS ;
- FILLER_25_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 78880 ) FS ;
- FILLER_25_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 78880 ) FS ;
- FILLER_25_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 81600 ) N ;
- FILLER_26_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 81600 ) N ;
- FILLER_26_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 81600 ) N ;
- FILLER_26_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 81600 ) N ;
- FILLER_26_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 81600 ) N ;
- FILLER_26_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 81600 ) N ;
- FILLER_26_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 81600 ) N ;
- FILLER_26_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 81600 ) N ;
- FILLER_26_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 81600 ) N ;
- FILLER_26_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 81600 ) N ;
- FILLER_26_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 81600 ) N ;
- FILLER_26_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 81600 ) N ;
- FILLER_26_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 81600 ) N ;
- FILLER_26_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 81600 ) N ;
- FILLER_26_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 81600 ) N ;
- FILLER_26_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 81600 ) N ;
- FILLER_26_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 81600 ) N ;
- FILLER_26_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 81600 ) N ;
- FILLER_26_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 81600 ) N ;
- FILLER_26_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 81600 ) N ;
- FILLER_26_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 81600 ) N ;
- FILLER_26_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 81600 ) N ;
- FILLER_26_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 81600 ) N ;
- FILLER_26_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 84320 ) FS ;
- FILLER_27_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 84320 ) FS ;
- FILLER_27_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 84320 ) FS ;
- FILLER_27_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 84320 ) FS ;
- FILLER_27_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 84320 ) FS ;
- FILLER_27_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 84320 ) FS ;
- FILLER_27_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 84320 ) FS ;
- FILLER_27_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 84320 ) FS ;
- FILLER_27_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 84320 ) FS ;
- FILLER_27_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 84320 ) FS ;
- FILLER_27_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 84320 ) FS ;
- FILLER_27_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 84320 ) FS ;
- FILLER_27_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 84320 ) FS ;
- FILLER_27_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 84320 ) FS ;
- FILLER_27_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 84320 ) FS ;
- FILLER_27_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 84320 ) FS ;
- FILLER_27_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 84320 ) FS ;
- FILLER_27_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 84320 ) FS ;
- FILLER_27_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 84320 ) FS ;
- FILLER_27_473 sky130_fd_sc_hd__decap_6 + PLACED ( 223100 84320 ) FS ;
- FILLER_27_479 sky130_fd_sc_hd__fill_1 + PLACED ( 225860 84320 ) FS ;
- FILLER_27_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 84320 ) FS ;
- FILLER_27_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 84320 ) FS ;
- FILLER_27_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 84320 ) FS ;
- FILLER_27_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 84320 ) FS ;
- FILLER_27_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 87040 ) N ;
- FILLER_28_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 87040 ) N ;
- FILLER_28_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 87040 ) N ;
- FILLER_28_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 87040 ) N ;
- FILLER_28_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 87040 ) N ;
- FILLER_28_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 87040 ) N ;
- FILLER_28_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 87040 ) N ;
- FILLER_28_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 87040 ) N ;
- FILLER_28_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 87040 ) N ;
- FILLER_28_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 87040 ) N ;
- FILLER_28_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 87040 ) N ;
- FILLER_28_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 87040 ) N ;
- FILLER_28_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 87040 ) N ;
- FILLER_28_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 87040 ) N ;
- FILLER_28_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 87040 ) N ;
- FILLER_28_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 87040 ) N ;
- FILLER_28_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 87040 ) N ;
- FILLER_28_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 87040 ) N ;
- FILLER_28_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 87040 ) N ;
- FILLER_28_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 87040 ) N ;
- FILLER_28_477 sky130_fd_sc_hd__decap_8 + PLACED ( 224940 87040 ) N ;
- FILLER_28_485 sky130_fd_sc_hd__fill_1 + PLACED ( 228620 87040 ) N ;
- FILLER_28_488 sky130_fd_sc_hd__decap_4 + PLACED ( 230000 87040 ) N ;
- FILLER_28_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 87040 ) N ;
- FILLER_28_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 87040 ) N ;
- FILLER_28_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 89760 ) FS ;
- FILLER_29_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 89760 ) FS ;
- FILLER_29_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 89760 ) FS ;
- FILLER_29_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 89760 ) FS ;
- FILLER_29_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 89760 ) FS ;
- FILLER_29_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 89760 ) FS ;
- FILLER_29_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 89760 ) FS ;
- FILLER_29_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 89760 ) FS ;
- FILLER_29_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 89760 ) FS ;
- FILLER_29_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 89760 ) FS ;
- FILLER_29_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 89760 ) FS ;
- FILLER_29_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 89760 ) FS ;
- FILLER_29_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 89760 ) FS ;
- FILLER_29_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 89760 ) FS ;
- FILLER_29_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 89760 ) FS ;
- FILLER_29_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 89760 ) FS ;
- FILLER_29_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 89760 ) FS ;
- FILLER_29_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 89760 ) FS ;
- FILLER_29_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 89760 ) FS ;
- FILLER_29_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 89760 ) FS ;
- FILLER_29_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 89760 ) FS ;
- FILLER_29_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 89760 ) FS ;
- FILLER_29_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 89760 ) FS ;
- FILLER_29_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 89760 ) FS ;
- FILLER_29_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 89760 ) FS ;
- FILLER_29_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 89760 ) FS ;
- FILLER_29_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 89760 ) FS ;
- FILLER_29_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 89760 ) FS ;
- FILLER_29_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 89760 ) FS ;
- FILLER_29_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 89760 ) FS ;
- FILLER_2_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 16320 ) N ;
- FILLER_2_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 16320 ) N ;
- FILLER_2_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 16320 ) N ;
- FILLER_2_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 16320 ) N ;
- FILLER_2_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 16320 ) N ;
- FILLER_2_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 16320 ) N ;
- FILLER_2_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 16320 ) N ;
- FILLER_2_152 sky130_fd_sc_hd__decap_4 + PLACED ( 75440 16320 ) N ;
- FILLER_2_159 sky130_fd_sc_hd__decap_4 + PLACED ( 78660 16320 ) N ;
- FILLER_2_166 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 16320 ) N ;
- FILLER_2_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 16320 ) N ;
- FILLER_2_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 16320 ) N ;
- FILLER_2_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 16320 ) N ;
- FILLER_2_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 16320 ) N ;
- FILLER_2_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 16320 ) N ;
- FILLER_2_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 16320 ) N ;
- FILLER_2_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 16320 ) N ;
- FILLER_2_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 16320 ) N ;
- FILLER_2_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 16320 ) N ;
- FILLER_2_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 16320 ) N ;
- FILLER_2_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 16320 ) N ;
- FILLER_2_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 16320 ) N ;
- FILLER_2_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 16320 ) N ;
- FILLER_2_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 16320 ) N ;
- FILLER_2_267 sky130_fd_sc_hd__fill_1 + PLACED ( 128340 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 16320 ) N ;
- FILLER_2_271 sky130_fd_sc_hd__decap_4 + PLACED ( 130180 16320 ) N ;
- FILLER_2_278 sky130_fd_sc_hd__decap_4 + PLACED ( 133400 16320 ) N ;
- FILLER_2_282 sky130_fd_sc_hd__fill_1 + PLACED ( 135240 16320 ) N ;
- FILLER_2_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 16320 ) N ;
- FILLER_2_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 16320 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 16320 ) N ;
- FILLER_2_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 16320 ) N ;
- FILLER_2_312 sky130_fd_sc_hd__decap_4 + PLACED ( 149040 16320 ) N ;
- FILLER_2_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 16320 ) N ;
- FILLER_2_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 16320 ) N ;
- FILLER_2_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 16320 ) N ;
- FILLER_2_340 sky130_fd_sc_hd__decap_6 + PLACED ( 161920 16320 ) N ;
- FILLER_2_346 sky130_fd_sc_hd__fill_1 + PLACED ( 164680 16320 ) N ;
- FILLER_2_35 sky130_fd_sc_hd__decap_8 + PLACED ( 21620 16320 ) N ;
- FILLER_2_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 16320 ) N ;
- FILLER_2_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 16320 ) N ;
- FILLER_2_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 16320 ) N ;
- FILLER_2_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 16320 ) N ;
- FILLER_2_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 16320 ) N ;
- FILLER_2_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 16320 ) N ;
- FILLER_2_396 sky130_fd_sc_hd__decap_4 + PLACED ( 187680 16320 ) N ;
- FILLER_2_400 sky130_fd_sc_hd__fill_1 + PLACED ( 189520 16320 ) N ;
- FILLER_2_404 sky130_fd_sc_hd__decap_6 + PLACED ( 191360 16320 ) N ;
- FILLER_2_410 sky130_fd_sc_hd__fill_1 + PLACED ( 194120 16320 ) N ;
- FILLER_2_414 sky130_fd_sc_hd__decap_6 + PLACED ( 195960 16320 ) N ;
- FILLER_2_421 sky130_fd_sc_hd__decap_3 + PLACED ( 199180 16320 ) N ;
- FILLER_2_427 sky130_fd_sc_hd__decap_6 + PLACED ( 201940 16320 ) N ;
- FILLER_2_433 sky130_fd_sc_hd__fill_1 + PLACED ( 204700 16320 ) N ;
- FILLER_2_437 sky130_fd_sc_hd__decap_6 + PLACED ( 206540 16320 ) N ;
- FILLER_2_443 sky130_fd_sc_hd__fill_1 + PLACED ( 209300 16320 ) N ;
- FILLER_2_447 sky130_fd_sc_hd__decap_6 + PLACED ( 211140 16320 ) N ;
- FILLER_2_456 sky130_fd_sc_hd__decap_6 + PLACED ( 215280 16320 ) N ;
- FILLER_2_465 sky130_fd_sc_hd__decap_4 + PLACED ( 219420 16320 ) N ;
- FILLER_2_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 16320 ) N ;
- FILLER_2_485 sky130_fd_sc_hd__decap_8 + PLACED ( 228620 16320 ) N ;
- FILLER_2_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 16320 ) N ;
- FILLER_2_493 sky130_fd_sc_hd__fill_1 + PLACED ( 232300 16320 ) N ;
- FILLER_2_497 sky130_fd_sc_hd__decap_4 + PLACED ( 234140 16320 ) N ;
- FILLER_2_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 16320 ) N ;
- FILLER_2_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 16320 ) N ;
- FILLER_2_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 16320 ) N ;
- FILLER_2_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 16320 ) N ;
- FILLER_2_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 16320 ) N ;
- FILLER_2_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 92480 ) N ;
- FILLER_30_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 92480 ) N ;
- FILLER_30_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 92480 ) N ;
- FILLER_30_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 92480 ) N ;
- FILLER_30_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 92480 ) N ;
- FILLER_30_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 92480 ) N ;
- FILLER_30_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 92480 ) N ;
- FILLER_30_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 92480 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 92480 ) N ;
- FILLER_30_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 92480 ) N ;
- FILLER_30_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 92480 ) N ;
- FILLER_30_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 92480 ) N ;
- FILLER_30_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 92480 ) N ;
- FILLER_30_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 92480 ) N ;
- FILLER_30_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 92480 ) N ;
- FILLER_30_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 92480 ) N ;
- FILLER_30_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 92480 ) N ;
- FILLER_30_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 92480 ) N ;
- FILLER_30_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 92480 ) N ;
- FILLER_30_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 92480 ) N ;
- FILLER_30_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 92480 ) N ;
- FILLER_30_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 92480 ) N ;
- FILLER_30_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 92480 ) N ;
- FILLER_30_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 92480 ) N ;
- FILLER_30_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 92480 ) N ;
- FILLER_30_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 92480 ) N ;
- FILLER_30_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 95200 ) FS ;
- FILLER_31_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 95200 ) FS ;
- FILLER_31_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 95200 ) FS ;
- FILLER_31_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 95200 ) FS ;
- FILLER_31_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 95200 ) FS ;
- FILLER_31_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 95200 ) FS ;
- FILLER_31_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 95200 ) FS ;
- FILLER_31_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 95200 ) FS ;
- FILLER_31_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 95200 ) FS ;
- FILLER_31_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 95200 ) FS ;
- FILLER_31_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 95200 ) FS ;
- FILLER_31_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 95200 ) FS ;
- FILLER_31_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 95200 ) FS ;
- FILLER_31_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 95200 ) FS ;
- FILLER_31_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 95200 ) FS ;
- FILLER_31_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 95200 ) FS ;
- FILLER_31_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 95200 ) FS ;
- FILLER_31_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 95200 ) FS ;
- FILLER_31_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 95200 ) FS ;
- FILLER_31_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 95200 ) FS ;
- FILLER_31_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 95200 ) FS ;
- FILLER_31_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 95200 ) FS ;
- FILLER_31_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 95200 ) FS ;
- FILLER_31_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 95200 ) FS ;
- FILLER_31_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 95200 ) FS ;
- FILLER_31_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 95200 ) FS ;
- FILLER_31_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 95200 ) FS ;
- FILLER_31_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 95200 ) FS ;
- FILLER_31_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 95200 ) FS ;
- FILLER_31_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 95200 ) FS ;
- FILLER_31_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 95200 ) FS ;
- FILLER_31_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 95200 ) FS ;
- FILLER_31_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 95200 ) FS ;
- FILLER_31_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 95200 ) FS ;
- FILLER_31_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 95200 ) FS ;
- FILLER_31_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 95200 ) FS ;
- FILLER_31_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 95200 ) FS ;
- FILLER_31_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 97920 ) N ;
- FILLER_32_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 97920 ) N ;
- FILLER_32_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 97920 ) N ;
- FILLER_32_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 97920 ) N ;
- FILLER_32_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 97920 ) N ;
- FILLER_32_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 97920 ) N ;
- FILLER_32_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 97920 ) N ;
- FILLER_32_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 97920 ) N ;
- FILLER_32_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 97920 ) N ;
- FILLER_32_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 97920 ) N ;
- FILLER_32_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 97920 ) N ;
- FILLER_32_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 97920 ) N ;
- FILLER_32_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 97920 ) N ;
- FILLER_32_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 97920 ) N ;
- FILLER_32_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 97920 ) N ;
- FILLER_32_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 97920 ) N ;
- FILLER_32_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 97920 ) N ;
- FILLER_32_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 97920 ) N ;
- FILLER_32_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 97920 ) N ;
- FILLER_32_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 97920 ) N ;
- FILLER_32_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 97920 ) N ;
- FILLER_32_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 97920 ) N ;
- FILLER_32_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 97920 ) N ;
- FILLER_32_477 sky130_fd_sc_hd__decap_3 + PLACED ( 224940 97920 ) N ;
- FILLER_32_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 97920 ) N ;
- FILLER_32_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 97920 ) N ;
- FILLER_32_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 97920 ) N ;
- FILLER_32_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 100640 ) FS ;
- FILLER_33_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 100640 ) FS ;
- FILLER_33_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 100640 ) FS ;
- FILLER_33_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 100640 ) FS ;
- FILLER_33_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 100640 ) FS ;
- FILLER_33_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 100640 ) FS ;
- FILLER_33_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 100640 ) FS ;
- FILLER_33_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 100640 ) FS ;
- FILLER_33_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 100640 ) FS ;
- FILLER_33_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 100640 ) FS ;
- FILLER_33_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 100640 ) FS ;
- FILLER_33_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 100640 ) FS ;
- FILLER_33_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 100640 ) FS ;
- FILLER_33_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 100640 ) FS ;
- FILLER_33_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 100640 ) FS ;
- FILLER_33_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 100640 ) FS ;
- FILLER_33_485 sky130_fd_sc_hd__decap_6 + PLACED ( 228620 100640 ) FS ;
- FILLER_33_491 sky130_fd_sc_hd__fill_1 + PLACED ( 231380 100640 ) FS ;
- FILLER_33_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 100640 ) FS ;
- FILLER_33_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 100640 ) FS ;
- FILLER_33_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 100640 ) FS ;
- FILLER_33_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 100640 ) FS ;
- FILLER_33_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 100640 ) FS ;
- FILLER_33_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 103360 ) N ;
- FILLER_34_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 103360 ) N ;
- FILLER_34_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 103360 ) N ;
- FILLER_34_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 103360 ) N ;
- FILLER_34_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 103360 ) N ;
- FILLER_34_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 103360 ) N ;
- FILLER_34_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 103360 ) N ;
- FILLER_34_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 103360 ) N ;
- FILLER_34_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 103360 ) N ;
- FILLER_34_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 103360 ) N ;
- FILLER_34_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 103360 ) N ;
- FILLER_34_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 103360 ) N ;
- FILLER_34_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 103360 ) N ;
- FILLER_34_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 103360 ) N ;
- FILLER_34_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 103360 ) N ;
- FILLER_34_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 103360 ) N ;
- FILLER_34_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 103360 ) N ;
- FILLER_34_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 103360 ) N ;
- FILLER_34_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 103360 ) N ;
- FILLER_34_489 sky130_fd_sc_hd__fill_1 + PLACED ( 230460 103360 ) N ;
- FILLER_34_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 103360 ) N ;
- FILLER_34_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 103360 ) N ;
- FILLER_34_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 103360 ) N ;
- FILLER_34_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 103360 ) N ;
- FILLER_34_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 106080 ) FS ;
- FILLER_35_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 106080 ) FS ;
- FILLER_35_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 106080 ) FS ;
- FILLER_35_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 106080 ) FS ;
- FILLER_35_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 106080 ) FS ;
- FILLER_35_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 106080 ) FS ;
- FILLER_35_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 106080 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 106080 ) FS ;
- FILLER_35_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 106080 ) FS ;
- FILLER_35_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 106080 ) FS ;
- FILLER_35_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 106080 ) FS ;
- FILLER_35_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 106080 ) FS ;
- FILLER_35_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 106080 ) FS ;
- FILLER_35_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 106080 ) FS ;
- FILLER_35_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 106080 ) FS ;
- FILLER_35_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 106080 ) FS ;
- FILLER_35_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 106080 ) FS ;
- FILLER_35_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 106080 ) FS ;
- FILLER_35_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 106080 ) FS ;
- FILLER_35_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 106080 ) FS ;
- FILLER_35_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 106080 ) FS ;
- FILLER_35_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 106080 ) FS ;
- FILLER_35_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 106080 ) FS ;
- FILLER_35_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 108800 ) N ;
- FILLER_36_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 108800 ) N ;
- FILLER_36_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 108800 ) N ;
- FILLER_36_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 108800 ) N ;
- FILLER_36_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 108800 ) N ;
- FILLER_36_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 108800 ) N ;
- FILLER_36_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 108800 ) N ;
- FILLER_36_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 108800 ) N ;
- FILLER_36_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 108800 ) N ;
- FILLER_36_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 108800 ) N ;
- FILLER_36_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 108800 ) N ;
- FILLER_36_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 108800 ) N ;
- FILLER_36_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 108800 ) N ;
- FILLER_36_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 108800 ) N ;
- FILLER_36_489 sky130_fd_sc_hd__decap_6 + PLACED ( 230460 108800 ) N ;
- FILLER_36_495 sky130_fd_sc_hd__fill_1 + PLACED ( 233220 108800 ) N ;
- FILLER_36_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 108800 ) N ;
- FILLER_36_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 108800 ) N ;
- FILLER_36_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 111520 ) FS ;
- FILLER_37_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 111520 ) FS ;
- FILLER_37_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 111520 ) FS ;
- FILLER_37_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 111520 ) FS ;
- FILLER_37_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 111520 ) FS ;
- FILLER_37_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 111520 ) FS ;
- FILLER_37_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 111520 ) FS ;
- FILLER_37_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 111520 ) FS ;
- FILLER_37_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 111520 ) FS ;
- FILLER_37_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 111520 ) FS ;
- FILLER_37_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 111520 ) FS ;
- FILLER_37_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 111520 ) FS ;
- FILLER_37_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 111520 ) FS ;
- FILLER_37_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 111520 ) FS ;
- FILLER_37_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 111520 ) FS ;
- FILLER_37_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 111520 ) FS ;
- FILLER_37_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 111520 ) FS ;
- FILLER_37_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 111520 ) FS ;
- FILLER_37_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 111520 ) FS ;
- FILLER_37_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 111520 ) FS ;
- FILLER_37_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 111520 ) FS ;
- FILLER_37_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 111520 ) FS ;
- FILLER_37_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 111520 ) FS ;
- FILLER_37_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 114240 ) N ;
- FILLER_38_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 114240 ) N ;
- FILLER_38_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 114240 ) N ;
- FILLER_38_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 114240 ) N ;
- FILLER_38_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 114240 ) N ;
- FILLER_38_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 114240 ) N ;
- FILLER_38_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 114240 ) N ;
- FILLER_38_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 114240 ) N ;
- FILLER_38_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 114240 ) N ;
- FILLER_38_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 114240 ) N ;
- FILLER_38_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 114240 ) N ;
- FILLER_38_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 114240 ) N ;
- FILLER_38_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 114240 ) N ;
- FILLER_38_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 114240 ) N ;
- FILLER_38_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 114240 ) N ;
- FILLER_38_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 114240 ) N ;
- FILLER_38_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 114240 ) N ;
- FILLER_38_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 114240 ) N ;
- FILLER_38_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 116960 ) FS ;
- FILLER_39_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 116960 ) FS ;
- FILLER_39_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 116960 ) FS ;
- FILLER_39_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 116960 ) FS ;
- FILLER_39_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 116960 ) FS ;
- FILLER_39_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 116960 ) FS ;
- FILLER_39_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 116960 ) FS ;
- FILLER_39_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 116960 ) FS ;
- FILLER_39_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 116960 ) FS ;
- FILLER_39_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 116960 ) FS ;
- FILLER_39_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 116960 ) FS ;
- FILLER_39_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 116960 ) FS ;
- FILLER_39_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 116960 ) FS ;
- FILLER_39_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 116960 ) FS ;
- FILLER_39_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 116960 ) FS ;
- FILLER_39_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 116960 ) FS ;
- FILLER_39_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 116960 ) FS ;
- FILLER_39_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 116960 ) FS ;
- FILLER_39_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 116960 ) FS ;
- FILLER_39_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 116960 ) FS ;
- FILLER_39_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 116960 ) FS ;
- FILLER_39_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 116960 ) FS ;
- FILLER_39_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 116960 ) FS ;
- FILLER_39_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 116960 ) FS ;
- FILLER_39_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 116960 ) FS ;
- FILLER_39_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 116960 ) FS ;
- FILLER_3_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 19040 ) FS ;
- FILLER_3_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 19040 ) FS ;
- FILLER_3_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 19040 ) FS ;
- FILLER_3_133 sky130_fd_sc_hd__decap_4 + PLACED ( 66700 19040 ) FS ;
- FILLER_3_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 19040 ) FS ;
- FILLER_3_140 sky130_fd_sc_hd__decap_4 + PLACED ( 69920 19040 ) FS ;
- FILLER_3_147 sky130_fd_sc_hd__decap_4 + PLACED ( 73140 19040 ) FS ;
- FILLER_3_154 sky130_fd_sc_hd__decap_4 + PLACED ( 76360 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 19040 ) FS ;
- FILLER_3_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 19040 ) FS ;
- FILLER_3_179 sky130_fd_sc_hd__decap_6 + PLACED ( 87860 19040 ) FS ;
- FILLER_3_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 19040 ) FS ;
- FILLER_3_189 sky130_fd_sc_hd__decap_12 + PLACED ( 92460 19040 ) FS ;
- FILLER_3_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 19040 ) FS ;
- FILLER_3_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 19040 ) FS ;
- FILLER_3_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 19040 ) FS ;
- FILLER_3_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 19040 ) FS ;
- FILLER_3_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 19040 ) FS ;
- FILLER_3_241 sky130_fd_sc_hd__decap_12 + PLACED ( 116380 19040 ) FS ;
- FILLER_3_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 19040 ) FS ;
- FILLER_3_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 19040 ) FS ;
- FILLER_3_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_8 + PLACED ( 134780 19040 ) FS ;
- FILLER_3_289 sky130_fd_sc_hd__fill_2 + PLACED ( 138460 19040 ) FS ;
- FILLER_3_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 19040 ) FS ;
- FILLER_3_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 19040 ) FS ;
- FILLER_3_300 sky130_fd_sc_hd__decap_4 + PLACED ( 143520 19040 ) FS ;
- FILLER_3_307 sky130_fd_sc_hd__decap_6 + PLACED ( 146740 19040 ) FS ;
- FILLER_3_316 sky130_fd_sc_hd__decap_4 + PLACED ( 150880 19040 ) FS ;
- FILLER_3_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 19040 ) FS ;
- FILLER_3_330 sky130_fd_sc_hd__decap_6 + PLACED ( 157320 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 19040 ) FS ;
- FILLER_3_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 19040 ) FS ;
- FILLER_3_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 19040 ) FS ;
- FILLER_3_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 19040 ) FS ;
- FILLER_3_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 19040 ) FS ;
- FILLER_3_417 sky130_fd_sc_hd__decap_6 + PLACED ( 197340 19040 ) FS ;
- FILLER_3_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 19040 ) FS ;
- FILLER_3_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 19040 ) FS ;
- FILLER_3_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 19040 ) FS ;
- FILLER_3_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 19040 ) FS ;
- FILLER_3_449 sky130_fd_sc_hd__fill_2 + PLACED ( 212060 19040 ) FS ;
- FILLER_3_454 sky130_fd_sc_hd__decap_4 + PLACED ( 214360 19040 ) FS ;
- FILLER_3_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 19040 ) FS ;
- FILLER_3_468 sky130_fd_sc_hd__decap_4 + PLACED ( 220800 19040 ) FS ;
- FILLER_3_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 19040 ) FS ;
- FILLER_3_493 sky130_fd_sc_hd__decap_4 + PLACED ( 232300 19040 ) FS ;
- FILLER_3_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 19040 ) FS ;
- FILLER_3_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 19040 ) FS ;
- FILLER_3_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 19040 ) FS ;
- FILLER_3_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 19040 ) FS ;
- FILLER_3_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 19040 ) FS ;
- FILLER_3_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 19040 ) FS ;
- FILLER_3_84 sky130_fd_sc_hd__decap_4 + PLACED ( 44160 19040 ) FS ;
- FILLER_3_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 19040 ) FS ;
- FILLER_40_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 119680 ) N ;
- FILLER_40_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 119680 ) N ;
- FILLER_40_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 119680 ) N ;
- FILLER_40_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 119680 ) N ;
- FILLER_40_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 119680 ) N ;
- FILLER_40_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 119680 ) N ;
- FILLER_40_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 119680 ) N ;
- FILLER_40_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 119680 ) N ;
- FILLER_40_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 119680 ) N ;
- FILLER_40_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 119680 ) N ;
- FILLER_40_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 119680 ) N ;
- FILLER_40_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 119680 ) N ;
- FILLER_40_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 119680 ) N ;
- FILLER_40_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 119680 ) N ;
- FILLER_40_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 119680 ) N ;
- FILLER_40_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 119680 ) N ;
- FILLER_40_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 119680 ) N ;
- FILLER_40_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 119680 ) N ;
- FILLER_40_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 119680 ) N ;
- FILLER_40_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 119680 ) N ;
- FILLER_40_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 119680 ) N ;
- FILLER_40_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 119680 ) N ;
- FILLER_40_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 119680 ) N ;
- FILLER_40_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 119680 ) N ;
- FILLER_40_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 119680 ) N ;
- FILLER_40_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 122400 ) FS ;
- FILLER_41_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 122400 ) FS ;
- FILLER_41_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 122400 ) FS ;
- FILLER_41_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 122400 ) FS ;
- FILLER_41_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 122400 ) FS ;
- FILLER_41_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 122400 ) FS ;
- FILLER_41_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 122400 ) FS ;
- FILLER_41_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 122400 ) FS ;
- FILLER_41_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 122400 ) FS ;
- FILLER_41_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 122400 ) FS ;
- FILLER_41_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 122400 ) FS ;
- FILLER_41_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 122400 ) FS ;
- FILLER_41_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 122400 ) FS ;
- FILLER_41_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 122400 ) FS ;
- FILLER_41_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 122400 ) FS ;
- FILLER_41_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 122400 ) FS ;
- FILLER_41_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 122400 ) FS ;
- FILLER_41_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 122400 ) FS ;
- FILLER_41_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 122400 ) FS ;
- FILLER_41_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 122400 ) FS ;
- FILLER_41_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 122400 ) FS ;
- FILLER_41_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 122400 ) FS ;
- FILLER_41_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 125120 ) N ;
- FILLER_42_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 125120 ) N ;
- FILLER_42_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 125120 ) N ;
- FILLER_42_224 sky130_fd_sc_hd__decap_4 + PLACED ( 108560 125120 ) N ;
- FILLER_42_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 125120 ) N ;
- FILLER_42_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 125120 ) N ;
- FILLER_42_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 125120 ) N ;
- FILLER_42_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 125120 ) N ;
- FILLER_42_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 125120 ) N ;
- FILLER_42_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 125120 ) N ;
- FILLER_42_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 125120 ) N ;
- FILLER_42_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 125120 ) N ;
- FILLER_42_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 125120 ) N ;
- FILLER_42_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 125120 ) N ;
- FILLER_42_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 125120 ) N ;
- FILLER_42_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 125120 ) N ;
- FILLER_42_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 125120 ) N ;
- FILLER_42_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 125120 ) N ;
- FILLER_42_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 125120 ) N ;
- FILLER_42_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 125120 ) N ;
- FILLER_42_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 125120 ) N ;
- FILLER_42_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 125120 ) N ;
- FILLER_42_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 125120 ) N ;
- FILLER_42_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 125120 ) N ;
- FILLER_42_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 125120 ) N ;
- FILLER_42_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 127840 ) FS ;
- FILLER_43_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 127840 ) FS ;
- FILLER_43_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 127840 ) FS ;
- FILLER_43_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 127840 ) FS ;
- FILLER_43_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 127840 ) FS ;
- FILLER_43_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 127840 ) FS ;
- FILLER_43_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 127840 ) FS ;
- FILLER_43_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 127840 ) FS ;
- FILLER_43_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 127840 ) FS ;
- FILLER_43_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 127840 ) FS ;
- FILLER_43_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 127840 ) FS ;
- FILLER_43_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 127840 ) FS ;
- FILLER_43_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 127840 ) FS ;
- FILLER_43_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 127840 ) FS ;
- FILLER_43_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 127840 ) FS ;
- FILLER_43_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 127840 ) FS ;
- FILLER_43_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 127840 ) FS ;
- FILLER_43_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 127840 ) FS ;
- FILLER_43_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 127840 ) FS ;
- FILLER_43_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 127840 ) FS ;
- FILLER_43_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 127840 ) FS ;
- FILLER_43_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 127840 ) FS ;
- FILLER_43_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 127840 ) FS ;
- FILLER_43_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 127840 ) FS ;
- FILLER_43_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 130560 ) N ;
- FILLER_44_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 130560 ) N ;
- FILLER_44_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 130560 ) N ;
- FILLER_44_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 130560 ) N ;
- FILLER_44_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 130560 ) N ;
- FILLER_44_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 130560 ) N ;
- FILLER_44_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 130560 ) N ;
- FILLER_44_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 130560 ) N ;
- FILLER_44_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 130560 ) N ;
- FILLER_44_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 130560 ) N ;
- FILLER_44_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 130560 ) N ;
- FILLER_44_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 130560 ) N ;
- FILLER_44_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 130560 ) N ;
- FILLER_44_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 130560 ) N ;
- FILLER_44_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 130560 ) N ;
- FILLER_44_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 130560 ) N ;
- FILLER_44_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 130560 ) N ;
- FILLER_44_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 130560 ) N ;
- FILLER_44_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 130560 ) N ;
- FILLER_44_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 130560 ) N ;
- FILLER_44_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 130560 ) N ;
- FILLER_44_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 130560 ) N ;
- FILLER_44_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 130560 ) N ;
- FILLER_44_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 130560 ) N ;
- FILLER_44_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 130560 ) N ;
- FILLER_44_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 133280 ) FS ;
- FILLER_45_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 133280 ) FS ;
- FILLER_45_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 133280 ) FS ;
- FILLER_45_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 133280 ) FS ;
- FILLER_45_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 133280 ) FS ;
- FILLER_45_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 133280 ) FS ;
- FILLER_45_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 133280 ) FS ;
- FILLER_45_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 133280 ) FS ;
- FILLER_45_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 133280 ) FS ;
- FILLER_45_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 133280 ) FS ;
- FILLER_45_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 133280 ) FS ;
- FILLER_45_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 133280 ) FS ;
- FILLER_45_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 133280 ) FS ;
- FILLER_45_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 133280 ) FS ;
- FILLER_45_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 133280 ) FS ;
- FILLER_45_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 133280 ) FS ;
- FILLER_45_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 133280 ) FS ;
- FILLER_45_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 133280 ) FS ;
- FILLER_45_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 133280 ) FS ;
- FILLER_45_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 133280 ) FS ;
- FILLER_45_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 133280 ) FS ;
- FILLER_45_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 133280 ) FS ;
- FILLER_45_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 136000 ) N ;
- FILLER_46_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 136000 ) N ;
- FILLER_46_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 136000 ) N ;
- FILLER_46_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 136000 ) N ;
- FILLER_46_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 136000 ) N ;
- FILLER_46_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 136000 ) N ;
- FILLER_46_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 136000 ) N ;
- FILLER_46_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 136000 ) N ;
- FILLER_46_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 136000 ) N ;
- FILLER_46_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 136000 ) N ;
- FILLER_46_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 136000 ) N ;
- FILLER_46_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 136000 ) N ;
- FILLER_46_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 136000 ) N ;
- FILLER_46_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 136000 ) N ;
- FILLER_46_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 136000 ) N ;
- FILLER_46_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 136000 ) N ;
- FILLER_46_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 136000 ) N ;
- FILLER_46_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 136000 ) N ;
- FILLER_46_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 136000 ) N ;
- FILLER_46_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 136000 ) N ;
- FILLER_46_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 138720 ) FS ;
- FILLER_47_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 138720 ) FS ;
- FILLER_47_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 138720 ) FS ;
- FILLER_47_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 138720 ) FS ;
- FILLER_47_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 138720 ) FS ;
- FILLER_47_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 138720 ) FS ;
- FILLER_47_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 138720 ) FS ;
- FILLER_47_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 138720 ) FS ;
- FILLER_47_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 138720 ) FS ;
- FILLER_47_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 138720 ) FS ;
- FILLER_47_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 138720 ) FS ;
- FILLER_47_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 138720 ) FS ;
- FILLER_47_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 138720 ) FS ;
- FILLER_47_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 138720 ) FS ;
- FILLER_47_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 138720 ) FS ;
- FILLER_47_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 138720 ) FS ;
- FILLER_47_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 138720 ) FS ;
- FILLER_47_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 138720 ) FS ;
- FILLER_47_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 138720 ) FS ;
- FILLER_47_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 138720 ) FS ;
- FILLER_47_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 138720 ) FS ;
- FILLER_47_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 138720 ) FS ;
- FILLER_47_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 138720 ) FS ;
- FILLER_47_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 138720 ) FS ;
- FILLER_47_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 138720 ) FS ;
- FILLER_47_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 138720 ) FS ;
- FILLER_47_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 141440 ) N ;
- FILLER_48_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 141440 ) N ;
- FILLER_48_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 141440 ) N ;
- FILLER_48_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 141440 ) N ;
- FILLER_48_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 141440 ) N ;
- FILLER_48_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 141440 ) N ;
- FILLER_48_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 141440 ) N ;
- FILLER_48_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 141440 ) N ;
- FILLER_48_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 141440 ) N ;
- FILLER_48_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 141440 ) N ;
- FILLER_48_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 141440 ) N ;
- FILLER_48_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 141440 ) N ;
- FILLER_48_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 141440 ) N ;
- FILLER_48_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 141440 ) N ;
- FILLER_48_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 141440 ) N ;
- FILLER_48_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 141440 ) N ;
- FILLER_48_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 141440 ) N ;
- FILLER_48_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 141440 ) N ;
- FILLER_48_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 141440 ) N ;
- FILLER_48_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 141440 ) N ;
- FILLER_48_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 141440 ) N ;
- FILLER_48_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 141440 ) N ;
- FILLER_48_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 141440 ) N ;
- FILLER_48_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 141440 ) N ;
- FILLER_48_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 144160 ) FS ;
- FILLER_49_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 144160 ) FS ;
- FILLER_49_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 144160 ) FS ;
- FILLER_49_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 144160 ) FS ;
- FILLER_49_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 144160 ) FS ;
- FILLER_49_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 144160 ) FS ;
- FILLER_49_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 144160 ) FS ;
- FILLER_49_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 144160 ) FS ;
- FILLER_49_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 144160 ) FS ;
- FILLER_49_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 144160 ) FS ;
- FILLER_49_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 144160 ) FS ;
- FILLER_49_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 144160 ) FS ;
- FILLER_49_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 144160 ) FS ;
- FILLER_49_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 144160 ) FS ;
- FILLER_49_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 144160 ) FS ;
- FILLER_49_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 144160 ) FS ;
- FILLER_49_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 144160 ) FS ;
- FILLER_49_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 144160 ) FS ;
- FILLER_49_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 144160 ) FS ;
- FILLER_49_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 144160 ) FS ;
- FILLER_49_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 144160 ) FS ;
- FILLER_49_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 144160 ) FS ;
- FILLER_49_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 144160 ) FS ;
- FILLER_49_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 144160 ) FS ;
- FILLER_49_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 144160 ) FS ;
- FILLER_49_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 144160 ) FS ;
- FILLER_4_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 21760 ) N ;
- FILLER_4_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 21760 ) N ;
- FILLER_4_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 21760 ) N ;
- FILLER_4_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 21760 ) N ;
- FILLER_4_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 21760 ) N ;
- FILLER_4_150 sky130_fd_sc_hd__decap_8 + PLACED ( 74520 21760 ) N ;
- FILLER_4_161 sky130_fd_sc_hd__decap_4 + PLACED ( 79580 21760 ) N ;
- FILLER_4_167 sky130_fd_sc_hd__decap_4 + PLACED ( 82340 21760 ) N ;
- FILLER_4_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 21760 ) N ;
- FILLER_4_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 21760 ) N ;
- FILLER_4_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 21760 ) N ;
- FILLER_4_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 21760 ) N ;
- FILLER_4_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 21760 ) N ;
- FILLER_4_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
- FILLER_4_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 21760 ) N ;
- FILLER_4_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 21760 ) N ;
- FILLER_4_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 21760 ) N ;
- FILLER_4_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 21760 ) N ;
- FILLER_4_318 sky130_fd_sc_hd__decap_4 + PLACED ( 151800 21760 ) N ;
- FILLER_4_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 21760 ) N ;
- FILLER_4_330 sky130_fd_sc_hd__decap_12 + PLACED ( 157320 21760 ) N ;
- FILLER_4_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 21760 ) N ;
- FILLER_4_354 sky130_fd_sc_hd__decap_8 + PLACED ( 168360 21760 ) N ;
- FILLER_4_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 21760 ) N ;
- FILLER_4_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 21760 ) N ;
- FILLER_4_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 21760 ) N ;
- FILLER_4_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 21760 ) N ;
- FILLER_4_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 21760 ) N ;
- FILLER_4_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 21760 ) N ;
- FILLER_4_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 21760 ) N ;
- FILLER_4_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 21760 ) N ;
- FILLER_4_425 sky130_fd_sc_hd__fill_1 + PLACED ( 201020 21760 ) N ;
- FILLER_4_428 sky130_fd_sc_hd__decap_4 + PLACED ( 202400 21760 ) N ;
- FILLER_4_434 sky130_fd_sc_hd__decap_4 + PLACED ( 205160 21760 ) N ;
- FILLER_4_440 sky130_fd_sc_hd__decap_4 + PLACED ( 207920 21760 ) N ;
- FILLER_4_446 sky130_fd_sc_hd__decap_4 + PLACED ( 210680 21760 ) N ;
- FILLER_4_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 21760 ) N ;
- FILLER_4_460 sky130_fd_sc_hd__decap_4 + PLACED ( 217120 21760 ) N ;
- FILLER_4_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 21760 ) N ;
- FILLER_4_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 21760 ) N ;
- FILLER_4_491 sky130_fd_sc_hd__decap_6 + PLACED ( 231380 21760 ) N ;
- FILLER_4_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 21760 ) N ;
- FILLER_4_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 21760 ) N ;
- FILLER_4_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 21760 ) N ;
- FILLER_4_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 21760 ) N ;
- FILLER_4_7 sky130_fd_sc_hd__decap_6 + PLACED ( 8740 21760 ) N ;
- FILLER_4_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 21760 ) N ;
- FILLER_4_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 21760 ) N ;
- FILLER_4_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 21760 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 146880 ) N ;
- FILLER_50_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 146880 ) N ;
- FILLER_50_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 146880 ) N ;
- FILLER_50_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 146880 ) N ;
- FILLER_50_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 146880 ) N ;
- FILLER_50_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 146880 ) N ;
- FILLER_50_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 146880 ) N ;
- FILLER_50_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 146880 ) N ;
- FILLER_50_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 146880 ) N ;
- FILLER_50_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 146880 ) N ;
- FILLER_50_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 146880 ) N ;
- FILLER_50_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 146880 ) N ;
- FILLER_50_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 146880 ) N ;
- FILLER_50_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 146880 ) N ;
- FILLER_50_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 146880 ) N ;
- FILLER_50_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 146880 ) N ;
- FILLER_50_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 146880 ) N ;
- FILLER_50_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 146880 ) N ;
- FILLER_50_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 146880 ) N ;
- FILLER_50_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 146880 ) N ;
- FILLER_50_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 146880 ) N ;
- FILLER_50_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 146880 ) N ;
- FILLER_50_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 149600 ) FS ;
- FILLER_51_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 149600 ) FS ;
- FILLER_51_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 149600 ) FS ;
- FILLER_51_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 149600 ) FS ;
- FILLER_51_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 149600 ) FS ;
- FILLER_51_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 149600 ) FS ;
- FILLER_51_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 149600 ) FS ;
- FILLER_51_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 149600 ) FS ;
- FILLER_51_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 149600 ) FS ;
- FILLER_51_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 149600 ) FS ;
- FILLER_51_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 149600 ) FS ;
- FILLER_51_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 149600 ) FS ;
- FILLER_51_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 149600 ) FS ;
- FILLER_51_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 149600 ) FS ;
- FILLER_51_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 149600 ) FS ;
- FILLER_51_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 149600 ) FS ;
- FILLER_51_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 149600 ) FS ;
- FILLER_51_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 149600 ) FS ;
- FILLER_51_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 149600 ) FS ;
- FILLER_51_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 149600 ) FS ;
- FILLER_51_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 149600 ) FS ;
- FILLER_51_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 149600 ) FS ;
- FILLER_51_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 152320 ) N ;
- FILLER_52_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 152320 ) N ;
- FILLER_52_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 152320 ) N ;
- FILLER_52_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 152320 ) N ;
- FILLER_52_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 152320 ) N ;
- FILLER_52_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 152320 ) N ;
- FILLER_52_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 152320 ) N ;
- FILLER_52_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 152320 ) N ;
- FILLER_52_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 152320 ) N ;
- FILLER_52_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 152320 ) N ;
- FILLER_52_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 152320 ) N ;
- FILLER_52_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 152320 ) N ;
- FILLER_52_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 152320 ) N ;
- FILLER_52_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 152320 ) N ;
- FILLER_52_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 152320 ) N ;
- FILLER_52_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 152320 ) N ;
- FILLER_52_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 152320 ) N ;
- FILLER_52_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 152320 ) N ;
- FILLER_52_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 152320 ) N ;
- FILLER_52_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 152320 ) N ;
- FILLER_52_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 152320 ) N ;
- FILLER_52_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 152320 ) N ;
- FILLER_52_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 152320 ) N ;
- FILLER_52_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 152320 ) N ;
- FILLER_52_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 155040 ) FS ;
- FILLER_53_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 155040 ) FS ;
- FILLER_53_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 155040 ) FS ;
- FILLER_53_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 155040 ) FS ;
- FILLER_53_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 155040 ) FS ;
- FILLER_53_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 155040 ) FS ;
- FILLER_53_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 155040 ) FS ;
- FILLER_53_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 155040 ) FS ;
- FILLER_53_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 155040 ) FS ;
- FILLER_53_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 155040 ) FS ;
- FILLER_53_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 155040 ) FS ;
- FILLER_53_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 155040 ) FS ;
- FILLER_53_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 155040 ) FS ;
- FILLER_53_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 155040 ) FS ;
- FILLER_53_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 155040 ) FS ;
- FILLER_53_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 155040 ) FS ;
- FILLER_53_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 155040 ) FS ;
- FILLER_53_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 155040 ) FS ;
- FILLER_53_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 155040 ) FS ;
- FILLER_53_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 155040 ) FS ;
- FILLER_53_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 155040 ) FS ;
- FILLER_53_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 155040 ) FS ;
- FILLER_53_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 155040 ) FS ;
- FILLER_53_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 155040 ) FS ;
- FILLER_53_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 155040 ) FS ;
- FILLER_53_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 155040 ) FS ;
- FILLER_53_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 155040 ) FS ;
- FILLER_53_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 155040 ) FS ;
- FILLER_53_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 155040 ) FS ;
- FILLER_53_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 155040 ) FS ;
- FILLER_53_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 157760 ) N ;
- FILLER_54_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_8 + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 157760 ) N ;
- FILLER_54_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 157760 ) N ;
- FILLER_54_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 157760 ) N ;
- FILLER_54_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 157760 ) N ;
- FILLER_54_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 157760 ) N ;
- FILLER_54_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 157760 ) N ;
- FILLER_54_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 157760 ) N ;
- FILLER_54_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 157760 ) N ;
- FILLER_54_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 157760 ) N ;
- FILLER_54_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 157760 ) N ;
- FILLER_54_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 157760 ) N ;
- FILLER_54_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 157760 ) N ;
- FILLER_54_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 157760 ) N ;
- FILLER_54_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 157760 ) N ;
- FILLER_54_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 157760 ) N ;
- FILLER_54_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 157760 ) N ;
- FILLER_54_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 157760 ) N ;
- FILLER_54_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 157760 ) N ;
- FILLER_54_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 157760 ) N ;
- FILLER_54_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 157760 ) N ;
- FILLER_54_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 157760 ) N ;
- FILLER_54_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 157760 ) N ;
- FILLER_54_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 160480 ) FS ;
- FILLER_55_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 160480 ) FS ;
- FILLER_55_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__fill_2 + PLACED ( 114540 160480 ) FS ;
- FILLER_55_243 sky130_fd_sc_hd__decap_12 + PLACED ( 117300 160480 ) FS ;
- FILLER_55_255 sky130_fd_sc_hd__decap_12 + PLACED ( 122820 160480 ) FS ;
- FILLER_55_267 sky130_fd_sc_hd__decap_12 + PLACED ( 128340 160480 ) FS ;
- FILLER_55_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 160480 ) FS ;
- FILLER_55_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 160480 ) FS ;
- FILLER_55_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 160480 ) FS ;
- FILLER_55_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 160480 ) FS ;
- FILLER_55_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 160480 ) FS ;
- FILLER_55_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 160480 ) FS ;
- FILLER_55_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 160480 ) FS ;
- FILLER_55_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 160480 ) FS ;
- FILLER_55_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 160480 ) FS ;
- FILLER_55_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 160480 ) FS ;
- FILLER_55_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 160480 ) FS ;
- FILLER_55_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 160480 ) FS ;
- FILLER_55_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 160480 ) FS ;
- FILLER_55_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 160480 ) FS ;
- FILLER_55_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 160480 ) FS ;
- FILLER_55_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 160480 ) FS ;
- FILLER_55_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 160480 ) FS ;
- FILLER_55_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 160480 ) FS ;
- FILLER_55_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 160480 ) FS ;
- FILLER_55_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 160480 ) FS ;
- FILLER_55_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 160480 ) FS ;
- FILLER_55_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 160480 ) FS ;
- FILLER_55_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 160480 ) FS ;
- FILLER_55_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 160480 ) FS ;
- FILLER_55_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 160480 ) FS ;
- FILLER_55_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 160480 ) FS ;
- FILLER_55_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 160480 ) FS ;
- FILLER_56_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 163200 ) N ;
- FILLER_56_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 163200 ) N ;
- FILLER_56_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 163200 ) N ;
- FILLER_56_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 163200 ) N ;
- FILLER_56_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 163200 ) N ;
- FILLER_56_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 163200 ) N ;
- FILLER_56_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 163200 ) N ;
- FILLER_56_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 163200 ) N ;
- FILLER_56_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 163200 ) N ;
- FILLER_56_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 163200 ) N ;
- FILLER_56_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 163200 ) N ;
- FILLER_56_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 163200 ) N ;
- FILLER_56_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 163200 ) N ;
- FILLER_56_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 163200 ) N ;
- FILLER_56_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 163200 ) N ;
- FILLER_56_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 163200 ) N ;
- FILLER_56_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 163200 ) N ;
- FILLER_56_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 163200 ) N ;
- FILLER_56_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 163200 ) N ;
- FILLER_56_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 163200 ) N ;
- FILLER_56_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 163200 ) N ;
- FILLER_56_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 163200 ) N ;
- FILLER_56_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 163200 ) N ;
- FILLER_56_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 163200 ) N ;
- FILLER_56_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 163200 ) N ;
- FILLER_56_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 163200 ) N ;
- FILLER_56_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 163200 ) N ;
- FILLER_56_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 163200 ) N ;
- FILLER_56_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 163200 ) N ;
- FILLER_56_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 163200 ) N ;
- FILLER_56_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 163200 ) N ;
- FILLER_56_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 163200 ) N ;
- FILLER_56_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 163200 ) N ;
- FILLER_56_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 163200 ) N ;
- FILLER_56_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 165920 ) FS ;
- FILLER_57_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 165920 ) FS ;
- FILLER_57_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 165920 ) FS ;
- FILLER_57_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 165920 ) FS ;
- FILLER_57_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 165920 ) FS ;
- FILLER_57_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 165920 ) FS ;
- FILLER_57_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 165920 ) FS ;
- FILLER_57_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 165920 ) FS ;
- FILLER_57_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 165920 ) FS ;
- FILLER_57_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 165920 ) FS ;
- FILLER_57_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 165920 ) FS ;
- FILLER_57_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 165920 ) FS ;
- FILLER_57_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 165920 ) FS ;
- FILLER_57_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 165920 ) FS ;
- FILLER_57_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 165920 ) FS ;
- FILLER_57_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 165920 ) FS ;
- FILLER_57_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 165920 ) FS ;
- FILLER_57_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 165920 ) FS ;
- FILLER_57_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 165920 ) FS ;
- FILLER_57_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 165920 ) FS ;
- FILLER_57_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 165920 ) FS ;
- FILLER_57_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 165920 ) FS ;
- FILLER_57_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 165920 ) FS ;
- FILLER_57_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 165920 ) FS ;
- FILLER_57_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 165920 ) FS ;
- FILLER_57_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 165920 ) FS ;
- FILLER_57_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 165920 ) FS ;
- FILLER_57_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 165920 ) FS ;
- FILLER_57_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 165920 ) FS ;
- FILLER_57_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 165920 ) FS ;
- FILLER_57_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 165920 ) FS ;
- FILLER_57_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 165920 ) FS ;
- FILLER_57_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 165920 ) FS ;
- FILLER_57_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 165920 ) FS ;
- FILLER_57_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 165920 ) FS ;
- FILLER_57_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 165920 ) FS ;
- FILLER_57_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 165920 ) FS ;
- FILLER_57_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 165920 ) FS ;
- FILLER_57_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 168640 ) N ;
- FILLER_58_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 168640 ) N ;
- FILLER_58_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 168640 ) N ;
- FILLER_58_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 168640 ) N ;
- FILLER_58_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 168640 ) N ;
- FILLER_58_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 168640 ) N ;
- FILLER_58_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 168640 ) N ;
- FILLER_58_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 168640 ) N ;
- FILLER_58_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 168640 ) N ;
- FILLER_58_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 168640 ) N ;
- FILLER_58_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 168640 ) N ;
- FILLER_58_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 168640 ) N ;
- FILLER_58_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 168640 ) N ;
- FILLER_58_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 168640 ) N ;
- FILLER_58_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 168640 ) N ;
- FILLER_58_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 168640 ) N ;
- FILLER_58_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 168640 ) N ;
- FILLER_58_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 168640 ) N ;
- FILLER_58_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 168640 ) N ;
- FILLER_58_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 168640 ) N ;
- FILLER_58_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 168640 ) N ;
- FILLER_58_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 168640 ) N ;
- FILLER_58_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 168640 ) N ;
- FILLER_58_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 168640 ) N ;
- FILLER_58_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 168640 ) N ;
- FILLER_58_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 168640 ) N ;
- FILLER_58_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 168640 ) N ;
- FILLER_58_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 168640 ) N ;
- FILLER_58_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 168640 ) N ;
- FILLER_58_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 168640 ) N ;
- FILLER_58_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 168640 ) N ;
- FILLER_58_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 168640 ) N ;
- FILLER_58_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 168640 ) N ;
- FILLER_58_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 168640 ) N ;
- FILLER_58_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 168640 ) N ;
- FILLER_58_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 168640 ) N ;
- FILLER_59_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 171360 ) FS ;
- FILLER_59_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 171360 ) FS ;
- FILLER_59_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 171360 ) FS ;
- FILLER_59_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 171360 ) FS ;
- FILLER_59_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 171360 ) FS ;
- FILLER_59_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 171360 ) FS ;
- FILLER_59_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 171360 ) FS ;
- FILLER_59_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 171360 ) FS ;
- FILLER_59_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 171360 ) FS ;
- FILLER_59_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 171360 ) FS ;
- FILLER_59_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 171360 ) FS ;
- FILLER_59_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 171360 ) FS ;
- FILLER_59_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 171360 ) FS ;
- FILLER_59_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 171360 ) FS ;
- FILLER_59_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 171360 ) FS ;
- FILLER_59_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 171360 ) FS ;
- FILLER_59_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 171360 ) FS ;
- FILLER_59_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 171360 ) FS ;
- FILLER_59_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 171360 ) FS ;
- FILLER_59_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 171360 ) FS ;
- FILLER_59_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 171360 ) FS ;
- FILLER_59_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 171360 ) FS ;
- FILLER_59_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 171360 ) FS ;
- FILLER_59_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 171360 ) FS ;
- FILLER_59_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 171360 ) FS ;
- FILLER_59_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 171360 ) FS ;
- FILLER_59_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 171360 ) FS ;
- FILLER_59_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 171360 ) FS ;
- FILLER_59_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 171360 ) FS ;
- FILLER_59_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 171360 ) FS ;
- FILLER_59_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 171360 ) FS ;
- FILLER_59_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 171360 ) FS ;
- FILLER_59_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 171360 ) FS ;
- FILLER_59_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 171360 ) FS ;
- FILLER_5_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 24480 ) FS ;
- FILLER_5_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 24480 ) FS ;
- FILLER_5_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 24480 ) FS ;
- FILLER_5_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 24480 ) FS ;
- FILLER_5_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 24480 ) FS ;
- FILLER_5_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 24480 ) FS ;
- FILLER_5_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 24480 ) FS ;
- FILLER_5_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 24480 ) FS ;
- FILLER_5_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 24480 ) FS ;
- FILLER_5_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 24480 ) FS ;
- FILLER_5_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_8 + PLACED ( 140300 24480 ) FS ;
- FILLER_5_301 sky130_fd_sc_hd__decap_3 + PLACED ( 143980 24480 ) FS ;
- FILLER_5_306 sky130_fd_sc_hd__decap_6 + PLACED ( 146280 24480 ) FS ;
- FILLER_5_312 sky130_fd_sc_hd__fill_1 + PLACED ( 149040 24480 ) FS ;
- FILLER_5_315 sky130_fd_sc_hd__decap_12 + PLACED ( 150420 24480 ) FS ;
- FILLER_5_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 24480 ) FS ;
- FILLER_5_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 24480 ) FS ;
- FILLER_5_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 24480 ) FS ;
- FILLER_5_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 24480 ) FS ;
- FILLER_5_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 24480 ) FS ;
- FILLER_5_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 24480 ) FS ;
- FILLER_5_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 24480 ) FS ;
- FILLER_5_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 24480 ) FS ;
- FILLER_5_429 sky130_fd_sc_hd__decap_6 + PLACED ( 202860 24480 ) FS ;
- FILLER_5_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 24480 ) FS ;
- FILLER_5_435 sky130_fd_sc_hd__fill_1 + PLACED ( 205620 24480 ) FS ;
- FILLER_5_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 24480 ) FS ;
- FILLER_5_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 24480 ) FS ;
- FILLER_5_449 sky130_fd_sc_hd__decap_3 + PLACED ( 212060 24480 ) FS ;
- FILLER_5_454 sky130_fd_sc_hd__decap_4 + PLACED ( 214360 24480 ) FS ;
- FILLER_5_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 24480 ) FS ;
- FILLER_5_468 sky130_fd_sc_hd__decap_4 + PLACED ( 220800 24480 ) FS ;
- FILLER_5_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 24480 ) FS ;
- FILLER_5_493 sky130_fd_sc_hd__decap_4 + PLACED ( 232300 24480 ) FS ;
- FILLER_5_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 24480 ) FS ;
- FILLER_5_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 24480 ) FS ;
- FILLER_5_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 24480 ) FS ;
- FILLER_5_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 24480 ) FS ;
- FILLER_5_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 24480 ) FS ;
- FILLER_5_66 sky130_fd_sc_hd__decap_6 + PLACED ( 35880 24480 ) FS ;
- FILLER_5_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 24480 ) FS ;
- FILLER_5_78 sky130_fd_sc_hd__decap_6 + PLACED ( 41400 24480 ) FS ;
- FILLER_5_89 sky130_fd_sc_hd__decap_6 + PLACED ( 46460 24480 ) FS ;
- FILLER_5_95 sky130_fd_sc_hd__fill_1 + PLACED ( 49220 24480 ) FS ;
- FILLER_60_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 174080 ) N ;
- FILLER_60_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 174080 ) N ;
- FILLER_60_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 174080 ) N ;
- FILLER_60_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 174080 ) N ;
- FILLER_60_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 174080 ) N ;
- FILLER_60_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 174080 ) N ;
- FILLER_60_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 174080 ) N ;
- FILLER_60_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 174080 ) N ;
- FILLER_60_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 174080 ) N ;
- FILLER_60_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 174080 ) N ;
- FILLER_60_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 174080 ) N ;
- FILLER_60_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 174080 ) N ;
- FILLER_60_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 174080 ) N ;
- FILLER_60_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 174080 ) N ;
- FILLER_60_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 174080 ) N ;
- FILLER_60_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 174080 ) N ;
- FILLER_60_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 174080 ) N ;
- FILLER_60_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 174080 ) N ;
- FILLER_60_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 174080 ) N ;
- FILLER_60_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 174080 ) N ;
- FILLER_60_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 174080 ) N ;
- FILLER_60_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 174080 ) N ;
- FILLER_60_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 174080 ) N ;
- FILLER_60_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 174080 ) N ;
- FILLER_60_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 174080 ) N ;
- FILLER_60_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 174080 ) N ;
- FILLER_60_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 174080 ) N ;
- FILLER_60_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 174080 ) N ;
- FILLER_60_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 174080 ) N ;
- FILLER_60_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 174080 ) N ;
- FILLER_60_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 174080 ) N ;
- FILLER_60_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 174080 ) N ;
- FILLER_60_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 174080 ) N ;
- FILLER_60_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 174080 ) N ;
- FILLER_60_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 174080 ) N ;
- FILLER_60_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 174080 ) N ;
- FILLER_60_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 174080 ) N ;
- FILLER_60_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 174080 ) N ;
- FILLER_60_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 174080 ) N ;
- FILLER_60_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 174080 ) N ;
- FILLER_60_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 174080 ) N ;
- FILLER_60_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 174080 ) N ;
- FILLER_60_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 174080 ) N ;
- FILLER_60_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 174080 ) N ;
- FILLER_61_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 176800 ) FS ;
- FILLER_61_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 176800 ) FS ;
- FILLER_61_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 176800 ) FS ;
- FILLER_61_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 176800 ) FS ;
- FILLER_61_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 176800 ) FS ;
- FILLER_61_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 176800 ) FS ;
- FILLER_61_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 176800 ) FS ;
- FILLER_61_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 176800 ) FS ;
- FILLER_61_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 176800 ) FS ;
- FILLER_61_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 176800 ) FS ;
- FILLER_61_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 176800 ) FS ;
- FILLER_61_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 176800 ) FS ;
- FILLER_61_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 176800 ) FS ;
- FILLER_61_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 176800 ) FS ;
- FILLER_61_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 176800 ) FS ;
- FILLER_61_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 176800 ) FS ;
- FILLER_61_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 176800 ) FS ;
- FILLER_61_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 176800 ) FS ;
- FILLER_61_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 176800 ) FS ;
- FILLER_61_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 176800 ) FS ;
- FILLER_61_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 176800 ) FS ;
- FILLER_61_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 176800 ) FS ;
- FILLER_61_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 176800 ) FS ;
- FILLER_61_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 176800 ) FS ;
- FILLER_61_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 176800 ) FS ;
- FILLER_61_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 176800 ) FS ;
- FILLER_61_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 176800 ) FS ;
- FILLER_61_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 176800 ) FS ;
- FILLER_61_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 176800 ) FS ;
- FILLER_61_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 176800 ) FS ;
- FILLER_61_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 176800 ) FS ;
- FILLER_61_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 176800 ) FS ;
- FILLER_61_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 176800 ) FS ;
- FILLER_61_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 176800 ) FS ;
- FILLER_61_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 176800 ) FS ;
- FILLER_61_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 176800 ) FS ;
- FILLER_61_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 176800 ) FS ;
- FILLER_61_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 176800 ) FS ;
- FILLER_61_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 176800 ) FS ;
- FILLER_61_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 176800 ) FS ;
- FILLER_61_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 176800 ) FS ;
- FILLER_61_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 176800 ) FS ;
- FILLER_61_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 176800 ) FS ;
- FILLER_61_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 176800 ) FS ;
- FILLER_61_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 176800 ) FS ;
- FILLER_61_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 176800 ) FS ;
- FILLER_61_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 176800 ) FS ;
- FILLER_61_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 176800 ) FS ;
- FILLER_61_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 176800 ) FS ;
- FILLER_61_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 176800 ) FS ;
- FILLER_61_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 176800 ) FS ;
- FILLER_62_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 179520 ) N ;
- FILLER_62_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 179520 ) N ;
- FILLER_62_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 179520 ) N ;
- FILLER_62_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 179520 ) N ;
- FILLER_62_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 179520 ) N ;
- FILLER_62_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 179520 ) N ;
- FILLER_62_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 179520 ) N ;
- FILLER_62_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 179520 ) N ;
- FILLER_62_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 179520 ) N ;
- FILLER_62_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 179520 ) N ;
- FILLER_62_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 179520 ) N ;
- FILLER_62_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 179520 ) N ;
- FILLER_62_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 179520 ) N ;
- FILLER_62_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 179520 ) N ;
- FILLER_62_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 179520 ) N ;
- FILLER_62_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 179520 ) N ;
- FILLER_62_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 179520 ) N ;
- FILLER_62_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 179520 ) N ;
- FILLER_62_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 179520 ) N ;
- FILLER_62_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 179520 ) N ;
- FILLER_62_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 179520 ) N ;
- FILLER_62_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 179520 ) N ;
- FILLER_62_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 179520 ) N ;
- FILLER_62_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 179520 ) N ;
- FILLER_62_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 179520 ) N ;
- FILLER_62_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 179520 ) N ;
- FILLER_62_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 179520 ) N ;
- FILLER_62_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 179520 ) N ;
- FILLER_62_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 179520 ) N ;
- FILLER_62_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 179520 ) N ;
- FILLER_62_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 179520 ) N ;
- FILLER_62_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 179520 ) N ;
- FILLER_62_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 179520 ) N ;
- FILLER_62_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 179520 ) N ;
- FILLER_62_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 179520 ) N ;
- FILLER_62_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 179520 ) N ;
- FILLER_62_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 179520 ) N ;
- FILLER_62_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 179520 ) N ;
- FILLER_62_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 179520 ) N ;
- FILLER_62_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 179520 ) N ;
- FILLER_62_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 179520 ) N ;
- FILLER_62_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 179520 ) N ;
- FILLER_62_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 179520 ) N ;
- FILLER_62_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 179520 ) N ;
- FILLER_62_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 179520 ) N ;
- FILLER_62_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 179520 ) N ;
- FILLER_62_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 179520 ) N ;
- FILLER_62_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 179520 ) N ;
- FILLER_62_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 179520 ) N ;
- FILLER_62_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 179520 ) N ;
- FILLER_62_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 179520 ) N ;
- FILLER_62_93 sky130_fd_sc_hd__fill_2 + PLACED ( 48300 179520 ) N ;
- FILLER_62_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 179520 ) N ;
- FILLER_63_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 182240 ) FS ;
- FILLER_63_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 182240 ) FS ;
- FILLER_63_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 182240 ) FS ;
- FILLER_63_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 182240 ) FS ;
- FILLER_63_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 182240 ) FS ;
- FILLER_63_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 182240 ) FS ;
- FILLER_63_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 182240 ) FS ;
- FILLER_63_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 182240 ) FS ;
- FILLER_63_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 182240 ) FS ;
- FILLER_63_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 182240 ) FS ;
- FILLER_63_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 182240 ) FS ;
- FILLER_63_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 182240 ) FS ;
- FILLER_63_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 182240 ) FS ;
- FILLER_63_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 182240 ) FS ;
- FILLER_63_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 182240 ) FS ;
- FILLER_63_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 182240 ) FS ;
- FILLER_63_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 182240 ) FS ;
- FILLER_63_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 182240 ) FS ;
- FILLER_63_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 182240 ) FS ;
- FILLER_63_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 182240 ) FS ;
- FILLER_63_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 182240 ) FS ;
- FILLER_63_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 182240 ) FS ;
- FILLER_63_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 182240 ) FS ;
- FILLER_63_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 182240 ) FS ;
- FILLER_63_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 182240 ) FS ;
- FILLER_63_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 182240 ) FS ;
- FILLER_63_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 182240 ) FS ;
- FILLER_63_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 182240 ) FS ;
- FILLER_63_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 182240 ) FS ;
- FILLER_63_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 182240 ) FS ;
- FILLER_63_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 182240 ) FS ;
- FILLER_63_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 182240 ) FS ;
- FILLER_63_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 182240 ) FS ;
- FILLER_63_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 182240 ) FS ;
- FILLER_63_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 182240 ) FS ;
- FILLER_63_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 182240 ) FS ;
- FILLER_63_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 182240 ) FS ;
- FILLER_63_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 182240 ) FS ;
- FILLER_63_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 182240 ) FS ;
- FILLER_63_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 182240 ) FS ;
- FILLER_63_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 182240 ) FS ;
- FILLER_63_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 182240 ) FS ;
- FILLER_63_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 182240 ) FS ;
- FILLER_63_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 182240 ) FS ;
- FILLER_63_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 182240 ) FS ;
- FILLER_63_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 182240 ) FS ;
- FILLER_63_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 182240 ) FS ;
- FILLER_63_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 182240 ) FS ;
- FILLER_63_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 182240 ) FS ;
- FILLER_63_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 182240 ) FS ;
- FILLER_63_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 182240 ) FS ;
- FILLER_63_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 182240 ) FS ;
- FILLER_63_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 182240 ) FS ;
- FILLER_63_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 182240 ) FS ;
- FILLER_64_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 184960 ) N ;
- FILLER_64_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 184960 ) N ;
- FILLER_64_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 184960 ) N ;
- FILLER_64_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 184960 ) N ;
- FILLER_64_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 184960 ) N ;
- FILLER_64_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 184960 ) N ;
- FILLER_64_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 184960 ) N ;
- FILLER_64_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 184960 ) N ;
- FILLER_64_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 184960 ) N ;
- FILLER_64_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 184960 ) N ;
- FILLER_64_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 184960 ) N ;
- FILLER_64_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 184960 ) N ;
- FILLER_64_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 184960 ) N ;
- FILLER_64_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 184960 ) N ;
- FILLER_64_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 184960 ) N ;
- FILLER_64_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 184960 ) N ;
- FILLER_64_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 184960 ) N ;
- FILLER_64_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 184960 ) N ;
- FILLER_64_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 184960 ) N ;
- FILLER_64_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 184960 ) N ;
- FILLER_64_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 184960 ) N ;
- FILLER_64_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 184960 ) N ;
- FILLER_64_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 184960 ) N ;
- FILLER_64_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 184960 ) N ;
- FILLER_64_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 184960 ) N ;
- FILLER_64_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 184960 ) N ;
- FILLER_64_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 184960 ) N ;
- FILLER_64_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 184960 ) N ;
- FILLER_64_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 184960 ) N ;
- FILLER_64_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 184960 ) N ;
- FILLER_64_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 184960 ) N ;
- FILLER_64_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 184960 ) N ;
- FILLER_64_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 184960 ) N ;
- FILLER_64_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 184960 ) N ;
- FILLER_64_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 184960 ) N ;
- FILLER_64_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 184960 ) N ;
- FILLER_64_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 184960 ) N ;
- FILLER_64_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 184960 ) N ;
- FILLER_64_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 184960 ) N ;
- FILLER_64_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 184960 ) N ;
- FILLER_64_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 184960 ) N ;
- FILLER_64_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 184960 ) N ;
- FILLER_64_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 184960 ) N ;
- FILLER_64_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 184960 ) N ;
- FILLER_64_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 184960 ) N ;
- FILLER_64_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 184960 ) N ;
- FILLER_64_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 184960 ) N ;
- FILLER_64_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 184960 ) N ;
- FILLER_64_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 184960 ) N ;
- FILLER_64_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 184960 ) N ;
- FILLER_64_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 184960 ) N ;
- FILLER_64_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 184960 ) N ;
- FILLER_64_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 184960 ) N ;
- FILLER_64_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 184960 ) N ;
- FILLER_64_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 184960 ) N ;
- FILLER_65_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 187680 ) FS ;
- FILLER_65_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 187680 ) FS ;
- FILLER_65_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 187680 ) FS ;
- FILLER_65_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 187680 ) FS ;
- FILLER_65_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 187680 ) FS ;
- FILLER_65_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 187680 ) FS ;
- FILLER_65_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 187680 ) FS ;
- FILLER_65_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 187680 ) FS ;
- FILLER_65_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 187680 ) FS ;
- FILLER_65_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 187680 ) FS ;
- FILLER_65_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 187680 ) FS ;
- FILLER_65_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 187680 ) FS ;
- FILLER_65_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 187680 ) FS ;
- FILLER_65_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 187680 ) FS ;
- FILLER_65_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 187680 ) FS ;
- FILLER_65_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 187680 ) FS ;
- FILLER_65_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 187680 ) FS ;
- FILLER_65_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 187680 ) FS ;
- FILLER_65_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 187680 ) FS ;
- FILLER_65_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 187680 ) FS ;
- FILLER_65_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 187680 ) FS ;
- FILLER_65_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 187680 ) FS ;
- FILLER_65_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 187680 ) FS ;
- FILLER_65_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 187680 ) FS ;
- FILLER_65_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 187680 ) FS ;
- FILLER_65_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 187680 ) FS ;
- FILLER_65_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 187680 ) FS ;
- FILLER_65_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 187680 ) FS ;
- FILLER_65_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 187680 ) FS ;
- FILLER_65_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 187680 ) FS ;
- FILLER_65_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 187680 ) FS ;
- FILLER_65_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 187680 ) FS ;
- FILLER_65_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 187680 ) FS ;
- FILLER_65_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 187680 ) FS ;
- FILLER_65_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 187680 ) FS ;
- FILLER_65_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 187680 ) FS ;
- FILLER_65_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 187680 ) FS ;
- FILLER_65_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 187680 ) FS ;
- FILLER_65_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 187680 ) FS ;
- FILLER_65_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 187680 ) FS ;
- FILLER_65_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 187680 ) FS ;
- FILLER_65_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 187680 ) FS ;
- FILLER_65_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 187680 ) FS ;
- FILLER_65_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 187680 ) FS ;
- FILLER_65_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 187680 ) FS ;
- FILLER_65_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 187680 ) FS ;
- FILLER_65_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 187680 ) FS ;
- FILLER_65_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 187680 ) FS ;
- FILLER_65_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 187680 ) FS ;
- FILLER_65_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 187680 ) FS ;
- FILLER_65_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 187680 ) FS ;
- FILLER_65_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 187680 ) FS ;
- FILLER_65_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 187680 ) FS ;
- FILLER_65_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 187680 ) FS ;
- FILLER_65_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 187680 ) FS ;
- FILLER_65_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 187680 ) FS ;
- FILLER_66_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 190400 ) N ;
- FILLER_66_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 190400 ) N ;
- FILLER_66_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 190400 ) N ;
- FILLER_66_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 190400 ) N ;
- FILLER_66_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 190400 ) N ;
- FILLER_66_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 190400 ) N ;
- FILLER_66_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 190400 ) N ;
- FILLER_66_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 190400 ) N ;
- FILLER_66_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 190400 ) N ;
- FILLER_66_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 190400 ) N ;
- FILLER_66_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 190400 ) N ;
- FILLER_66_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 190400 ) N ;
- FILLER_66_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 190400 ) N ;
- FILLER_66_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 190400 ) N ;
- FILLER_66_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 190400 ) N ;
- FILLER_66_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 190400 ) N ;
- FILLER_66_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 190400 ) N ;
- FILLER_66_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 190400 ) N ;
- FILLER_66_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 190400 ) N ;
- FILLER_66_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 190400 ) N ;
- FILLER_66_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 190400 ) N ;
- FILLER_66_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 190400 ) N ;
- FILLER_66_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 190400 ) N ;
- FILLER_66_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 190400 ) N ;
- FILLER_66_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 190400 ) N ;
- FILLER_66_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 190400 ) N ;
- FILLER_66_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 190400 ) N ;
- FILLER_66_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 190400 ) N ;
- FILLER_66_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 190400 ) N ;
- FILLER_66_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 190400 ) N ;
- FILLER_66_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 190400 ) N ;
- FILLER_66_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 190400 ) N ;
- FILLER_66_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 190400 ) N ;
- FILLER_66_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 190400 ) N ;
- FILLER_66_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 190400 ) N ;
- FILLER_66_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 190400 ) N ;
- FILLER_66_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 190400 ) N ;
- FILLER_66_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 190400 ) N ;
- FILLER_66_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 190400 ) N ;
- FILLER_66_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 190400 ) N ;
- FILLER_66_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 190400 ) N ;
- FILLER_66_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 190400 ) N ;
- FILLER_66_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 190400 ) N ;
- FILLER_66_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 190400 ) N ;
- FILLER_66_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 190400 ) N ;
- FILLER_66_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 190400 ) N ;
- FILLER_66_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 190400 ) N ;
- FILLER_66_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 190400 ) N ;
- FILLER_66_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 190400 ) N ;
- FILLER_66_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 190400 ) N ;
- FILLER_66_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 190400 ) N ;
- FILLER_66_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 190400 ) N ;
- FILLER_66_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 190400 ) N ;
- FILLER_66_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 190400 ) N ;
- FILLER_66_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 190400 ) N ;
- FILLER_67_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 193120 ) FS ;
- FILLER_67_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 193120 ) FS ;
- FILLER_67_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 193120 ) FS ;
- FILLER_67_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 193120 ) FS ;
- FILLER_67_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 193120 ) FS ;
- FILLER_67_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 193120 ) FS ;
- FILLER_67_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 193120 ) FS ;
- FILLER_67_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 193120 ) FS ;
- FILLER_67_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 193120 ) FS ;
- FILLER_67_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 193120 ) FS ;
- FILLER_67_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 193120 ) FS ;
- FILLER_67_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 193120 ) FS ;
- FILLER_67_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 193120 ) FS ;
- FILLER_67_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 193120 ) FS ;
- FILLER_67_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 193120 ) FS ;
- FILLER_67_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 193120 ) FS ;
- FILLER_67_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 193120 ) FS ;
- FILLER_67_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 193120 ) FS ;
- FILLER_67_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 193120 ) FS ;
- FILLER_67_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 193120 ) FS ;
- FILLER_67_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 193120 ) FS ;
- FILLER_67_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 193120 ) FS ;
- FILLER_67_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 193120 ) FS ;
- FILLER_67_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 193120 ) FS ;
- FILLER_67_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 193120 ) FS ;
- FILLER_67_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 193120 ) FS ;
- FILLER_67_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 193120 ) FS ;
- FILLER_67_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 193120 ) FS ;
- FILLER_67_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 193120 ) FS ;
- FILLER_67_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 193120 ) FS ;
- FILLER_67_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 193120 ) FS ;
- FILLER_67_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 193120 ) FS ;
- FILLER_67_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 193120 ) FS ;
- FILLER_67_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 193120 ) FS ;
- FILLER_67_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 193120 ) FS ;
- FILLER_67_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 193120 ) FS ;
- FILLER_67_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 193120 ) FS ;
- FILLER_67_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 193120 ) FS ;
- FILLER_67_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 193120 ) FS ;
- FILLER_67_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 193120 ) FS ;
- FILLER_67_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 193120 ) FS ;
- FILLER_67_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 193120 ) FS ;
- FILLER_67_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 193120 ) FS ;
- FILLER_67_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 193120 ) FS ;
- FILLER_67_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 193120 ) FS ;
- FILLER_67_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 193120 ) FS ;
- FILLER_67_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 193120 ) FS ;
- FILLER_67_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 193120 ) FS ;
- FILLER_67_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 193120 ) FS ;
- FILLER_67_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 193120 ) FS ;
- FILLER_67_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 193120 ) FS ;
- FILLER_67_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 193120 ) FS ;
- FILLER_67_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 193120 ) FS ;
- FILLER_67_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 193120 ) FS ;
- FILLER_67_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 193120 ) FS ;
- FILLER_67_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 193120 ) FS ;
- FILLER_68_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 195840 ) N ;
- FILLER_68_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 195840 ) N ;
- FILLER_68_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 195840 ) N ;
- FILLER_68_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 195840 ) N ;
- FILLER_68_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 195840 ) N ;
- FILLER_68_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 195840 ) N ;
- FILLER_68_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 195840 ) N ;
- FILLER_68_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 195840 ) N ;
- FILLER_68_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 195840 ) N ;
- FILLER_68_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 195840 ) N ;
- FILLER_68_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 195840 ) N ;
- FILLER_68_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 195840 ) N ;
- FILLER_68_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 195840 ) N ;
- FILLER_68_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 195840 ) N ;
- FILLER_68_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 195840 ) N ;
- FILLER_68_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 195840 ) N ;
- FILLER_68_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 195840 ) N ;
- FILLER_68_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 195840 ) N ;
- FILLER_68_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 195840 ) N ;
- FILLER_68_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 195840 ) N ;
- FILLER_68_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 195840 ) N ;
- FILLER_68_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 195840 ) N ;
- FILLER_68_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 195840 ) N ;
- FILLER_68_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 195840 ) N ;
- FILLER_68_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 195840 ) N ;
- FILLER_68_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 195840 ) N ;
- FILLER_68_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 195840 ) N ;
- FILLER_68_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 195840 ) N ;
- FILLER_68_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 195840 ) N ;
- FILLER_68_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 195840 ) N ;
- FILLER_68_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 195840 ) N ;
- FILLER_68_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 195840 ) N ;
- FILLER_68_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 195840 ) N ;
- FILLER_68_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 195840 ) N ;
- FILLER_68_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 195840 ) N ;
- FILLER_68_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 195840 ) N ;
- FILLER_68_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 195840 ) N ;
- FILLER_68_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 195840 ) N ;
- FILLER_68_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 195840 ) N ;
- FILLER_68_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 195840 ) N ;
- FILLER_68_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 195840 ) N ;
- FILLER_68_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 195840 ) N ;
- FILLER_68_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 195840 ) N ;
- FILLER_68_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 195840 ) N ;
- FILLER_68_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 195840 ) N ;
- FILLER_68_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 195840 ) N ;
- FILLER_68_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 195840 ) N ;
- FILLER_68_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 195840 ) N ;
- FILLER_68_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 195840 ) N ;
- FILLER_68_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 195840 ) N ;
- FILLER_68_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 195840 ) N ;
- FILLER_68_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 195840 ) N ;
- FILLER_68_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 195840 ) N ;
- FILLER_68_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 195840 ) N ;
- FILLER_68_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 195840 ) N ;
- FILLER_68_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 195840 ) N ;
- FILLER_69_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 198560 ) FS ;
- FILLER_69_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 198560 ) FS ;
- FILLER_69_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 198560 ) FS ;
- FILLER_69_125 sky130_fd_sc_hd__decap_8 + PLACED ( 63020 198560 ) FS ;
- FILLER_69_133 sky130_fd_sc_hd__decap_3 + PLACED ( 66700 198560 ) FS ;
- FILLER_69_140 sky130_fd_sc_hd__decap_12 + PLACED ( 69920 198560 ) FS ;
- FILLER_69_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 198560 ) FS ;
- FILLER_69_152 sky130_fd_sc_hd__decap_12 + PLACED ( 75440 198560 ) FS ;
- FILLER_69_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 198560 ) FS ;
- FILLER_69_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 198560 ) FS ;
- FILLER_69_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 198560 ) FS ;
- FILLER_69_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 198560 ) FS ;
- FILLER_69_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 198560 ) FS ;
- FILLER_69_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 198560 ) FS ;
- FILLER_69_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 198560 ) FS ;
- FILLER_69_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 198560 ) FS ;
- FILLER_69_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 198560 ) FS ;
- FILLER_69_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 198560 ) FS ;
- FILLER_69_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 198560 ) FS ;
- FILLER_69_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 198560 ) FS ;
- FILLER_69_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 198560 ) FS ;
- FILLER_69_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 198560 ) FS ;
- FILLER_69_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 198560 ) FS ;
- FILLER_69_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 198560 ) FS ;
- FILLER_69_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 198560 ) FS ;
- FILLER_69_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 198560 ) FS ;
- FILLER_69_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 198560 ) FS ;
- FILLER_69_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 198560 ) FS ;
- FILLER_69_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 198560 ) FS ;
- FILLER_69_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 198560 ) FS ;
- FILLER_69_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 198560 ) FS ;
- FILLER_69_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 198560 ) FS ;
- FILLER_69_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 198560 ) FS ;
- FILLER_69_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 198560 ) FS ;
- FILLER_69_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 198560 ) FS ;
- FILLER_69_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 198560 ) FS ;
- FILLER_69_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 198560 ) FS ;
- FILLER_69_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 198560 ) FS ;
- FILLER_69_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 198560 ) FS ;
- FILLER_69_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 198560 ) FS ;
- FILLER_69_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 198560 ) FS ;
- FILLER_69_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 198560 ) FS ;
- FILLER_69_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 198560 ) FS ;
- FILLER_69_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 198560 ) FS ;
- FILLER_69_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 198560 ) FS ;
- FILLER_69_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 198560 ) FS ;
- FILLER_69_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 198560 ) FS ;
- FILLER_69_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 198560 ) FS ;
- FILLER_69_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 198560 ) FS ;
- FILLER_69_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 198560 ) FS ;
- FILLER_69_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 198560 ) FS ;
- FILLER_69_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 198560 ) FS ;
- FILLER_69_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 198560 ) FS ;
- FILLER_69_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 198560 ) FS ;
- FILLER_69_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 198560 ) FS ;
- FILLER_69_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 198560 ) FS ;
- FILLER_6_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 27200 ) N ;
- FILLER_6_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 27200 ) N ;
- FILLER_6_118 sky130_fd_sc_hd__decap_4 + PLACED ( 59800 27200 ) N ;
- FILLER_6_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 27200 ) N ;
- FILLER_6_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 27200 ) N ;
- FILLER_6_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 27200 ) N ;
- FILLER_6_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 27200 ) N ;
- FILLER_6_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 27200 ) N ;
- FILLER_6_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 27200 ) N ;
- FILLER_6_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 27200 ) N ;
- FILLER_6_161 sky130_fd_sc_hd__decap_12 + PLACED ( 79580 27200 ) N ;
- FILLER_6_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 27200 ) N ;
- FILLER_6_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 27200 ) N ;
- FILLER_6_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 27200 ) N ;
- FILLER_6_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 27200 ) N ;
- FILLER_6_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 27200 ) N ;
- FILLER_6_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 27200 ) N ;
- FILLER_6_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 27200 ) N ;
- FILLER_6_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 27200 ) N ;
- FILLER_6_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 27200 ) N ;
- FILLER_6_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 27200 ) N ;
- FILLER_6_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 27200 ) N ;
- FILLER_6_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 27200 ) N ;
- FILLER_6_433 sky130_fd_sc_hd__decap_4 + PLACED ( 204700 27200 ) N ;
- FILLER_6_437 sky130_fd_sc_hd__fill_1 + PLACED ( 206540 27200 ) N ;
- FILLER_6_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 27200 ) N ;
- FILLER_6_440 sky130_fd_sc_hd__decap_4 + PLACED ( 207920 27200 ) N ;
- FILLER_6_446 sky130_fd_sc_hd__decap_4 + PLACED ( 210680 27200 ) N ;
- FILLER_6_452 sky130_fd_sc_hd__decap_4 + PLACED ( 213440 27200 ) N ;
- FILLER_6_458 sky130_fd_sc_hd__decap_4 + PLACED ( 216200 27200 ) N ;
- FILLER_6_465 sky130_fd_sc_hd__decap_4 + PLACED ( 219420 27200 ) N ;
- FILLER_6_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 27200 ) N ;
- FILLER_6_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 27200 ) N ;
- FILLER_6_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 27200 ) N ;
- FILLER_6_489 sky130_fd_sc_hd__fill_1 + PLACED ( 230460 27200 ) N ;
- FILLER_6_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 27200 ) N ;
- FILLER_6_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 27200 ) N ;
- FILLER_6_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 27200 ) N ;
- FILLER_6_60 sky130_fd_sc_hd__decap_6 + PLACED ( 33120 27200 ) N ;
- FILLER_6_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 27200 ) N ;
- FILLER_6_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 27200 ) N ;
- FILLER_6_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 27200 ) N ;
- FILLER_6_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 27200 ) N ;
- FILLER_6_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 27200 ) N ;
- FILLER_6_99 sky130_fd_sc_hd__decap_6 + PLACED ( 51060 27200 ) N ;
- FILLER_70_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 201280 ) N ;
- FILLER_70_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 201280 ) N ;
- FILLER_70_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 201280 ) N ;
- FILLER_70_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 201280 ) N ;
- FILLER_70_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 201280 ) N ;
- FILLER_70_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 201280 ) N ;
- FILLER_70_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 201280 ) N ;
- FILLER_70_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 201280 ) N ;
- FILLER_70_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 201280 ) N ;
- FILLER_70_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 201280 ) N ;
- FILLER_70_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 201280 ) N ;
- FILLER_70_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 201280 ) N ;
- FILLER_70_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 201280 ) N ;
- FILLER_70_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 201280 ) N ;
- FILLER_70_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 201280 ) N ;
- FILLER_70_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 201280 ) N ;
- FILLER_70_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 201280 ) N ;
- FILLER_70_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 201280 ) N ;
- FILLER_70_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 201280 ) N ;
- FILLER_70_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 201280 ) N ;
- FILLER_70_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 201280 ) N ;
- FILLER_70_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 201280 ) N ;
- FILLER_70_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 201280 ) N ;
- FILLER_70_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 201280 ) N ;
- FILLER_70_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 201280 ) N ;
- FILLER_70_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 201280 ) N ;
- FILLER_70_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 201280 ) N ;
- FILLER_70_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 201280 ) N ;
- FILLER_70_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 201280 ) N ;
- FILLER_70_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 201280 ) N ;
- FILLER_70_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 201280 ) N ;
- FILLER_70_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 201280 ) N ;
- FILLER_70_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 201280 ) N ;
- FILLER_70_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 201280 ) N ;
- FILLER_70_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 201280 ) N ;
- FILLER_70_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 201280 ) N ;
- FILLER_70_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 201280 ) N ;
- FILLER_70_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 201280 ) N ;
- FILLER_70_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 201280 ) N ;
- FILLER_70_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 201280 ) N ;
- FILLER_70_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 201280 ) N ;
- FILLER_70_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 201280 ) N ;
- FILLER_70_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 201280 ) N ;
- FILLER_70_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 201280 ) N ;
- FILLER_70_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 201280 ) N ;
- FILLER_70_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 201280 ) N ;
- FILLER_70_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 201280 ) N ;
- FILLER_70_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 201280 ) N ;
- FILLER_70_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 201280 ) N ;
- FILLER_70_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 201280 ) N ;
- FILLER_70_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 201280 ) N ;
- FILLER_70_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 201280 ) N ;
- FILLER_70_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 201280 ) N ;
- FILLER_70_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 201280 ) N ;
- FILLER_70_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 201280 ) N ;
- FILLER_70_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 201280 ) N ;
- FILLER_71_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 204000 ) FS ;
- FILLER_71_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 204000 ) FS ;
- FILLER_71_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 204000 ) FS ;
- FILLER_71_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 204000 ) FS ;
- FILLER_71_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 204000 ) FS ;
- FILLER_71_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 204000 ) FS ;
- FILLER_71_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 204000 ) FS ;
- FILLER_71_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 204000 ) FS ;
- FILLER_71_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 204000 ) FS ;
- FILLER_71_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 204000 ) FS ;
- FILLER_71_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 204000 ) FS ;
- FILLER_71_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 204000 ) FS ;
- FILLER_71_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 204000 ) FS ;
- FILLER_71_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 204000 ) FS ;
- FILLER_71_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 204000 ) FS ;
- FILLER_71_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 204000 ) FS ;
- FILLER_71_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 204000 ) FS ;
- FILLER_71_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 204000 ) FS ;
- FILLER_71_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 204000 ) FS ;
- FILLER_71_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 204000 ) FS ;
- FILLER_71_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 204000 ) FS ;
- FILLER_71_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 204000 ) FS ;
- FILLER_71_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 204000 ) FS ;
- FILLER_71_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 204000 ) FS ;
- FILLER_71_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 204000 ) FS ;
- FILLER_71_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 204000 ) FS ;
- FILLER_71_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 204000 ) FS ;
- FILLER_71_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 204000 ) FS ;
- FILLER_71_324 sky130_fd_sc_hd__decap_12 + PLACED ( 154560 204000 ) FS ;
- FILLER_71_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 204000 ) FS ;
- FILLER_71_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 204000 ) FS ;
- FILLER_71_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 204000 ) FS ;
- FILLER_71_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 204000 ) FS ;
- FILLER_71_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 204000 ) FS ;
- FILLER_71_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 204000 ) FS ;
- FILLER_71_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 204000 ) FS ;
- FILLER_71_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 204000 ) FS ;
- FILLER_71_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 204000 ) FS ;
- FILLER_71_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 204000 ) FS ;
- FILLER_71_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 204000 ) FS ;
- FILLER_71_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 204000 ) FS ;
- FILLER_71_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 204000 ) FS ;
- FILLER_71_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 204000 ) FS ;
- FILLER_71_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 204000 ) FS ;
- FILLER_71_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 204000 ) FS ;
- FILLER_71_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 204000 ) FS ;
- FILLER_71_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 204000 ) FS ;
- FILLER_71_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 204000 ) FS ;
- FILLER_71_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 204000 ) FS ;
- FILLER_71_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 204000 ) FS ;
- FILLER_71_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 204000 ) FS ;
- FILLER_71_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 204000 ) FS ;
- FILLER_71_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 204000 ) FS ;
- FILLER_71_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 204000 ) FS ;
- FILLER_71_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 204000 ) FS ;
- FILLER_71_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 204000 ) FS ;
- FILLER_72_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 206720 ) N ;
- FILLER_72_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 206720 ) N ;
- FILLER_72_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 206720 ) N ;
- FILLER_72_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 206720 ) N ;
- FILLER_72_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 206720 ) N ;
- FILLER_72_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 206720 ) N ;
- FILLER_72_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 206720 ) N ;
- FILLER_72_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 206720 ) N ;
- FILLER_72_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 206720 ) N ;
- FILLER_72_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 206720 ) N ;
- FILLER_72_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 206720 ) N ;
- FILLER_72_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 206720 ) N ;
- FILLER_72_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 206720 ) N ;
- FILLER_72_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 206720 ) N ;
- FILLER_72_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 206720 ) N ;
- FILLER_72_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 206720 ) N ;
- FILLER_72_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 206720 ) N ;
- FILLER_72_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 206720 ) N ;
- FILLER_72_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 206720 ) N ;
- FILLER_72_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 206720 ) N ;
- FILLER_72_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 206720 ) N ;
- FILLER_72_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 206720 ) N ;
- FILLER_72_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 206720 ) N ;
- FILLER_72_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 206720 ) N ;
- FILLER_72_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 206720 ) N ;
- FILLER_72_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 206720 ) N ;
- FILLER_72_309 sky130_fd_sc_hd__decap_8 + PLACED ( 147660 206720 ) N ;
- FILLER_72_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 206720 ) N ;
- FILLER_72_317 sky130_fd_sc_hd__decap_3 + PLACED ( 151340 206720 ) N ;
- FILLER_72_322 sky130_fd_sc_hd__decap_4 + PLACED ( 153640 206720 ) N ;
- FILLER_72_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 206720 ) N ;
- FILLER_72_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 206720 ) N ;
- FILLER_72_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 206720 ) N ;
- FILLER_72_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 206720 ) N ;
- FILLER_72_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 206720 ) N ;
- FILLER_72_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 206720 ) N ;
- FILLER_72_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 206720 ) N ;
- FILLER_72_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 206720 ) N ;
- FILLER_72_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 206720 ) N ;
- FILLER_72_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 206720 ) N ;
- FILLER_72_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 206720 ) N ;
- FILLER_72_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 206720 ) N ;
- FILLER_72_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 206720 ) N ;
- FILLER_72_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 206720 ) N ;
- FILLER_72_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 206720 ) N ;
- FILLER_72_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 206720 ) N ;
- FILLER_72_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 206720 ) N ;
- FILLER_72_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 206720 ) N ;
- FILLER_72_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 206720 ) N ;
- FILLER_72_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 206720 ) N ;
- FILLER_72_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 206720 ) N ;
- FILLER_72_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 206720 ) N ;
- FILLER_72_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 206720 ) N ;
- FILLER_72_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 206720 ) N ;
- FILLER_72_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 206720 ) N ;
- FILLER_72_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 206720 ) N ;
- FILLER_72_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 206720 ) N ;
- FILLER_72_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 206720 ) N ;
- FILLER_73_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 209440 ) FS ;
- FILLER_73_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 209440 ) FS ;
- FILLER_73_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 209440 ) FS ;
- FILLER_73_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 209440 ) FS ;
- FILLER_73_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 209440 ) FS ;
- FILLER_73_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 209440 ) FS ;
- FILLER_73_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 209440 ) FS ;
- FILLER_73_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 209440 ) FS ;
- FILLER_73_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 209440 ) FS ;
- FILLER_73_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 209440 ) FS ;
- FILLER_73_205 sky130_fd_sc_hd__fill_2 + PLACED ( 99820 209440 ) FS ;
- FILLER_73_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 209440 ) FS ;
- FILLER_73_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 209440 ) FS ;
- FILLER_73_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 209440 ) FS ;
- FILLER_73_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 209440 ) FS ;
- FILLER_73_249 sky130_fd_sc_hd__fill_2 + PLACED ( 120060 209440 ) FS ;
- FILLER_73_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 209440 ) FS ;
- FILLER_73_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 209440 ) FS ;
- FILLER_73_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 209440 ) FS ;
- FILLER_73_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 209440 ) FS ;
- FILLER_73_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 209440 ) FS ;
- FILLER_73_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 209440 ) FS ;
- FILLER_73_305 sky130_fd_sc_hd__decap_8 + PLACED ( 145820 209440 ) FS ;
- FILLER_73_313 sky130_fd_sc_hd__decap_3 + PLACED ( 149500 209440 ) FS ;
- FILLER_73_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 209440 ) FS ;
- FILLER_73_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 209440 ) FS ;
- FILLER_73_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 209440 ) FS ;
- FILLER_73_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 209440 ) FS ;
- FILLER_73_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 209440 ) FS ;
- FILLER_73_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 209440 ) FS ;
- FILLER_73_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 209440 ) FS ;
- FILLER_73_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 209440 ) FS ;
- FILLER_73_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 209440 ) FS ;
- FILLER_73_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 209440 ) FS ;
- FILLER_73_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 209440 ) FS ;
- FILLER_73_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 209440 ) FS ;
- FILLER_73_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 209440 ) FS ;
- FILLER_73_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 209440 ) FS ;
- FILLER_73_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 209440 ) FS ;
- FILLER_73_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 209440 ) FS ;
- FILLER_73_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 209440 ) FS ;
- FILLER_73_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 209440 ) FS ;
- FILLER_73_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 209440 ) FS ;
- FILLER_73_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 209440 ) FS ;
- FILLER_73_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 209440 ) FS ;
- FILLER_73_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 209440 ) FS ;
- FILLER_73_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 209440 ) FS ;
- FILLER_73_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 209440 ) FS ;
- FILLER_73_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 209440 ) FS ;
- FILLER_73_7 sky130_fd_sc_hd__decap_6 + PLACED ( 8740 209440 ) FS ;
- FILLER_73_71 sky130_fd_sc_hd__decap_6 + PLACED ( 38180 209440 ) FS ;
- FILLER_73_79 sky130_fd_sc_hd__decap_8 + PLACED ( 41860 209440 ) FS ;
- FILLER_73_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 209440 ) FS ;
- FILLER_73_97 sky130_fd_sc_hd__fill_1 + PLACED ( 50140 209440 ) FS ;
- FILLER_74_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 212160 ) N ;
- FILLER_74_116 sky130_fd_sc_hd__fill_2 + PLACED ( 58880 212160 ) N ;
- FILLER_74_120 sky130_fd_sc_hd__decap_12 + PLACED ( 60720 212160 ) N ;
- FILLER_74_132 sky130_fd_sc_hd__decap_8 + PLACED ( 66240 212160 ) N ;
- FILLER_74_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 212160 ) N ;
- FILLER_74_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 212160 ) N ;
- FILLER_74_165 sky130_fd_sc_hd__decap_8 + PLACED ( 81420 212160 ) N ;
- FILLER_74_175 sky130_fd_sc_hd__decap_4 + PLACED ( 86020 212160 ) N ;
- FILLER_74_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 212160 ) N ;
- FILLER_74_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 212160 ) N ;
- FILLER_74_217 sky130_fd_sc_hd__decap_8 + PLACED ( 105340 212160 ) N ;
- FILLER_74_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 212160 ) N ;
- FILLER_74_225 sky130_fd_sc_hd__fill_1 + PLACED ( 109020 212160 ) N ;
- FILLER_74_236 sky130_fd_sc_hd__decap_4 + PLACED ( 114080 212160 ) N ;
- FILLER_74_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 212160 ) N ;
- FILLER_74_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 212160 ) N ;
- FILLER_74_274 sky130_fd_sc_hd__decap_12 + PLACED ( 131560 212160 ) N ;
- FILLER_74_286 sky130_fd_sc_hd__decap_12 + PLACED ( 137080 212160 ) N ;
- FILLER_74_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 212160 ) N ;
- FILLER_74_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 212160 ) N ;
- FILLER_74_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 212160 ) N ;
- FILLER_74_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 212160 ) N ;
- FILLER_74_309 sky130_fd_sc_hd__decap_3 + PLACED ( 147660 212160 ) N ;
- FILLER_74_314 sky130_fd_sc_hd__decap_6 + PLACED ( 149960 212160 ) N ;
- FILLER_74_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 212160 ) N ;
- FILLER_74_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 212160 ) N ;
- FILLER_74_33 sky130_fd_sc_hd__fill_1 + PLACED ( 20700 212160 ) N ;
- FILLER_74_337 sky130_fd_sc_hd__decap_6 + PLACED ( 160540 212160 ) N ;
- FILLER_74_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 212160 ) N ;
- FILLER_74_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 212160 ) N ;
- FILLER_74_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 212160 ) N ;
- FILLER_74_383 sky130_fd_sc_hd__decap_12 + PLACED ( 181700 212160 ) N ;
- FILLER_74_395 sky130_fd_sc_hd__decap_12 + PLACED ( 187220 212160 ) N ;
- FILLER_74_407 sky130_fd_sc_hd__decap_12 + PLACED ( 192740 212160 ) N ;
- FILLER_74_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 212160 ) N ;
- FILLER_74_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 212160 ) N ;
- FILLER_74_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 212160 ) N ;
- FILLER_74_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 212160 ) N ;
- FILLER_74_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 212160 ) N ;
- FILLER_74_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 212160 ) N ;
- FILLER_74_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 212160 ) N ;
- FILLER_74_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 212160 ) N ;
- FILLER_74_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 212160 ) N ;
- FILLER_74_49 sky130_fd_sc_hd__decap_8 + PLACED ( 28060 212160 ) N ;
- FILLER_74_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 212160 ) N ;
- FILLER_74_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 212160 ) N ;
- FILLER_74_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 212160 ) N ;
- FILLER_74_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 212160 ) N ;
- FILLER_74_70 sky130_fd_sc_hd__fill_1 + PLACED ( 37720 212160 ) N ;
- FILLER_74_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 212160 ) N ;
- FILLER_74_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 212160 ) N ;
- FILLER_74_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 212160 ) N ;
- FILLER_74_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 212160 ) N ;
- FILLER_74_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 212160 ) N ;
- FILLER_74_99 sky130_fd_sc_hd__fill_1 + PLACED ( 51060 212160 ) N ;
- FILLER_75_106 sky130_fd_sc_hd__decap_6 + PLACED ( 54280 214880 ) FS ;
- FILLER_75_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 214880 ) FS ;
- FILLER_75_121 sky130_fd_sc_hd__decap_8 + PLACED ( 61180 214880 ) FS ;
- FILLER_75_129 sky130_fd_sc_hd__fill_1 + PLACED ( 64860 214880 ) FS ;
- FILLER_75_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 214880 ) FS ;
- FILLER_75_152 sky130_fd_sc_hd__decap_8 + PLACED ( 75440 214880 ) FS ;
- FILLER_75_160 sky130_fd_sc_hd__fill_2 + PLACED ( 79120 214880 ) FS ;
- FILLER_75_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 214880 ) FS ;
- FILLER_75_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 214880 ) FS ;
- FILLER_75_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 214880 ) FS ;
- FILLER_75_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 214880 ) FS ;
- FILLER_75_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 214880 ) FS ;
- FILLER_75_229 sky130_fd_sc_hd__decap_8 + PLACED ( 110860 214880 ) FS ;
- FILLER_75_253 sky130_fd_sc_hd__decap_6 + PLACED ( 121900 214880 ) FS ;
- FILLER_75_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 214880 ) FS ;
- FILLER_75_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 214880 ) FS ;
- FILLER_75_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 214880 ) FS ;
- FILLER_75_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 214880 ) FS ;
- FILLER_75_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 214880 ) FS ;
- FILLER_75_30 sky130_fd_sc_hd__decap_6 + PLACED ( 19320 214880 ) FS ;
- FILLER_75_310 sky130_fd_sc_hd__decap_4 + PLACED ( 148120 214880 ) FS ;
- FILLER_75_318 sky130_fd_sc_hd__decap_8 + PLACED ( 151800 214880 ) FS ;
- FILLER_75_326 sky130_fd_sc_hd__decap_3 + PLACED ( 155480 214880 ) FS ;
- FILLER_75_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 214880 ) FS ;
- FILLER_75_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 214880 ) FS ;
- FILLER_75_355 sky130_fd_sc_hd__decap_4 + PLACED ( 168820 214880 ) FS ;
- FILLER_75_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 214880 ) FS ;
- FILLER_75_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 214880 ) FS ;
- FILLER_75_384 sky130_fd_sc_hd__decap_8 + PLACED ( 182160 214880 ) FS ;
- FILLER_75_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 214880 ) FS ;
- FILLER_75_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 214880 ) FS ;
- FILLER_75_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 214880 ) FS ;
- FILLER_75_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 214880 ) FS ;
- FILLER_75_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 214880 ) FS ;
- FILLER_75_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 214880 ) FS ;
- FILLER_75_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 214880 ) FS ;
- FILLER_75_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 214880 ) FS ;
- FILLER_75_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 214880 ) FS ;
- FILLER_75_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 214880 ) FS ;
- FILLER_75_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 214880 ) FS ;
- FILLER_75_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 214880 ) FS ;
- FILLER_75_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 214880 ) FS ;
- FILLER_75_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 214880 ) FS ;
- FILLER_75_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 214880 ) FS ;
- FILLER_75_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 214880 ) FS ;
- FILLER_75_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 214880 ) FS ;
- FILLER_75_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 214880 ) FS ;
- FILLER_75_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 214880 ) FS ;
- FILLER_75_90 sky130_fd_sc_hd__decap_4 + PLACED ( 46920 214880 ) FS ;
- FILLER_75_98 sky130_fd_sc_hd__decap_4 + PLACED ( 50600 214880 ) FS ;
- FILLER_76_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 217600 ) N ;
- FILLER_76_118 sky130_fd_sc_hd__decap_8 + PLACED ( 59800 217600 ) N ;
- FILLER_76_126 sky130_fd_sc_hd__fill_1 + PLACED ( 63480 217600 ) N ;
- FILLER_76_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 217600 ) N ;
- FILLER_76_143 sky130_fd_sc_hd__decap_12 + PLACED ( 71300 217600 ) N ;
- FILLER_76_155 sky130_fd_sc_hd__fill_2 + PLACED ( 76820 217600 ) N ;
- FILLER_76_161 sky130_fd_sc_hd__decap_8 + PLACED ( 79580 217600 ) N ;
- FILLER_76_178 sky130_fd_sc_hd__decap_12 + PLACED ( 87400 217600 ) N ;
- FILLER_76_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 217600 ) N ;
- FILLER_76_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 217600 ) N ;
- FILLER_76_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 217600 ) N ;
- FILLER_76_217 sky130_fd_sc_hd__fill_1 + PLACED ( 105340 217600 ) N ;
- FILLER_76_222 sky130_fd_sc_hd__decap_8 + PLACED ( 107640 217600 ) N ;
- FILLER_76_230 sky130_fd_sc_hd__decap_3 + PLACED ( 111320 217600 ) N ;
- FILLER_76_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 217600 ) N ;
- FILLER_76_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 217600 ) N ;
- FILLER_76_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 217600 ) N ;
- FILLER_76_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 217600 ) N ;
- FILLER_76_274 sky130_fd_sc_hd__decap_8 + PLACED ( 131560 217600 ) N ;
- FILLER_76_282 sky130_fd_sc_hd__fill_2 + PLACED ( 135240 217600 ) N ;
- FILLER_76_286 sky130_fd_sc_hd__decap_8 + PLACED ( 137080 217600 ) N ;
- FILLER_76_294 sky130_fd_sc_hd__fill_1 + PLACED ( 140760 217600 ) N ;
- FILLER_76_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 217600 ) N ;
- FILLER_76_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 217600 ) N ;
- FILLER_76_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 217600 ) N ;
- FILLER_76_311 sky130_fd_sc_hd__decap_6 + PLACED ( 148580 217600 ) N ;
- FILLER_76_319 sky130_fd_sc_hd__decap_12 + PLACED ( 152260 217600 ) N ;
- FILLER_76_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 217600 ) N ;
- FILLER_76_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 217600 ) N ;
- FILLER_76_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 217600 ) N ;
- FILLER_76_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 217600 ) N ;
- FILLER_76_365 sky130_fd_sc_hd__decap_3 + PLACED ( 173420 217600 ) N ;
- FILLER_76_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 217600 ) N ;
- FILLER_76_377 sky130_fd_sc_hd__decap_6 + PLACED ( 178940 217600 ) N ;
- FILLER_76_399 sky130_fd_sc_hd__decap_12 + PLACED ( 189060 217600 ) N ;
- FILLER_76_411 sky130_fd_sc_hd__decap_8 + PLACED ( 194580 217600 ) N ;
- FILLER_76_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 217600 ) N ;
- FILLER_76_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 217600 ) N ;
- FILLER_76_43 sky130_fd_sc_hd__decap_8 + PLACED ( 25300 217600 ) N ;
- FILLER_76_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 217600 ) N ;
- FILLER_76_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 217600 ) N ;
- FILLER_76_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 217600 ) N ;
- FILLER_76_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 217600 ) N ;
- FILLER_76_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 217600 ) N ;
- FILLER_76_477 sky130_fd_sc_hd__decap_6 + PLACED ( 224940 217600 ) N ;
- FILLER_76_483 sky130_fd_sc_hd__fill_1 + PLACED ( 227700 217600 ) N ;
- FILLER_76_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 217600 ) N ;
- FILLER_76_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 217600 ) N ;
- FILLER_76_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 217600 ) N ;
- FILLER_76_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 217600 ) N ;
- FILLER_76_51 sky130_fd_sc_hd__fill_1 + PLACED ( 28980 217600 ) N ;
- FILLER_76_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 217600 ) N ;
- FILLER_76_54 sky130_fd_sc_hd__decap_12 + PLACED ( 30360 217600 ) N ;
- FILLER_76_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 217600 ) N ;
- FILLER_76_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 217600 ) N ;
- FILLER_76_74 sky130_fd_sc_hd__decap_4 + PLACED ( 39560 217600 ) N ;
- FILLER_76_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 217600 ) N ;
- FILLER_76_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 217600 ) N ;
- FILLER_76_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 217600 ) N ;
- FILLER_77_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 220320 ) FS ;
- FILLER_77_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 220320 ) FS ;
- FILLER_77_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 220320 ) FS ;
- FILLER_77_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 220320 ) FS ;
- FILLER_77_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 220320 ) FS ;
- FILLER_77_128 sky130_fd_sc_hd__decap_8 + PLACED ( 64400 220320 ) FS ;
- FILLER_77_136 sky130_fd_sc_hd__fill_1 + PLACED ( 68080 220320 ) FS ;
- FILLER_77_139 sky130_fd_sc_hd__decap_4 + PLACED ( 69460 220320 ) FS ;
- FILLER_77_147 sky130_fd_sc_hd__decap_4 + PLACED ( 73140 220320 ) FS ;
- FILLER_77_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 220320 ) FS ;
- FILLER_77_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 220320 ) FS ;
- FILLER_77_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 220320 ) FS ;
- FILLER_77_171 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 220320 ) FS ;
- FILLER_77_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 220320 ) FS ;
- FILLER_77_183 sky130_fd_sc_hd__fill_1 + PLACED ( 89700 220320 ) FS ;
- FILLER_77_186 sky130_fd_sc_hd__decap_6 + PLACED ( 91080 220320 ) FS ;
- FILLER_77_192 sky130_fd_sc_hd__fill_1 + PLACED ( 93840 220320 ) FS ;
- FILLER_77_195 sky130_fd_sc_hd__decap_4 + PLACED ( 95220 220320 ) FS ;
- FILLER_77_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 220320 ) FS ;
- FILLER_77_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 220320 ) FS ;
- FILLER_77_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 220320 ) FS ;
- FILLER_77_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 220320 ) FS ;
- FILLER_77_239 sky130_fd_sc_hd__decap_4 + PLACED ( 115460 220320 ) FS ;
- FILLER_77_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 220320 ) FS ;
- FILLER_77_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 220320 ) FS ;
- FILLER_77_253 sky130_fd_sc_hd__decap_6 + PLACED ( 121900 220320 ) FS ;
- FILLER_77_259 sky130_fd_sc_hd__fill_1 + PLACED ( 124660 220320 ) FS ;
- FILLER_77_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 220320 ) FS ;
- FILLER_77_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 220320 ) FS ;
- FILLER_77_285 sky130_fd_sc_hd__fill_1 + PLACED ( 136620 220320 ) FS ;
- FILLER_77_290 sky130_fd_sc_hd__decap_6 + PLACED ( 138920 220320 ) FS ;
- FILLER_77_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 220320 ) FS ;
- FILLER_77_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 220320 ) FS ;
- FILLER_77_306 sky130_fd_sc_hd__decap_4 + PLACED ( 146280 220320 ) FS ;
- FILLER_77_312 sky130_fd_sc_hd__decap_6 + PLACED ( 149040 220320 ) FS ;
- FILLER_77_318 sky130_fd_sc_hd__fill_1 + PLACED ( 151800 220320 ) FS ;
- FILLER_77_328 sky130_fd_sc_hd__decap_8 + PLACED ( 156400 220320 ) FS ;
- FILLER_77_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 220320 ) FS ;
- FILLER_77_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 220320 ) FS ;
- FILLER_77_357 sky130_fd_sc_hd__fill_2 + PLACED ( 169740 220320 ) FS ;
- FILLER_77_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 220320 ) FS ;
- FILLER_77_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 220320 ) FS ;
- FILLER_77_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 220320 ) FS ;
- FILLER_77_368 sky130_fd_sc_hd__decap_12 + PLACED ( 174800 220320 ) FS ;
- FILLER_77_380 sky130_fd_sc_hd__decap_12 + PLACED ( 180320 220320 ) FS ;
- FILLER_77_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 220320 ) FS ;
- FILLER_77_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 220320 ) FS ;
- FILLER_77_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 220320 ) FS ;
- FILLER_77_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 220320 ) FS ;
- FILLER_77_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 220320 ) FS ;
- FILLER_77_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 220320 ) FS ;
- FILLER_77_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 220320 ) FS ;
- FILLER_77_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 220320 ) FS ;
- FILLER_77_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 220320 ) FS ;
- FILLER_77_473 sky130_fd_sc_hd__fill_1 + PLACED ( 223100 220320 ) FS ;
- FILLER_77_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 220320 ) FS ;
- FILLER_77_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 220320 ) FS ;
- FILLER_77_488 sky130_fd_sc_hd__decap_4 + PLACED ( 230000 220320 ) FS ;
- FILLER_77_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 220320 ) FS ;
- FILLER_77_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 220320 ) FS ;
- FILLER_77_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 220320 ) FS ;
- FILLER_77_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 220320 ) FS ;
- FILLER_77_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 220320 ) FS ;
- FILLER_77_57 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 220320 ) FS ;
- FILLER_77_65 sky130_fd_sc_hd__decap_3 + PLACED ( 35420 220320 ) FS ;
- FILLER_77_70 sky130_fd_sc_hd__decap_4 + PLACED ( 37720 220320 ) FS ;
- FILLER_77_78 sky130_fd_sc_hd__decap_8 + PLACED ( 41400 220320 ) FS ;
- FILLER_77_9 sky130_fd_sc_hd__fill_1 + PLACED ( 9660 220320 ) FS ;
- FILLER_77_90 sky130_fd_sc_hd__decap_6 + PLACED ( 46920 220320 ) FS ;
- FILLER_77_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 220320 ) FS ;
- FILLER_78_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 223040 ) N ;
- FILLER_78_106 sky130_fd_sc_hd__decap_4 + PLACED ( 54280 223040 ) N ;
- FILLER_78_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 223040 ) N ;
- FILLER_78_112 sky130_fd_sc_hd__decap_4 + PLACED ( 57040 223040 ) N ;
- FILLER_78_120 sky130_fd_sc_hd__decap_4 + PLACED ( 60720 223040 ) N ;
- FILLER_78_126 sky130_fd_sc_hd__decap_6 + PLACED ( 63480 223040 ) N ;
- FILLER_78_132 sky130_fd_sc_hd__fill_1 + PLACED ( 66240 223040 ) N ;
- FILLER_78_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 223040 ) N ;
- FILLER_78_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 223040 ) N ;
- FILLER_78_141 sky130_fd_sc_hd__fill_1 + PLACED ( 70380 223040 ) N ;
- FILLER_78_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 223040 ) N ;
- FILLER_78_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 223040 ) N ;
- FILLER_78_155 sky130_fd_sc_hd__fill_1 + PLACED ( 76820 223040 ) N ;
- FILLER_78_159 sky130_fd_sc_hd__decap_4 + PLACED ( 78660 223040 ) N ;
- FILLER_78_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 223040 ) N ;
- FILLER_78_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 223040 ) N ;
- FILLER_78_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 223040 ) N ;
- FILLER_78_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 223040 ) N ;
- FILLER_78_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 223040 ) N ;
- FILLER_78_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 223040 ) N ;
- FILLER_78_231 sky130_fd_sc_hd__decap_4 + PLACED ( 111780 223040 ) N ;
- FILLER_78_238 sky130_fd_sc_hd__decap_8 + PLACED ( 115000 223040 ) N ;
- FILLER_78_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 223040 ) N ;
- FILLER_78_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 223040 ) N ;
- FILLER_78_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 223040 ) N ;
- FILLER_78_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 223040 ) N ;
- FILLER_78_268 sky130_fd_sc_hd__decap_12 + PLACED ( 128800 223040 ) N ;
- FILLER_78_280 sky130_fd_sc_hd__fill_1 + PLACED ( 134320 223040 ) N ;
- FILLER_78_283 sky130_fd_sc_hd__decap_6 + PLACED ( 135700 223040 ) N ;
- FILLER_78_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 223040 ) N ;
- FILLER_78_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 223040 ) N ;
- FILLER_78_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 223040 ) N ;
- FILLER_78_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 223040 ) N ;
- FILLER_78_311 sky130_fd_sc_hd__decap_6 + PLACED ( 148580 223040 ) N ;
- FILLER_78_317 sky130_fd_sc_hd__fill_1 + PLACED ( 151340 223040 ) N ;
- FILLER_78_334 sky130_fd_sc_hd__decap_4 + PLACED ( 159160 223040 ) N ;
- FILLER_78_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 223040 ) N ;
- FILLER_78_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 223040 ) N ;
- FILLER_78_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 223040 ) N ;
- FILLER_78_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 223040 ) N ;
- FILLER_78_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 223040 ) N ;
- FILLER_78_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 223040 ) N ;
- FILLER_78_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 223040 ) N ;
- FILLER_78_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 223040 ) N ;
- FILLER_78_385 sky130_fd_sc_hd__decap_12 + PLACED ( 182620 223040 ) N ;
- FILLER_78_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 223040 ) N ;
- FILLER_78_409 sky130_fd_sc_hd__fill_2 + PLACED ( 193660 223040 ) N ;
- FILLER_78_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 223040 ) N ;
- FILLER_78_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 223040 ) N ;
- FILLER_78_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 223040 ) N ;
- FILLER_78_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 223040 ) N ;
- FILLER_78_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 223040 ) N ;
- FILLER_78_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 223040 ) N ;
- FILLER_78_457 sky130_fd_sc_hd__decap_6 + PLACED ( 215740 223040 ) N ;
- FILLER_78_463 sky130_fd_sc_hd__fill_1 + PLACED ( 218500 223040 ) N ;
- FILLER_78_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 223040 ) N ;
- FILLER_78_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 223040 ) N ;
- FILLER_78_479 sky130_fd_sc_hd__decap_6 + PLACED ( 225860 223040 ) N ;
- FILLER_78_485 sky130_fd_sc_hd__fill_1 + PLACED ( 228620 223040 ) N ;
- FILLER_78_489 sky130_fd_sc_hd__decap_8 + PLACED ( 230460 223040 ) N ;
- FILLER_78_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 223040 ) N ;
- FILLER_78_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 223040 ) N ;
- FILLER_78_502 sky130_fd_sc_hd__decap_4 + PLACED ( 236440 223040 ) N ;
- FILLER_78_510 sky130_fd_sc_hd__decap_6 + PLACED ( 240120 223040 ) N ;
- FILLER_78_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 223040 ) N ;
- FILLER_78_58 sky130_fd_sc_hd__decap_4 + PLACED ( 32200 223040 ) N ;
- FILLER_78_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 223040 ) N ;
- FILLER_78_74 sky130_fd_sc_hd__decap_4 + PLACED ( 39560 223040 ) N ;
- FILLER_78_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 223040 ) N ;
- FILLER_78_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 223040 ) N ;
- FILLER_78_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 223040 ) N ;
- FILLER_78_92 sky130_fd_sc_hd__decap_4 + PLACED ( 47840 223040 ) N ;
- FILLER_78_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 223040 ) N ;
- FILLER_78_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 223040 ) N ;
- FILLER_79_10 sky130_fd_sc_hd__decap_4 + PLACED ( 10120 225760 ) FS ;
- FILLER_79_104 sky130_fd_sc_hd__decap_8 + PLACED ( 53360 225760 ) FS ;
- FILLER_79_122 sky130_fd_sc_hd__decap_6 + PLACED ( 61640 225760 ) FS ;
- FILLER_79_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 225760 ) FS ;
- FILLER_79_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 225760 ) FS ;
- FILLER_79_148 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 225760 ) FS ;
- FILLER_79_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 225760 ) FS ;
- FILLER_79_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 225760 ) FS ;
- FILLER_79_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 225760 ) FS ;
- FILLER_79_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 225760 ) FS ;
- FILLER_79_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 225760 ) FS ;
- FILLER_79_209 sky130_fd_sc_hd__decap_4 + PLACED ( 101660 225760 ) FS ;
- FILLER_79_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 225760 ) FS ;
- FILLER_79_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 225760 ) FS ;
- FILLER_79_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 225760 ) FS ;
- FILLER_79_231 sky130_fd_sc_hd__decap_4 + PLACED ( 111780 225760 ) FS ;
- FILLER_79_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 225760 ) FS ;
- FILLER_79_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 225760 ) FS ;
- FILLER_79_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 225760 ) FS ;
- FILLER_79_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 225760 ) FS ;
- FILLER_79_281 sky130_fd_sc_hd__fill_2 + PLACED ( 134780 225760 ) FS ;
- FILLER_79_285 sky130_fd_sc_hd__decap_8 + PLACED ( 136620 225760 ) FS ;
- FILLER_79_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 225760 ) FS ;
- FILLER_79_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 225760 ) FS ;
- FILLER_79_30 sky130_fd_sc_hd__decap_6 + PLACED ( 19320 225760 ) FS ;
- FILLER_79_310 sky130_fd_sc_hd__decap_6 + PLACED ( 148120 225760 ) FS ;
- FILLER_79_316 sky130_fd_sc_hd__fill_1 + PLACED ( 150880 225760 ) FS ;
- FILLER_79_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 225760 ) FS ;
- FILLER_79_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 225760 ) FS ;
- FILLER_79_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 225760 ) FS ;
- FILLER_79_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 225760 ) FS ;
- FILLER_79_36 sky130_fd_sc_hd__fill_1 + PLACED ( 22080 225760 ) FS ;
- FILLER_79_365 sky130_fd_sc_hd__decap_6 + PLACED ( 173420 225760 ) FS ;
- FILLER_79_371 sky130_fd_sc_hd__fill_1 + PLACED ( 176180 225760 ) FS ;
- FILLER_79_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 225760 ) FS ;
- FILLER_79_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 225760 ) FS ;
- FILLER_79_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 225760 ) FS ;
- FILLER_79_409 sky130_fd_sc_hd__decap_4 + PLACED ( 193660 225760 ) FS ;
- FILLER_79_415 sky130_fd_sc_hd__decap_4 + PLACED ( 196420 225760 ) FS ;
- FILLER_79_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 225760 ) FS ;
- FILLER_79_435 sky130_fd_sc_hd__decap_12 + PLACED ( 205620 225760 ) FS ;
- FILLER_79_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 225760 ) FS ;
- FILLER_79_452 sky130_fd_sc_hd__decap_6 + PLACED ( 213440 225760 ) FS ;
- FILLER_79_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 225760 ) FS ;
- FILLER_79_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 225760 ) FS ;
- FILLER_79_467 sky130_fd_sc_hd__decap_4 + PLACED ( 220340 225760 ) FS ;
- FILLER_79_474 sky130_fd_sc_hd__decap_4 + PLACED ( 223560 225760 ) FS ;
- FILLER_79_481 sky130_fd_sc_hd__decap_6 + PLACED ( 226780 225760 ) FS ;
- FILLER_79_487 sky130_fd_sc_hd__fill_1 + PLACED ( 229540 225760 ) FS ;
- FILLER_79_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 225760 ) FS ;
- FILLER_79_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 225760 ) FS ;
- FILLER_79_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 225760 ) FS ;
- FILLER_79_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 225760 ) FS ;
- FILLER_79_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 225760 ) FS ;
- FILLER_79_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 225760 ) FS ;
- FILLER_79_67 sky130_fd_sc_hd__decap_6 + PLACED ( 36340 225760 ) FS ;
- FILLER_79_76 sky130_fd_sc_hd__decap_4 + PLACED ( 40480 225760 ) FS ;
- FILLER_79_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 225760 ) FS ;
- FILLER_79_90 sky130_fd_sc_hd__decap_4 + PLACED ( 46920 225760 ) FS ;
- FILLER_79_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 225760 ) FS ;
- FILLER_7_10 sky130_fd_sc_hd__decap_4 + PLACED ( 10120 29920 ) FS ;
- FILLER_7_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 29920 ) FS ;
- FILLER_7_117 sky130_fd_sc_hd__fill_1 + PLACED ( 59340 29920 ) FS ;
- FILLER_7_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 29920 ) FS ;
- FILLER_7_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 29920 ) FS ;
- FILLER_7_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 29920 ) FS ;
- FILLER_7_14 sky130_fd_sc_hd__fill_1 + PLACED ( 11960 29920 ) FS ;
- FILLER_7_142 sky130_fd_sc_hd__decap_4 + PLACED ( 70840 29920 ) FS ;
- FILLER_7_148 sky130_fd_sc_hd__decap_4 + PLACED ( 73600 29920 ) FS ;
- FILLER_7_154 sky130_fd_sc_hd__decap_12 + PLACED ( 76360 29920 ) FS ;
- FILLER_7_166 sky130_fd_sc_hd__fill_2 + PLACED ( 81880 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 29920 ) FS ;
- FILLER_7_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 29920 ) FS ;
- FILLER_7_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 29920 ) FS ;
- FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) FS ;
- FILLER_7_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 29920 ) FS ;
- FILLER_7_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 29920 ) FS ;
- FILLER_7_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 29920 ) FS ;
- FILLER_7_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 29920 ) FS ;
- FILLER_7_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 29920 ) FS ;
- FILLER_7_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 29920 ) FS ;
- FILLER_7_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 29920 ) FS ;
- FILLER_7_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 29920 ) FS ;
- FILLER_7_43 sky130_fd_sc_hd__decap_6 + PLACED ( 25300 29920 ) FS ;
- FILLER_7_441 sky130_fd_sc_hd__fill_1 + PLACED ( 208380 29920 ) FS ;
- FILLER_7_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 29920 ) FS ;
- FILLER_7_449 sky130_fd_sc_hd__fill_2 + PLACED ( 212060 29920 ) FS ;
- FILLER_7_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 29920 ) FS ;
- FILLER_7_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 29920 ) FS ;
- FILLER_7_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 29920 ) FS ;
- FILLER_7_473 sky130_fd_sc_hd__decap_4 + PLACED ( 223100 29920 ) FS ;
- FILLER_7_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 29920 ) FS ;
- FILLER_7_485 sky130_fd_sc_hd__fill_1 + PLACED ( 228620 29920 ) FS ;
- FILLER_7_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 29920 ) FS ;
- FILLER_7_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 29920 ) FS ;
- FILLER_7_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 29920 ) FS ;
- FILLER_7_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 29920 ) FS ;
- FILLER_7_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 29920 ) FS ;
- FILLER_7_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 29920 ) FS ;
- FILLER_7_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 29920 ) FS ;
- FILLER_7_96 sky130_fd_sc_hd__decap_4 + PLACED ( 49680 29920 ) FS ;
- FILLER_80_101 sky130_fd_sc_hd__decap_6 + PLACED ( 51980 228480 ) N ;
- FILLER_80_107 sky130_fd_sc_hd__fill_1 + PLACED ( 54740 228480 ) N ;
- FILLER_80_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 228480 ) N ;
- FILLER_80_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 228480 ) N ;
- FILLER_80_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 228480 ) N ;
- FILLER_80_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 228480 ) N ;
- FILLER_80_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 228480 ) N ;
- FILLER_80_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 228480 ) N ;
- FILLER_80_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 228480 ) N ;
- FILLER_80_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 228480 ) N ;
- FILLER_80_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 228480 ) N ;
- FILLER_80_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 228480 ) N ;
- FILLER_80_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 228480 ) N ;
- FILLER_80_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 228480 ) N ;
- FILLER_80_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 228480 ) N ;
- FILLER_80_218 sky130_fd_sc_hd__decap_4 + PLACED ( 105800 228480 ) N ;
- FILLER_80_225 sky130_fd_sc_hd__decap_6 + PLACED ( 109020 228480 ) N ;
- FILLER_80_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 228480 ) N ;
- FILLER_80_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 228480 ) N ;
- FILLER_80_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 228480 ) N ;
- FILLER_80_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 228480 ) N ;
- FILLER_80_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 228480 ) N ;
- FILLER_80_274 sky130_fd_sc_hd__decap_4 + PLACED ( 131560 228480 ) N ;
- FILLER_80_281 sky130_fd_sc_hd__decap_8 + PLACED ( 134780 228480 ) N ;
- FILLER_80_289 sky130_fd_sc_hd__fill_1 + PLACED ( 138460 228480 ) N ;
- FILLER_80_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 228480 ) N ;
- FILLER_80_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 228480 ) N ;
- FILLER_80_302 sky130_fd_sc_hd__decap_6 + PLACED ( 144440 228480 ) N ;
- FILLER_80_312 sky130_fd_sc_hd__decap_6 + PLACED ( 149040 228480 ) N ;
- FILLER_80_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 228480 ) N ;
- FILLER_80_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 228480 ) N ;
- FILLER_80_329 sky130_fd_sc_hd__decap_4 + PLACED ( 156860 228480 ) N ;
- FILLER_80_333 sky130_fd_sc_hd__fill_1 + PLACED ( 158700 228480 ) N ;
- FILLER_80_350 sky130_fd_sc_hd__decap_4 + PLACED ( 166520 228480 ) N ;
- FILLER_80_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 228480 ) N ;
- FILLER_80_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 228480 ) N ;
- FILLER_80_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 228480 ) N ;
- FILLER_80_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 228480 ) N ;
- FILLER_80_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 228480 ) N ;
- FILLER_80_401 sky130_fd_sc_hd__decap_4 + PLACED ( 189980 228480 ) N ;
- FILLER_80_407 sky130_fd_sc_hd__decap_6 + PLACED ( 192740 228480 ) N ;
- FILLER_80_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 228480 ) N ;
- FILLER_80_424 sky130_fd_sc_hd__decap_6 + PLACED ( 200560 228480 ) N ;
- FILLER_80_430 sky130_fd_sc_hd__fill_1 + PLACED ( 203320 228480 ) N ;
- FILLER_80_434 sky130_fd_sc_hd__decap_6 + PLACED ( 205160 228480 ) N ;
- FILLER_80_440 sky130_fd_sc_hd__fill_1 + PLACED ( 207920 228480 ) N ;
- FILLER_80_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 228480 ) N ;
- FILLER_80_450 sky130_fd_sc_hd__decap_4 + PLACED ( 212520 228480 ) N ;
- FILLER_80_457 sky130_fd_sc_hd__decap_4 + PLACED ( 215740 228480 ) N ;
- FILLER_80_463 sky130_fd_sc_hd__decap_4 + PLACED ( 218500 228480 ) N ;
- FILLER_80_470 sky130_fd_sc_hd__decap_6 + PLACED ( 221720 228480 ) N ;
- FILLER_80_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 228480 ) N ;
- FILLER_80_488 sky130_fd_sc_hd__decap_4 + PLACED ( 230000 228480 ) N ;
- FILLER_80_496 sky130_fd_sc_hd__decap_4 + PLACED ( 233680 228480 ) N ;
- FILLER_80_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 228480 ) N ;
- FILLER_80_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 228480 ) N ;
- FILLER_80_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 228480 ) N ;
- FILLER_80_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 228480 ) N ;
- FILLER_80_56 sky130_fd_sc_hd__fill_1 + PLACED ( 31280 228480 ) N ;
- FILLER_80_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 228480 ) N ;
- FILLER_80_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 228480 ) N ;
- FILLER_81_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 231200 ) FS ;
- FILLER_81_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 231200 ) FS ;
- FILLER_81_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 231200 ) FS ;
- FILLER_81_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 231200 ) FS ;
- FILLER_81_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 231200 ) FS ;
- FILLER_81_139 sky130_fd_sc_hd__decap_4 + PLACED ( 69460 231200 ) FS ;
- FILLER_81_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 231200 ) FS ;
- FILLER_81_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 231200 ) FS ;
- FILLER_81_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 231200 ) FS ;
- FILLER_81_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 231200 ) FS ;
- FILLER_81_17 sky130_fd_sc_hd__decap_8 + PLACED ( 13340 231200 ) FS ;
- FILLER_81_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 231200 ) FS ;
- FILLER_81_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 231200 ) FS ;
- FILLER_81_187 sky130_fd_sc_hd__decap_4 + PLACED ( 91540 231200 ) FS ;
- FILLER_81_195 sky130_fd_sc_hd__decap_8 + PLACED ( 95220 231200 ) FS ;
- FILLER_81_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 231200 ) FS ;
- FILLER_81_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 231200 ) FS ;
- FILLER_81_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 231200 ) FS ;
- FILLER_81_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 231200 ) FS ;
- FILLER_81_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 231200 ) FS ;
- FILLER_81_241 sky130_fd_sc_hd__fill_1 + PLACED ( 116380 231200 ) FS ;
- FILLER_81_246 sky130_fd_sc_hd__decap_4 + PLACED ( 118680 231200 ) FS ;
- FILLER_81_254 sky130_fd_sc_hd__decap_4 + PLACED ( 122360 231200 ) FS ;
- FILLER_81_262 sky130_fd_sc_hd__decap_8 + PLACED ( 126040 231200 ) FS ;
- FILLER_81_270 sky130_fd_sc_hd__fill_1 + PLACED ( 129720 231200 ) FS ;
- FILLER_81_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 231200 ) FS ;
- FILLER_81_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 231200 ) FS ;
- FILLER_81_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 231200 ) FS ;
- FILLER_81_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 231200 ) FS ;
- FILLER_81_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 231200 ) FS ;
- FILLER_81_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 231200 ) FS ;
- FILLER_81_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 231200 ) FS ;
- FILLER_81_311 sky130_fd_sc_hd__fill_1 + PLACED ( 148580 231200 ) FS ;
- FILLER_81_316 sky130_fd_sc_hd__decap_8 + PLACED ( 150880 231200 ) FS ;
- FILLER_81_324 sky130_fd_sc_hd__fill_1 + PLACED ( 154560 231200 ) FS ;
- FILLER_81_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 231200 ) FS ;
- FILLER_81_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 231200 ) FS ;
- FILLER_81_337 sky130_fd_sc_hd__fill_2 + PLACED ( 160540 231200 ) FS ;
- FILLER_81_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 231200 ) FS ;
- FILLER_81_350 sky130_fd_sc_hd__decap_4 + PLACED ( 166520 231200 ) FS ;
- FILLER_81_357 sky130_fd_sc_hd__decap_4 + PLACED ( 169740 231200 ) FS ;
- FILLER_81_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 231200 ) FS ;
- FILLER_81_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 231200 ) FS ;
- FILLER_81_372 sky130_fd_sc_hd__decap_8 + PLACED ( 176640 231200 ) FS ;
- FILLER_81_384 sky130_fd_sc_hd__decap_8 + PLACED ( 182160 231200 ) FS ;
- FILLER_81_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 231200 ) FS ;
- FILLER_81_404 sky130_fd_sc_hd__decap_4 + PLACED ( 191360 231200 ) FS ;
- FILLER_81_411 sky130_fd_sc_hd__decap_8 + PLACED ( 194580 231200 ) FS ;
- FILLER_81_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 231200 ) FS ;
- FILLER_81_424 sky130_fd_sc_hd__decap_4 + PLACED ( 200560 231200 ) FS ;
- FILLER_81_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 231200 ) FS ;
- FILLER_81_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 231200 ) FS ;
- FILLER_81_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 231200 ) FS ;
- FILLER_81_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 231200 ) FS ;
- FILLER_81_453 sky130_fd_sc_hd__decap_8 + PLACED ( 213900 231200 ) FS ;
- FILLER_81_465 sky130_fd_sc_hd__decap_6 + PLACED ( 219420 231200 ) FS ;
- FILLER_81_471 sky130_fd_sc_hd__fill_1 + PLACED ( 222180 231200 ) FS ;
- FILLER_81_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 231200 ) FS ;
- FILLER_81_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 231200 ) FS ;
- FILLER_81_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 231200 ) FS ;
- FILLER_81_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 231200 ) FS ;
- FILLER_81_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 231200 ) FS ;
- FILLER_81_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 231200 ) FS ;
- FILLER_81_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 231200 ) FS ;
- FILLER_81_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 231200 ) FS ;
- FILLER_81_61 sky130_fd_sc_hd__decap_6 + PLACED ( 33580 231200 ) FS ;
- FILLER_81_7 sky130_fd_sc_hd__decap_6 + PLACED ( 8740 231200 ) FS ;
- FILLER_81_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 231200 ) FS ;
- FILLER_81_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 231200 ) FS ;
- FILLER_81_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 231200 ) FS ;
- FILLER_81_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 231200 ) FS ;
- FILLER_81_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 231200 ) FS ;
- FILLER_82_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 233920 ) N ;
- FILLER_82_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 233920 ) N ;
- FILLER_82_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 233920 ) N ;
- FILLER_82_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 233920 ) N ;
- FILLER_82_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 233920 ) N ;
- FILLER_82_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 233920 ) N ;
- FILLER_82_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 233920 ) N ;
- FILLER_82_141 sky130_fd_sc_hd__decap_3 + PLACED ( 70380 233920 ) N ;
- FILLER_82_148 sky130_fd_sc_hd__decap_4 + PLACED ( 73600 233920 ) N ;
- FILLER_82_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 233920 ) N ;
- FILLER_82_154 sky130_fd_sc_hd__decap_4 + PLACED ( 76360 233920 ) N ;
- FILLER_82_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 233920 ) N ;
- FILLER_82_169 sky130_fd_sc_hd__decap_3 + PLACED ( 83260 233920 ) N ;
- FILLER_82_176 sky130_fd_sc_hd__decap_8 + PLACED ( 86480 233920 ) N ;
- FILLER_82_184 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 233920 ) N ;
- FILLER_82_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 233920 ) N ;
- FILLER_82_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 233920 ) N ;
- FILLER_82_197 sky130_fd_sc_hd__fill_2 + PLACED ( 96140 233920 ) N ;
- FILLER_82_203 sky130_fd_sc_hd__decap_8 + PLACED ( 98900 233920 ) N ;
- FILLER_82_211 sky130_fd_sc_hd__fill_1 + PLACED ( 102580 233920 ) N ;
- FILLER_82_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 233920 ) N ;
- FILLER_82_225 sky130_fd_sc_hd__fill_1 + PLACED ( 109020 233920 ) N ;
- FILLER_82_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 233920 ) N ;
- FILLER_82_230 sky130_fd_sc_hd__decap_8 + PLACED ( 111320 233920 ) N ;
- FILLER_82_238 sky130_fd_sc_hd__fill_1 + PLACED ( 115000 233920 ) N ;
- FILLER_82_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 233920 ) N ;
- FILLER_82_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 233920 ) N ;
- FILLER_82_257 sky130_fd_sc_hd__decap_8 + PLACED ( 123740 233920 ) N ;
- FILLER_82_265 sky130_fd_sc_hd__fill_2 + PLACED ( 127420 233920 ) N ;
- FILLER_82_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 233920 ) N ;
- FILLER_82_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 233920 ) N ;
- FILLER_82_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 233920 ) N ;
- FILLER_82_285 sky130_fd_sc_hd__decap_8 + PLACED ( 136620 233920 ) N ;
- FILLER_82_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 233920 ) N ;
- FILLER_82_298 sky130_fd_sc_hd__decap_4 + PLACED ( 142600 233920 ) N ;
- FILLER_82_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 233920 ) N ;
- FILLER_82_313 sky130_fd_sc_hd__decap_8 + PLACED ( 149500 233920 ) N ;
- FILLER_82_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 233920 ) N ;
- FILLER_82_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 233920 ) N ;
- FILLER_82_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 233920 ) N ;
- FILLER_82_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 233920 ) N ;
- FILLER_82_341 sky130_fd_sc_hd__decap_6 + PLACED ( 162380 233920 ) N ;
- FILLER_82_347 sky130_fd_sc_hd__fill_1 + PLACED ( 165140 233920 ) N ;
- FILLER_82_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 233920 ) N ;
- FILLER_82_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 233920 ) N ;
- FILLER_82_369 sky130_fd_sc_hd__decap_6 + PLACED ( 175260 233920 ) N ;
- FILLER_82_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 233920 ) N ;
- FILLER_82_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 233920 ) N ;
- FILLER_82_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 233920 ) N ;
- FILLER_82_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 233920 ) N ;
- FILLER_82_401 sky130_fd_sc_hd__fill_1 + PLACED ( 189980 233920 ) N ;
- FILLER_82_406 sky130_fd_sc_hd__decap_4 + PLACED ( 192280 233920 ) N ;
- FILLER_82_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 233920 ) N ;
- FILLER_82_414 sky130_fd_sc_hd__decap_6 + PLACED ( 195960 233920 ) N ;
- FILLER_82_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 233920 ) N ;
- FILLER_82_433 sky130_fd_sc_hd__decap_4 + PLACED ( 204700 233920 ) N ;
- FILLER_82_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 233920 ) N ;
- FILLER_82_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 233920 ) N ;
- FILLER_82_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 233920 ) N ;
- FILLER_82_461 sky130_fd_sc_hd__decap_6 + PLACED ( 217580 233920 ) N ;
- FILLER_82_467 sky130_fd_sc_hd__fill_1 + PLACED ( 220340 233920 ) N ;
- FILLER_82_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 233920 ) N ;
- FILLER_82_477 sky130_fd_sc_hd__decap_3 + PLACED ( 224940 233920 ) N ;
- FILLER_82_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 233920 ) N ;
- FILLER_82_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 233920 ) N ;
- FILLER_82_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 233920 ) N ;
- FILLER_82_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 233920 ) N ;
- FILLER_82_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 233920 ) N ;
- FILLER_82_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 233920 ) N ;
- FILLER_82_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 233920 ) N ;
- FILLER_82_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 233920 ) N ;
- FILLER_82_69 sky130_fd_sc_hd__decap_6 + PLACED ( 37260 233920 ) N ;
- FILLER_82_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 233920 ) N ;
- FILLER_82_75 sky130_fd_sc_hd__fill_1 + PLACED ( 40020 233920 ) N ;
- FILLER_82_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 233920 ) N ;
- FILLER_82_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 233920 ) N ;
- FILLER_82_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 233920 ) N ;
- FILLER_82_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 233920 ) N ;
- FILLER_8_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 32640 ) N ;
- FILLER_8_109 sky130_fd_sc_hd__decap_4 + PLACED ( 55660 32640 ) N ;
- FILLER_8_116 sky130_fd_sc_hd__decap_4 + PLACED ( 58880 32640 ) N ;
- FILLER_8_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 32640 ) N ;
- FILLER_8_128 sky130_fd_sc_hd__decap_4 + PLACED ( 64400 32640 ) N ;
- FILLER_8_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 32640 ) N ;
- FILLER_8_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 32640 ) N ;
- FILLER_8_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 32640 ) N ;
- FILLER_8_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 32640 ) N ;
- FILLER_8_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 32640 ) N ;
- FILLER_8_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 32640 ) N ;
- FILLER_8_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 32640 ) N ;
- FILLER_8_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 32640 ) N ;
- FILLER_8_41 sky130_fd_sc_hd__decap_6 + PLACED ( 24380 32640 ) N ;
- FILLER_8_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 32640 ) N ;
- FILLER_8_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 32640 ) N ;
- FILLER_8_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 32640 ) N ;
- FILLER_8_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 32640 ) N ;
- FILLER_8_445 sky130_fd_sc_hd__decap_6 + PLACED ( 210220 32640 ) N ;
- FILLER_8_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 32640 ) N ;
- FILLER_8_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 32640 ) N ;
- FILLER_8_465 sky130_fd_sc_hd__decap_4 + PLACED ( 219420 32640 ) N ;
- FILLER_8_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 32640 ) N ;
- FILLER_8_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 32640 ) N ;
- FILLER_8_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 32640 ) N ;
- FILLER_8_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 32640 ) N ;
- FILLER_8_50 sky130_fd_sc_hd__decap_4 + PLACED ( 28520 32640 ) N ;
- FILLER_8_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 32640 ) N ;
- FILLER_8_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 32640 ) N ;
- FILLER_8_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 32640 ) N ;
- FILLER_8_64 sky130_fd_sc_hd__decap_4 + PLACED ( 34960 32640 ) N ;
- FILLER_8_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 32640 ) N ;
- FILLER_8_78 sky130_fd_sc_hd__decap_6 + PLACED ( 41400 32640 ) N ;
- FILLER_8_88 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 32640 ) N ;
- FILLER_8_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 32640 ) N ;
- FILLER_9_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 35360 ) FS ;
- FILLER_9_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 35360 ) FS ;
- FILLER_9_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 35360 ) FS ;
- FILLER_9_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 35360 ) FS ;
- FILLER_9_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 35360 ) FS ;
- FILLER_9_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 35360 ) FS ;
- FILLER_9_139 sky130_fd_sc_hd__decap_12 + PLACED ( 69460 35360 ) FS ;
- FILLER_9_151 sky130_fd_sc_hd__decap_12 + PLACED ( 74980 35360 ) FS ;
- FILLER_9_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 35360 ) FS ;
- FILLER_9_20 sky130_fd_sc_hd__decap_4 + PLACED ( 14720 35360 ) FS ;
- FILLER_9_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 35360 ) FS ;
- FILLER_9_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 35360 ) FS ;
- FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 35360 ) FS ;
- FILLER_9_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 35360 ) FS ;
- FILLER_9_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 35360 ) FS ;
- FILLER_9_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 35360 ) FS ;
- FILLER_9_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 35360 ) FS ;
- FILLER_9_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 35360 ) FS ;
- FILLER_9_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 35360 ) FS ;
- FILLER_9_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 35360 ) FS ;
- FILLER_9_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 35360 ) FS ;
- FILLER_9_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 35360 ) FS ;
- FILLER_9_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 35360 ) FS ;
- FILLER_9_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 35360 ) FS ;
- FILLER_9_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 35360 ) FS ;
- FILLER_9_449 sky130_fd_sc_hd__decap_3 + PLACED ( 212060 35360 ) FS ;
- FILLER_9_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 35360 ) FS ;
- FILLER_9_454 sky130_fd_sc_hd__decap_4 + PLACED ( 214360 35360 ) FS ;
- FILLER_9_460 sky130_fd_sc_hd__decap_4 + PLACED ( 217120 35360 ) FS ;
- FILLER_9_467 sky130_fd_sc_hd__decap_4 + PLACED ( 220340 35360 ) FS ;
- FILLER_9_474 sky130_fd_sc_hd__decap_4 + PLACED ( 223560 35360 ) FS ;
- FILLER_9_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 35360 ) FS ;
- FILLER_9_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 35360 ) FS ;
- FILLER_9_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 35360 ) FS ;
- FILLER_9_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 35360 ) FS ;
- FILLER_9_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 35360 ) FS ;
- FILLER_9_60 sky130_fd_sc_hd__decap_4 + PLACED ( 33120 35360 ) FS ;
- FILLER_9_67 sky130_fd_sc_hd__decap_6 + PLACED ( 36340 35360 ) FS ;
- FILLER_9_76 sky130_fd_sc_hd__decap_4 + PLACED ( 40480 35360 ) FS ;
- FILLER_9_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 35360 ) FS ;
- FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) FS ;
- FILLER_9_98 sky130_fd_sc_hd__fill_1 + PLACED ( 50600 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 27200 ) FN ;
- PHY_130 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 187680 ) FS ;
- PHY_131 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 187680 ) S ;
- PHY_132 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 190400 ) N ;
- PHY_133 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 190400 ) FN ;
- PHY_134 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 193120 ) FS ;
- PHY_135 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 193120 ) S ;
- PHY_136 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 195840 ) N ;
- PHY_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 195840 ) FN ;
- PHY_138 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 198560 ) FS ;
- PHY_139 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 198560 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_140 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 201280 ) N ;
- PHY_141 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 201280 ) FN ;
- PHY_142 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 204000 ) FS ;
- PHY_143 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 204000 ) S ;
- PHY_144 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 206720 ) N ;
- PHY_145 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 206720 ) FN ;
- PHY_146 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 209440 ) FS ;
- PHY_147 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 209440 ) S ;
- PHY_148 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 212160 ) N ;
- PHY_149 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 212160 ) FN ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 29920 ) S ;
- PHY_150 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 214880 ) FS ;
- PHY_151 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 214880 ) S ;
- PHY_152 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 217600 ) N ;
- PHY_153 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 217600 ) FN ;
- PHY_154 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 220320 ) FS ;
- PHY_155 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 220320 ) S ;
- PHY_156 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 223040 ) N ;
- PHY_157 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 223040 ) FN ;
- PHY_158 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 225760 ) FS ;
- PHY_159 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 225760 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_160 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 228480 ) N ;
- PHY_161 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 228480 ) FN ;
- PHY_162 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 231200 ) FS ;
- PHY_163 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 231200 ) S ;
- PHY_164 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 233920 ) N ;
- PHY_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 233920 ) FN ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 144160 ) S ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 10880 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 10880 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 10880 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 10880 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 13600 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 13600 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 16320 ) N ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 16320 ) N ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 19040 ) FS ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 19040 ) FS ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 21760 ) N ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 21760 ) N ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 24480 ) FS ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 24480 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 27200 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 27200 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 29920 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 29920 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 32640 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 32640 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 35360 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 35360 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 38080 ) N ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 38080 ) N ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 40800 ) FS ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 40800 ) FS ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 43520 ) N ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 43520 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 46240 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 46240 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 48960 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 48960 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 51680 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 51680 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 54400 ) N ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 54400 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 57120 ) FS ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 57120 ) FS ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 59840 ) N ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 59840 ) N ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 62560 ) FS ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 62560 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 65280 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 65280 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 68000 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 68000 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 70720 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 70720 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 73440 ) FS ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 73440 ) FS ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 76160 ) N ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 76160 ) N ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 78880 ) FS ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 78880 ) FS ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 81600 ) N ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 81600 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 84320 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 84320 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 87040 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 87040 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 89760 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 89760 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 92480 ) N ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 92480 ) N ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 95200 ) FS ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 95200 ) FS ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 97920 ) N ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 97920 ) N ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 100640 ) FS ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 100640 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 103360 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 103360 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 106080 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 106080 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 108800 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 108800 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 111520 ) FS ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 111520 ) FS ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 114240 ) N ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 114240 ) N ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 116960 ) FS ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 116960 ) FS ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 119680 ) N ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 119680 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 122400 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 122400 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 125120 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 125120 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 127840 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 127840 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 130560 ) N ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 130560 ) N ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 133280 ) FS ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 133280 ) FS ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 136000 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 136000 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_599 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_600 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_601 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_602 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_603 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_604 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_605 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 138720 ) FS ;
- TAP_606 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 138720 ) FS ;
- TAP_607 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_608 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_609 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_610 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_611 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_612 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_613 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_614 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 141440 ) N ;
- TAP_615 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 141440 ) N ;
- TAP_616 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_617 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_618 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_619 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_620 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_621 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_622 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_623 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 144160 ) FS ;
- TAP_624 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 144160 ) FS ;
- TAP_625 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_626 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_627 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_628 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_629 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_630 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_631 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_632 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 146880 ) N ;
- TAP_633 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 146880 ) N ;
- TAP_634 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_635 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_636 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_637 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_638 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_639 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_640 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_641 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 149600 ) FS ;
- TAP_642 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 149600 ) FS ;
- TAP_643 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_644 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_645 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_646 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_647 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_648 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_649 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_650 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 152320 ) N ;
- TAP_651 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 152320 ) N ;
- TAP_652 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_653 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_654 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_655 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_656 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_657 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_658 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_659 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 155040 ) FS ;
- TAP_660 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 155040 ) FS ;
- TAP_661 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_662 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_663 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_664 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_665 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_666 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_667 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_668 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 157760 ) N ;
- TAP_669 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 157760 ) N ;
- TAP_670 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_671 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_672 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_673 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_674 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_675 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_676 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_677 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 160480 ) FS ;
- TAP_678 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 160480 ) FS ;
- TAP_679 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_680 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_681 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_682 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_683 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_684 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_685 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_686 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 163200 ) N ;
- TAP_687 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 163200 ) N ;
- TAP_688 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_689 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_690 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_691 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_692 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_693 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_694 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_695 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 165920 ) FS ;
- TAP_696 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 165920 ) FS ;
- TAP_697 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_698 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_699 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_700 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_701 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_702 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_703 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_704 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 168640 ) N ;
- TAP_705 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 168640 ) N ;
- TAP_706 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_707 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_708 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_709 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_710 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_711 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_712 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_713 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 171360 ) FS ;
- TAP_714 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 171360 ) FS ;
- TAP_715 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_716 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_717 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_718 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_719 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_720 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_721 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_722 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 174080 ) N ;
- TAP_723 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 174080 ) N ;
- TAP_724 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_725 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_726 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_727 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_728 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_729 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_730 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_731 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 176800 ) FS ;
- TAP_732 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 176800 ) FS ;
- TAP_733 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_734 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_735 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_736 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_737 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_738 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_739 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_740 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 179520 ) N ;
- TAP_741 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 179520 ) N ;
- TAP_742 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_743 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_744 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_745 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_746 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_747 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_748 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_749 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 182240 ) FS ;
- TAP_750 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 182240 ) FS ;
- TAP_751 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_752 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_753 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_754 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_755 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_756 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_757 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_758 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 184960 ) N ;
- TAP_759 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 184960 ) N ;
- TAP_760 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 187680 ) FS ;
- TAP_761 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 187680 ) FS ;
- TAP_762 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 187680 ) FS ;
- TAP_763 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 187680 ) FS ;
- TAP_764 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 187680 ) FS ;
- TAP_765 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 187680 ) FS ;
- TAP_766 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 187680 ) FS ;
- TAP_767 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 187680 ) FS ;
- TAP_768 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 187680 ) FS ;
- TAP_769 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 190400 ) N ;
- TAP_770 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 190400 ) N ;
- TAP_771 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 190400 ) N ;
- TAP_772 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 190400 ) N ;
- TAP_773 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 190400 ) N ;
- TAP_774 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 190400 ) N ;
- TAP_775 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 190400 ) N ;
- TAP_776 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 190400 ) N ;
- TAP_777 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 190400 ) N ;
- TAP_778 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 193120 ) FS ;
- TAP_779 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 193120 ) FS ;
- TAP_780 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 193120 ) FS ;
- TAP_781 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 193120 ) FS ;
- TAP_782 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 193120 ) FS ;
- TAP_783 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 193120 ) FS ;
- TAP_784 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 193120 ) FS ;
- TAP_785 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 193120 ) FS ;
- TAP_786 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 193120 ) FS ;
- TAP_787 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 195840 ) N ;
- TAP_788 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 195840 ) N ;
- TAP_789 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 195840 ) N ;
- TAP_790 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 195840 ) N ;
- TAP_791 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 195840 ) N ;
- TAP_792 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 195840 ) N ;
- TAP_793 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 195840 ) N ;
- TAP_794 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 195840 ) N ;
- TAP_795 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 195840 ) N ;
- TAP_796 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 198560 ) FS ;
- TAP_797 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 198560 ) FS ;
- TAP_798 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 198560 ) FS ;
- TAP_799 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 198560 ) FS ;
- TAP_800 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 198560 ) FS ;
- TAP_801 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 198560 ) FS ;
- TAP_802 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 198560 ) FS ;
- TAP_803 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 198560 ) FS ;
- TAP_804 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 198560 ) FS ;
- TAP_805 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 201280 ) N ;
- TAP_806 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 201280 ) N ;
- TAP_807 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 201280 ) N ;
- TAP_808 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 201280 ) N ;
- TAP_809 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 201280 ) N ;
- TAP_810 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 201280 ) N ;
- TAP_811 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 201280 ) N ;
- TAP_812 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 201280 ) N ;
- TAP_813 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 201280 ) N ;
- TAP_814 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 204000 ) FS ;
- TAP_815 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 204000 ) FS ;
- TAP_816 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 204000 ) FS ;
- TAP_817 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 204000 ) FS ;
- TAP_818 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 204000 ) FS ;
- TAP_819 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 204000 ) FS ;
- TAP_820 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 204000 ) FS ;
- TAP_821 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 204000 ) FS ;
- TAP_822 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 204000 ) FS ;
- TAP_823 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 206720 ) N ;
- TAP_824 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 206720 ) N ;
- TAP_825 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 206720 ) N ;
- TAP_826 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 206720 ) N ;
- TAP_827 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 206720 ) N ;
- TAP_828 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 206720 ) N ;
- TAP_829 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 206720 ) N ;
- TAP_830 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 206720 ) N ;
- TAP_831 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 206720 ) N ;
- TAP_832 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 209440 ) FS ;
- TAP_833 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 209440 ) FS ;
- TAP_834 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 209440 ) FS ;
- TAP_835 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 209440 ) FS ;
- TAP_836 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 209440 ) FS ;
- TAP_837 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 209440 ) FS ;
- TAP_838 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 209440 ) FS ;
- TAP_839 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 209440 ) FS ;
- TAP_840 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 209440 ) FS ;
- TAP_841 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 212160 ) N ;
- TAP_842 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 212160 ) N ;
- TAP_843 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 212160 ) N ;
- TAP_844 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 212160 ) N ;
- TAP_845 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 212160 ) N ;
- TAP_846 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 212160 ) N ;
- TAP_847 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 212160 ) N ;
- TAP_848 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 212160 ) N ;
- TAP_849 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 212160 ) N ;
- TAP_850 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 214880 ) FS ;
- TAP_851 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 214880 ) FS ;
- TAP_852 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 214880 ) FS ;
- TAP_853 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 214880 ) FS ;
- TAP_854 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 214880 ) FS ;
- TAP_855 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 214880 ) FS ;
- TAP_856 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 214880 ) FS ;
- TAP_857 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 214880 ) FS ;
- TAP_858 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 214880 ) FS ;
- TAP_859 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 217600 ) N ;
- TAP_860 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 217600 ) N ;
- TAP_861 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 217600 ) N ;
- TAP_862 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 217600 ) N ;
- TAP_863 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 217600 ) N ;
- TAP_864 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 217600 ) N ;
- TAP_865 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 217600 ) N ;
- TAP_866 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 217600 ) N ;
- TAP_867 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 217600 ) N ;
- TAP_868 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 220320 ) FS ;
- TAP_869 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 220320 ) FS ;
- TAP_870 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 220320 ) FS ;
- TAP_871 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 220320 ) FS ;
- TAP_872 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 220320 ) FS ;
- TAP_873 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 220320 ) FS ;
- TAP_874 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 220320 ) FS ;
- TAP_875 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 220320 ) FS ;
- TAP_876 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 220320 ) FS ;
- TAP_877 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 223040 ) N ;
- TAP_878 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 223040 ) N ;
- TAP_879 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 223040 ) N ;
- TAP_880 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 223040 ) N ;
- TAP_881 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 223040 ) N ;
- TAP_882 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 223040 ) N ;
- TAP_883 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 223040 ) N ;
- TAP_884 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 223040 ) N ;
- TAP_885 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 223040 ) N ;
- TAP_886 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 225760 ) FS ;
- TAP_887 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 225760 ) FS ;
- TAP_888 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 225760 ) FS ;
- TAP_889 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 225760 ) FS ;
- TAP_890 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 225760 ) FS ;
- TAP_891 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 225760 ) FS ;
- TAP_892 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 225760 ) FS ;
- TAP_893 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 225760 ) FS ;
- TAP_894 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 225760 ) FS ;
- TAP_895 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 228480 ) N ;
- TAP_896 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 228480 ) N ;
- TAP_897 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 228480 ) N ;
- TAP_898 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 228480 ) N ;
- TAP_899 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 228480 ) N ;
- TAP_900 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 228480 ) N ;
- TAP_901 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 228480 ) N ;
- TAP_902 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 228480 ) N ;
- TAP_903 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 228480 ) N ;
- TAP_904 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 231200 ) FS ;
- TAP_905 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 231200 ) FS ;
- TAP_906 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 231200 ) FS ;
- TAP_907 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 231200 ) FS ;
- TAP_908 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 231200 ) FS ;
- TAP_909 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 231200 ) FS ;
- TAP_910 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 231200 ) FS ;
- TAP_911 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 231200 ) FS ;
- TAP_912 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 231200 ) FS ;
- TAP_913 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 233920 ) N ;
- TAP_914 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 233920 ) N ;
- TAP_915 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 233920 ) N ;
- TAP_916 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 233920 ) N ;
- TAP_917 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 233920 ) N ;
- TAP_918 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 233920 ) N ;
- TAP_919 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 233920 ) N ;
- TAP_920 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 233920 ) N ;
- TAP_921 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 233920 ) N ;
- TAP_922 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 233920 ) N ;
- TAP_923 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 233920 ) N ;
- TAP_924 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 233920 ) N ;
- TAP_925 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 233920 ) N ;
- TAP_926 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 233920 ) N ;
- TAP_927 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 233920 ) N ;
- TAP_928 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 233920 ) N ;
- TAP_929 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 233920 ) N ;
- TAP_930 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 233920 ) N ;
- _100_ sky130_fd_sc_hd__buf_4 + PLACED ( 59800 29920 ) FS ;
- _101_ sky130_fd_sc_hd__inv_2 + PLACED ( 156860 214880 ) S ;
- _102_ sky130_fd_sc_hd__o22a_1 + PLACED ( 155480 206720 ) N ;
- _103_ sky130_fd_sc_hd__nor2_1 + PLACED ( 152720 212160 ) FN ;
- _104_ sky130_fd_sc_hd__nand2_1 + PLACED ( 66700 10880 ) FN ;
- _105_ sky130_fd_sc_hd__or4_1 + PLACED ( 41400 13600 ) S ;
- _106_ sky130_fd_sc_hd__or4_1 + PLACED ( 48760 13600 ) S ;
- _107_ sky130_fd_sc_hd__or4_1 + PLACED ( 12880 13600 ) FS ;
- _108_ sky130_fd_sc_hd__inv_2 + PLACED ( 28060 29920 ) FS ;
- _109_ sky130_fd_sc_hd__inv_2 + PLACED ( 10120 32640 ) N ;
- _110_ sky130_fd_sc_hd__inv_2 + PLACED ( 44620 32640 ) N ;
- _111_ sky130_fd_sc_hd__or4_1 + PLACED ( 13800 19040 ) FS ;
- _112_ sky130_fd_sc_hd__or4_1 + PLACED ( 18400 13600 ) S ;
- _113_ sky130_fd_sc_hd__or4_1 + PLACED ( 13800 10880 ) FN ;
- _114_ sky130_fd_sc_hd__or4_1 + PLACED ( 18860 16320 ) N ;
- _115_ sky130_fd_sc_hd__or4_1 + PLACED ( 31280 16320 ) FN ;
- _116_ sky130_fd_sc_hd__or4_1 + PLACED ( 26680 13600 ) S ;
- _117_ sky130_fd_sc_hd__or4bb_1 + PLACED ( 32660 13600 ) FS ;
- _118_ sky130_fd_sc_hd__or3_1 + PLACED ( 33580 24480 ) FS ;
- _119_ sky130_fd_sc_hd__or3_4 + PLACED ( 36800 16320 ) N ;
- _120_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 46460 212160 ) N ;
- _121_ sky130_fd_sc_hd__inv_2 + PLACED ( 40940 212160 ) N ;
- _122_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 44160 214880 ) FS ;
- _123_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 160540 214880 ) FS ;
- _124_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 51520 212160 ) N ;
- _125_ sky130_fd_sc_hd__buf_2 + PLACED ( 109020 214880 ) FS ;
- _126_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149960 214880 ) FS ;
- _127_ sky130_fd_sc_hd__o221a_1 + PLACED ( 162380 217600 ) FN ;
- _128_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 166980 214880 ) FS ;
- _129_ sky130_fd_sc_hd__o221a_1 + PLACED ( 178020 214880 ) FS ;
- _130_ sky130_fd_sc_hd__o221a_1 + PLACED ( 179400 225760 ) FS ;
- _131_ sky130_fd_sc_hd__o221a_1 + PLACED ( 178480 223040 ) N ;
- _132_ sky130_fd_sc_hd__o221a_1 + PLACED ( 174800 217600 ) FN ;
- _133_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 48760 214880 ) FS ;
- _134_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 137080 220320 ) FS ;
- _135_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 141680 220320 ) FS ;
- _136_ sky130_fd_sc_hd__o221a_1 + PLACED ( 163760 223040 ) N ;
- _137_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 217600 ) N ;
- _138_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 220320 ) FS ;
- _139_ sky130_fd_sc_hd__o221a_1 + PLACED ( 154100 225760 ) FS ;
- _140_ sky130_fd_sc_hd__o221a_1 + PLACED ( 152260 220320 ) S ;
- _141_ sky130_fd_sc_hd__o221a_1 + PLACED ( 141220 223040 ) FN ;
- _142_ sky130_fd_sc_hd__o221a_1 + PLACED ( 141220 217600 ) FN ;
- _143_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 117300 220320 ) FS ;
- _144_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 109480 212160 ) N ;
- _145_ sky130_fd_sc_hd__o221a_1 + PLACED ( 121900 217600 ) N ;
- _146_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 105800 217600 ) FN ;
- _147_ sky130_fd_sc_hd__o221a_1 + PLACED ( 121900 212160 ) N ;
- _148_ sky130_fd_sc_hd__o221a_1 + PLACED ( 121440 225760 ) FS ;
- _149_ sky130_fd_sc_hd__o221a_1 + PLACED ( 115460 217600 ) FN ;
- _150_ sky130_fd_sc_hd__o221a_1 + PLACED ( 113620 225760 ) S ;
- _151_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97060 220320 ) FS ;
- _152_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 96140 217600 ) FN ;
- _153_ sky130_fd_sc_hd__o221a_1 + PLACED ( 100740 220320 ) FS ;
- _154_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 77740 217600 ) FN ;
- _155_ sky130_fd_sc_hd__o221a_1 + PLACED ( 101660 214880 ) FS ;
- _156_ sky130_fd_sc_hd__o221a_1 + PLACED ( 89700 223040 ) N ;
- _157_ sky130_fd_sc_hd__o221a_1 + PLACED ( 87860 212160 ) N ;
- _158_ sky130_fd_sc_hd__o221a_1 + PLACED ( 83260 217600 ) FN ;
- _159_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 71300 220320 ) FS ;
- _160_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59340 214880 ) FS ;
- _161_ sky130_fd_sc_hd__o221a_1 + PLACED ( 74060 225760 ) FS ;
- _162_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 50140 220320 ) S ;
- _163_ sky130_fd_sc_hd__o221a_1 + PLACED ( 67620 225760 ) FS ;
- _164_ sky130_fd_sc_hd__o221a_1 + PLACED ( 63940 217600 ) N ;
- _165_ sky130_fd_sc_hd__o221a_1 + PLACED ( 57500 225760 ) S ;
- _166_ sky130_fd_sc_hd__o221a_1 + PLACED ( 57500 220320 ) S ;
- _167_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 45080 220320 ) S ;
- _168_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 52440 214880 ) S ;
- _169_ sky130_fd_sc_hd__o221a_1 + PLACED ( 42780 225760 ) FS ;
- _170_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 37720 217600 ) FN ;
- _171_ sky130_fd_sc_hd__o221a_1 + PLACED ( 31740 212160 ) N ;
- _172_ sky130_fd_sc_hd__o221a_1 + PLACED ( 32200 225760 ) S ;
- _173_ sky130_fd_sc_hd__o221a_1 + PLACED ( 23920 212160 ) N ;
- _174_ sky130_fd_sc_hd__o221a_1 + PLACED ( 22540 225760 ) FS ;
- _175_ sky130_fd_sc_hd__o221a_1 + PLACED ( 12420 223040 ) FN ;
- _176_ sky130_fd_sc_hd__o221a_1 + PLACED ( 11500 212160 ) FN ;
- _177_ sky130_fd_sc_hd__o221a_1 + PLACED ( 11500 214880 ) FS ;
- _178_ sky130_fd_sc_hd__nor4_1 + PLACED ( 19780 27200 ) FN ;
- _179_ sky130_fd_sc_hd__or3_1 + PLACED ( 36340 27200 ) N ;
- _180_ sky130_fd_sc_hd__or3b_4 + PLACED ( 40020 19040 ) S ;
- _181_ sky130_fd_sc_hd__inv_2 + PLACED ( 222180 35360 ) FS ;
- _182_ sky130_fd_sc_hd__buf_2 + PLACED ( 228160 38080 ) N ;
- _183_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 226780 27200 ) FN ;
- _184_ sky130_fd_sc_hd__or3_4 + PLACED ( 46000 19040 ) FS ;
- _185_ sky130_fd_sc_hd__inv_2 + PLACED ( 218960 35360 ) FS ;
- _186_ sky130_fd_sc_hd__buf_2 + PLACED ( 225400 35360 ) FS ;
- _187_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 224940 29920 ) S ;
- _188_ sky130_fd_sc_hd__a22o_4 + PLACED ( 224940 21760 ) N ;
- _189_ sky130_fd_sc_hd__a22o_4 + PLACED ( 222640 19040 ) FS ;
- _190_ sky130_fd_sc_hd__a22o_4 + PLACED ( 222640 24480 ) FS ;
- _191_ sky130_fd_sc_hd__a22o_2 + PLACED ( 218960 21760 ) FN ;
- _192_ sky130_fd_sc_hd__a22o_2 + PLACED ( 224940 16320 ) FN ;
- _193_ sky130_fd_sc_hd__buf_2 + PLACED ( 237820 29920 ) FS ;
- _194_ sky130_fd_sc_hd__buf_2 + PLACED ( 230920 27200 ) N ;
- _195_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 21760 ) N ;
- _196_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 27200 ) N ;
- _197_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 32640 ) N ;
- _198_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 29920 ) FS ;
- _199_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 38080 ) N ;
- _200_ sky130_fd_sc_hd__buf_2 + PLACED ( 237820 40800 ) FS ;
- _201_ sky130_fd_sc_hd__buf_2 + PLACED ( 230920 43520 ) N ;
- _202_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 43520 ) N ;
- _203_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 35360 ) FS ;
- _204_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 40800 ) FS ;
- _205_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 48960 ) N ;
- _206_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 46240 ) FS ;
- _207_ sky130_fd_sc_hd__buf_2 + PLACED ( 230920 54400 ) N ;
- _208_ sky130_fd_sc_hd__buf_2 + PLACED ( 232760 51680 ) FS ;
- _209_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 54400 ) N ;
- _210_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 59840 ) N ;
- _211_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 57120 ) FS ;
- _212_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 65280 ) N ;
- _213_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 62560 ) FS ;
- _214_ sky130_fd_sc_hd__buf_2 + PLACED ( 230920 70720 ) N ;
- _215_ sky130_fd_sc_hd__buf_2 + PLACED ( 227240 70720 ) N ;
- _216_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 70720 ) N ;
- _217_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 76160 ) N ;
- _218_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 68000 ) FS ;
- _219_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 73440 ) FS ;
- _220_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 81600 ) N ;
- _221_ sky130_fd_sc_hd__buf_2 + PLACED ( 237820 78880 ) FS ;
- _222_ sky130_fd_sc_hd__buf_2 + PLACED ( 232760 78880 ) FS ;
- _223_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 87040 ) N ;
- _224_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 84320 ) FS ;
- _225_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 92480 ) N ;
- _226_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 89760 ) FS ;
- _227_ sky130_fd_sc_hd__a22o_4 + PLACED ( 234600 97920 ) N ;
- _228_ sky130_fd_sc_hd__a22o_4 + PLACED ( 229080 95200 ) FS ;
- _229_ sky130_fd_sc_hd__a22o_4 + PLACED ( 226320 97920 ) N ;
- _230_ sky130_fd_sc_hd__or3_1 + PLACED ( 44160 24480 ) FS ;
- _231_ sky130_fd_sc_hd__buf_4 + PLACED ( 49680 24480 ) FS ;
- _232_ sky130_fd_sc_hd__or4_1 + PLACED ( 44620 21760 ) N ;
- _233_ sky130_fd_sc_hd__buf_4 + PLACED ( 48300 27200 ) N ;
- _234_ sky130_fd_sc_hd__or2_1 + PLACED ( 54280 27200 ) N ;
- _235_ sky130_fd_sc_hd__conb_1 + PLACED ( 224940 228480 ) N ;
- _236_ sky130_fd_sc_hd__conb_1 + PLACED ( 235980 16320 ) N ;
- _237_ sky130_fd_sc_hd__conb_1 + PLACED ( 230460 13600 ) FS ;
- _238_ sky130_fd_sc_hd__conb_1 + PLACED ( 232760 16320 ) N ;
- _239_ sky130_fd_sc_hd__conb_1 + PLACED ( 66700 16320 ) FN ;
- _240_ sky130_fd_sc_hd__conb_1 + PLACED ( 68540 19040 ) S ;
- _241_ sky130_fd_sc_hd__conb_1 + PLACED ( 62100 21760 ) FN ;
- _242_ sky130_fd_sc_hd__conb_1 + PLACED ( 65320 21760 ) FN ;
- _243_ sky130_fd_sc_hd__conb_1 + PLACED ( 61180 24480 ) S ;
- _244_ sky130_fd_sc_hd__conb_1 + PLACED ( 71760 19040 ) S ;
- _245_ sky130_fd_sc_hd__conb_1 + PLACED ( 74060 16320 ) FN ;
- _246_ sky130_fd_sc_hd__conb_1 + PLACED ( 76820 13600 ) S ;
- _247_ sky130_fd_sc_hd__conb_1 + PLACED ( 74980 19040 ) S ;
- _248_ sky130_fd_sc_hd__conb_1 + PLACED ( 77280 16320 ) FN ;
- _249_ sky130_fd_sc_hd__conb_1 + PLACED ( 70380 21760 ) FN ;
- _250_ sky130_fd_sc_hd__conb_1 + PLACED ( 77740 10880 ) FN ;
- _251_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 13600 ) S ;
- _252_ sky130_fd_sc_hd__conb_1 + PLACED ( 78200 19040 ) S ;
- _253_ sky130_fd_sc_hd__conb_1 + PLACED ( 80500 16320 ) FN ;
- _254_ sky130_fd_sc_hd__conb_1 + PLACED ( 78200 21760 ) FN ;
- _255_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 10880 ) FN ;
- _256_ sky130_fd_sc_hd__conb_1 + PLACED ( 86480 13600 ) S ;
- _257_ sky130_fd_sc_hd__conb_1 + PLACED ( 83720 16320 ) FN ;
- _258_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 19040 ) S ;
- _259_ sky130_fd_sc_hd__conb_1 + PLACED ( 86480 10880 ) FN ;
- _260_ sky130_fd_sc_hd__conb_1 + PLACED ( 86940 16320 ) FN ;
- _261_ sky130_fd_sc_hd__conb_1 + PLACED ( 86480 19040 ) S ;
- _262_ sky130_fd_sc_hd__conb_1 + PLACED ( 89700 10880 ) FN ;
- _263_ sky130_fd_sc_hd__conb_1 + PLACED ( 90160 16320 ) FN ;
- _264_ sky130_fd_sc_hd__conb_1 + PLACED ( 95680 13600 ) S ;
- _265_ sky130_fd_sc_hd__conb_1 + PLACED ( 91080 19040 ) FS ;
- _266_ sky130_fd_sc_hd__conb_1 + PLACED ( 96140 10880 ) FN ;
- _267_ sky130_fd_sc_hd__conb_1 + PLACED ( 96140 16320 ) FN ;
- _268_ sky130_fd_sc_hd__conb_1 + PLACED ( 99360 10880 ) FN ;
- _269_ sky130_fd_sc_hd__conb_1 + PLACED ( 99360 16320 ) FN ;
- _270_ sky130_fd_sc_hd__conb_1 + PLACED ( 104420 13600 ) S ;
- _271_ sky130_fd_sc_hd__conb_1 + PLACED ( 102580 10880 ) FN ;
- _272_ sky130_fd_sc_hd__conb_1 + PLACED ( 102580 16320 ) FN ;
- _273_ sky130_fd_sc_hd__conb_1 + PLACED ( 105800 16320 ) FN ;
- _274_ sky130_fd_sc_hd__conb_1 + PLACED ( 109020 10880 ) FN ;
- _275_ sky130_fd_sc_hd__conb_1 + PLACED ( 109020 16320 ) FN ;
- _276_ sky130_fd_sc_hd__conb_1 + PLACED ( 114540 13600 ) S ;
- _277_ sky130_fd_sc_hd__conb_1 + PLACED ( 112240 10880 ) FN ;
- _278_ sky130_fd_sc_hd__conb_1 + PLACED ( 112240 16320 ) FN ;
- _279_ sky130_fd_sc_hd__conb_1 + PLACED ( 115920 10880 ) FN ;
- _280_ sky130_fd_sc_hd__conb_1 + PLACED ( 121900 10880 ) FN ;
- _281_ sky130_fd_sc_hd__conb_1 + PLACED ( 115460 16320 ) N ;
- _282_ sky130_fd_sc_hd__conb_1 + PLACED ( 123740 13600 ) S ;
- _283_ sky130_fd_sc_hd__conb_1 + PLACED ( 125120 10880 ) FN ;
- _284_ sky130_fd_sc_hd__conb_1 + PLACED ( 121900 16320 ) FN ;
- _285_ sky130_fd_sc_hd__conb_1 + PLACED ( 126960 13600 ) S ;
- _286_ sky130_fd_sc_hd__conb_1 + PLACED ( 128340 10880 ) FN ;
- _287_ sky130_fd_sc_hd__conb_1 + PLACED ( 125120 16320 ) FN ;
- _288_ sky130_fd_sc_hd__conb_1 + PLACED ( 130180 13600 ) S ;
- _289_ sky130_fd_sc_hd__conb_1 + PLACED ( 134780 10880 ) FN ;
- _290_ sky130_fd_sc_hd__conb_1 + PLACED ( 128800 16320 ) N ;
- _291_ sky130_fd_sc_hd__conb_1 + PLACED ( 132020 16320 ) FN ;
- _292_ sky130_fd_sc_hd__conb_1 + PLACED ( 134780 13600 ) S ;
- _293_ sky130_fd_sc_hd__conb_1 + PLACED ( 138000 10880 ) FN ;
- _294_ sky130_fd_sc_hd__conb_1 + PLACED ( 138000 13600 ) S ;
- _295_ sky130_fd_sc_hd__conb_1 + PLACED ( 135700 16320 ) N ;
- _296_ sky130_fd_sc_hd__conb_1 + PLACED ( 141220 10880 ) FN ;
- _297_ sky130_fd_sc_hd__conb_1 + PLACED ( 138920 16320 ) N ;
- _298_ sky130_fd_sc_hd__conb_1 + PLACED ( 142140 16320 ) FN ;
- _299_ sky130_fd_sc_hd__conb_1 + PLACED ( 142140 19040 ) FS ;
- _300_ sky130_fd_sc_hd__conb_1 + PLACED ( 145360 19040 ) S ;
- _301_ sky130_fd_sc_hd__conb_1 + PLACED ( 147660 16320 ) FN ;
- _302_ sky130_fd_sc_hd__conb_1 + PLACED ( 150880 16320 ) FN ;
- _303_ sky130_fd_sc_hd__conb_1 + PLACED ( 153180 10880 ) FN ;
- _304_ sky130_fd_sc_hd__conb_1 + PLACED ( 149500 19040 ) FS ;
- _305_ sky130_fd_sc_hd__conb_1 + PLACED ( 154100 16320 ) FN ;
- _306_ sky130_fd_sc_hd__conb_1 + PLACED ( 156400 10880 ) FN ;
- _307_ sky130_fd_sc_hd__conb_1 + PLACED ( 157320 16320 ) FN ;
- _308_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 13600 ) S ;
- _309_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 10880 ) FN ;
- _310_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 16320 ) FN ;
- _311_ sky130_fd_sc_hd__conb_1 + PLACED ( 163760 13600 ) S ;
- _312_ sky130_fd_sc_hd__conb_1 + PLACED ( 163760 10880 ) FN ;
- _313_ sky130_fd_sc_hd__conb_1 + PLACED ( 166980 13600 ) S ;
- _314_ sky130_fd_sc_hd__conb_1 + PLACED ( 165140 16320 ) FN ;
- _315_ sky130_fd_sc_hd__conb_1 + PLACED ( 170200 13600 ) S ;
- _316_ sky130_fd_sc_hd__conb_1 + PLACED ( 167900 10880 ) N ;
- _317_ sky130_fd_sc_hd__conb_1 + PLACED ( 173420 13600 ) S ;
- _318_ sky130_fd_sc_hd__conb_1 + PLACED ( 173420 10880 ) FN ;
- _319_ sky130_fd_sc_hd__conb_1 + PLACED ( 173420 16320 ) FN ;
- _320_ sky130_fd_sc_hd__conb_1 + PLACED ( 176640 10880 ) FN ;
- _321_ sky130_fd_sc_hd__conb_1 + PLACED ( 176640 16320 ) FN ;
- _322_ sky130_fd_sc_hd__conb_1 + PLACED ( 179860 10880 ) FN ;
- _323_ sky130_fd_sc_hd__conb_1 + PLACED ( 179860 16320 ) FN ;
- _324_ sky130_fd_sc_hd__conb_1 + PLACED ( 183080 16320 ) FN ;
- _325_ sky130_fd_sc_hd__conb_1 + PLACED ( 186300 13600 ) S ;
- _326_ sky130_fd_sc_hd__conb_1 + PLACED ( 186300 10880 ) FN ;
- _327_ sky130_fd_sc_hd__conb_1 + PLACED ( 186300 16320 ) FN ;
- _328_ sky130_fd_sc_hd__conb_1 + PLACED ( 189520 13600 ) S ;
- _329_ sky130_fd_sc_hd__conb_1 + PLACED ( 189520 10880 ) FN ;
- _330_ sky130_fd_sc_hd__conb_1 + PLACED ( 192740 13600 ) S ;
- _331_ sky130_fd_sc_hd__conb_1 + PLACED ( 189980 16320 ) N ;
- _332_ sky130_fd_sc_hd__conb_1 + PLACED ( 195960 13600 ) S ;
- _333_ sky130_fd_sc_hd__conb_1 + PLACED ( 193200 10880 ) N ;
- _334_ sky130_fd_sc_hd__conb_1 + PLACED ( 194580 16320 ) N ;
- _335_ sky130_fd_sc_hd__conb_1 + PLACED ( 199180 13600 ) S ;
- _336_ sky130_fd_sc_hd__conb_1 + PLACED ( 199180 10880 ) FN ;
- _337_ sky130_fd_sc_hd__conb_1 + PLACED ( 202400 13600 ) S ;
- _338_ sky130_fd_sc_hd__conb_1 + PLACED ( 200560 16320 ) N ;
- _339_ sky130_fd_sc_hd__conb_1 + PLACED ( 205620 13600 ) S ;
- _340_ sky130_fd_sc_hd__conb_1 + PLACED ( 203780 10880 ) N ;
- _341_ sky130_fd_sc_hd__conb_1 + PLACED ( 205160 16320 ) N ;
- _342_ sky130_fd_sc_hd__conb_1 + PLACED ( 207000 10880 ) FN ;
- _343_ sky130_fd_sc_hd__conb_1 + PLACED ( 212060 13600 ) S ;
- _344_ sky130_fd_sc_hd__conb_1 + PLACED ( 209760 16320 ) N ;
- _345_ sky130_fd_sc_hd__conb_1 + PLACED ( 212060 10880 ) FN ;
- _346_ sky130_fd_sc_hd__conb_1 + PLACED ( 215280 13600 ) S ;
- _347_ sky130_fd_sc_hd__conb_1 + PLACED ( 213900 16320 ) N ;
- _348_ sky130_fd_sc_hd__conb_1 + PLACED ( 218500 13600 ) S ;
- _349_ sky130_fd_sc_hd__conb_1 + PLACED ( 216200 10880 ) N ;
- _350_ sky130_fd_sc_hd__conb_1 + PLACED ( 221720 13600 ) S ;
- _351_ sky130_fd_sc_hd__conb_1 + PLACED ( 219420 10880 ) N ;
- _352_ sky130_fd_sc_hd__conb_1 + PLACED ( 224940 13600 ) S ;
- _353_ sky130_fd_sc_hd__conb_1 + PLACED ( 224940 10880 ) FN ;
- _354_ sky130_fd_sc_hd__conb_1 + PLACED ( 221260 16320 ) N ;
- _355_ sky130_fd_sc_hd__conb_1 + PLACED ( 218040 16320 ) N ;
- _356_ sky130_fd_sc_hd__conb_1 + PLACED ( 230920 19040 ) S ;
- _357_ sky130_fd_sc_hd__conb_1 + PLACED ( 234140 19040 ) S ;
- _358_ sky130_fd_sc_hd__conb_1 + PLACED ( 230920 24480 ) S ;
- _359_ sky130_fd_sc_hd__conb_1 + PLACED ( 234140 24480 ) S ;
- _360_ sky130_fd_sc_hd__conb_1 + PLACED ( 237820 24480 ) S ;
- _361_ sky130_fd_sc_hd__conb_1 + PLACED ( 219420 19040 ) FS ;
- _362_ sky130_fd_sc_hd__conb_1 + PLACED ( 216200 19040 ) FS ;
- _363_ sky130_fd_sc_hd__conb_1 + PLACED ( 237820 35360 ) FS ;
- _364_ sky130_fd_sc_hd__conb_1 + PLACED ( 215740 21760 ) N ;
- _365_ sky130_fd_sc_hd__conb_1 + PLACED ( 212980 19040 ) FS ;
- _366_ sky130_fd_sc_hd__conb_1 + PLACED ( 231380 32640 ) N ;
- _367_ sky130_fd_sc_hd__buf_2 + PLACED ( 17480 214880 ) FS ;
- _368_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 32640 ) FN ;
- _369_ sky130_fd_sc_hd__buf_2 + PLACED ( 17020 231200 ) FS ;
- _370_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 40800 ) FS ;
- _371_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 68000 ) FS ;
- _372_ sky130_fd_sc_hd__buf_2 + PLACED ( 39560 220320 ) FS ;
- _373_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9660 95200 ) FS ;
- _374_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9660 122400 ) FS ;
- _375_ sky130_fd_sc_hd__buf_2 + PLACED ( 58880 223040 ) N ;
- _376_ sky130_fd_sc_hd__buf_2 + PLACED ( 60260 231200 ) FS ;
- _377_ sky130_fd_sc_hd__buf_2 + PLACED ( 69460 13600 ) FS ;
- _378_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86020 231200 ) FS ;
- _379_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86020 225760 ) FS ;
- _380_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 152320 ) N ;
- _381_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 13600 ) FS ;
- _382_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 228480 ) N ;
- _383_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 100740 13600 ) FS ;
- _384_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 13600 ) FS ;
- _385_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 116840 231200 ) FS ;
- _386_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 120060 13600 ) FS ;
- _387_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 231200 ) FS ;
- _388_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 179520 ) N ;
- _389_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9660 206720 ) N ;
- _390_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 10880 ) N ;
- _391_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 143980 231200 ) FS ;
- _392_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 155020 231200 ) FS ;
- _393_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 225400 225760 ) S ;
- _394_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 174800 231200 ) FS ;
- _395_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 179400 13600 ) FS ;
- _396_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 202400 231200 ) S ;
- _397_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 199180 228480 ) FN ;
- _398_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 9660 228480 ) N ;
- _399_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12880 228480 ) N ;
- _400_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 225760 ) S ;
- _401_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 228480 ) N ;
- _402_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 231200 ) FS ;
- _403_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 27600 231200 ) S ;
- _404_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40940 228480 ) N ;
- _405_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39100 225760 ) S ;
- _406_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 48760 225760 ) FS ;
- _407_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51980 225760 ) S ;
- _408_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 228480 ) N ;
- _409_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 225760 ) S ;
- _410_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70840 223040 ) FN ;
- _411_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 77280 223040 ) FN ;
- _412_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 88780 228480 ) N ;
- _413_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92000 228480 ) N ;
- _414_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 102580 231200 ) FS ;
- _415_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 228480 ) FN ;
- _416_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 107640 228480 ) FN ;
- _417_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 113620 223040 ) FN ;
- _418_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 121900 223040 ) N ;
- _419_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 127420 225760 ) S ;
- _420_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 133400 228480 ) FN ;
- _421_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 138920 228480 ) FN ;
- _422_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 147660 228480 ) N ;
- _423_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 151800 228480 ) FN ;
- _424_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 165140 231200 ) FS ;
- _425_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 168360 231200 ) FS ;
- _426_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 171580 231200 ) S ;
- _427_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 176640 228480 ) FN ;
- _428_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 189980 231200 ) FS ;
- _429_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 193200 231200 ) FS ;
- _430_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 195500 228480 ) FN ;
- _431_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 205620 231200 ) FS ;
- _432_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 208380 228480 ) FN ;
- _433_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 214360 228480 ) FN ;
- _434_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 220340 228480 ) FN ;
- _435_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 203780 228480 ) FN ;
- _436_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 212060 225760 ) FS ;
- _437_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 216200 225760 ) S ;
- _438_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 222180 225760 ) S ;
- _439_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 229080 223040 ) FN ;
- _440_ sky130_fd_sc_hd__mux2_1 + PLACED ( 142600 13600 ) FS ;
- _441_ sky130_fd_sc_hd__mux2_8 + PLACED ( 148580 13600 ) FS ;
- _442_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 21160 19040 ) FS ;
- _443_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 9200 217600 ) FN ;
- _444_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11500 209440 ) S ;
- _445_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11960 225760 ) S ;
- _446_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 22080 228480 ) N ;
- _447_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 22080 214880 ) FS ;
- _448_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 31740 228480 ) N ;
- _449_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 33580 214880 ) FS ;
- _450_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 44620 228480 ) N ;
- _451_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 52440 217600 ) FN ;
- _452_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 55200 228480 ) N ;
- _453_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 65320 214880 ) FS ;
- _454_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 70380 228480 ) N ;
- _455_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 79580 228480 ) FN ;
- _456_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 83260 214880 ) FS ;
- _457_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 92460 214880 ) S ;
- _458_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 91540 225760 ) FS ;
- _459_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 97980 212160 ) N ;
- _460_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 101660 223040 ) N ;
- _461_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 111780 228480 ) N ;
- _462_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 114540 214880 ) FS ;
- _463_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 124200 228480 ) N ;
- _464_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 124660 214880 ) FS ;
- _465_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 125120 220320 ) FS ;
- _466_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 140760 214880 ) FS ;
- _467_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 140760 225760 ) FS ;
- _468_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 151800 223040 ) N ;
- _469_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 159160 228480 ) N ;
- _470_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 166060 225760 ) FS ;
- _471_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 174340 212160 ) N ;
- _472_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 179860 228480 ) N ;
- _473_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 186300 225760 ) FS ;
- _474_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 181700 217600 ) N ;
- _475_ sky130_fd_sc_hd__dfxtp_2 + PLACED ( 163300 212160 ) N ;
- _476_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 150880 209440 ) FS ;
- clkbuf_0_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 99360 125120 ) FN ;
- clkbuf_1_0_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 115460 160480 ) FS ;
- clkbuf_1_1_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 79580 157760 ) FN ;
- clkbuf_2_0_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 115920 212160 ) FN ;
- clkbuf_2_1_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 138460 190400 ) N ;
- clkbuf_2_2_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 49220 179520 ) FN ;
- clkbuf_2_3_0_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 68080 198560 ) S ;
- input1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 219420 24480 ) S ;
- input10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 68000 ) FS ;
- input100 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 40800 ) S ;
- input101 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 38080 ) N ;
- input102 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 38080 ) FN ;
- input103 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 16320 ) FN ;
- input104 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 20700 10880 ) N ;
- input105 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 25300 10880 ) N ;
- input106 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 33580 10880 ) N ;
- input107 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 25300 16320 ) N ;
- input108 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 38180 10880 ) N ;
- input109 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 33580 19040 ) FS ;
- input11 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 65280 ) FN ;
- input110 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 46460 10880 ) N ;
- input111 sky130_fd_sc_hd__buf_4 + PLACED ( 31280 21760 ) N ;
- input112 sky130_fd_sc_hd__buf_4 + PLACED ( 35880 21760 ) N ;
- input113 sky130_fd_sc_hd__buf_4 + PLACED ( 44620 16320 ) N ;
- input114 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 21760 ) N ;
- input115 sky130_fd_sc_hd__buf_4 + PLACED ( 49220 16320 ) N ;
- input116 sky130_fd_sc_hd__buf_4 + PLACED ( 57500 10880 ) FN ;
- input117 sky130_fd_sc_hd__buf_4 + PLACED ( 38640 24480 ) FS ;
- input118 sky130_fd_sc_hd__buf_4 + PLACED ( 57500 13600 ) FS ;
- input119 sky130_fd_sc_hd__buf_4 + PLACED ( 53820 16320 ) N ;
- input12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 221260 27200 ) FN ;
- input120 sky130_fd_sc_hd__buf_4 + PLACED ( 51980 19040 ) FS ;
- input121 sky130_fd_sc_hd__buf_4 + PLACED ( 62100 10880 ) N ;
- input122 sky130_fd_sc_hd__buf_4 + PLACED ( 49220 21760 ) N ;
- input123 sky130_fd_sc_hd__buf_4 + PLACED ( 58420 16320 ) N ;
- input124 sky130_fd_sc_hd__buf_4 + PLACED ( 62100 13600 ) FS ;
- input125 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 13340 16320 ) N ;
- input126 sky130_fd_sc_hd__buf_4 + PLACED ( 53820 21760 ) N ;
- input127 sky130_fd_sc_hd__buf_6 + PLACED ( 51060 10880 ) FN ;
- input128 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 9200 19040 ) FS ;
- input129 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 11500 21760 ) FN ;
- input13 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 73440 ) FS ;
- input130 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 13340 24480 ) FS ;
- input131 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 20700 21760 ) N ;
- input132 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 17940 24480 ) FS ;
- input133 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 25300 21760 ) N ;
- input134 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 22540 24480 ) FS ;
- input135 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 43520 ) FN ;
- input136 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 10880 ) FN ;
- input14 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 76160 ) FN ;
- input15 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 225860 73440 ) S ;
- input16 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 84320 ) FS ;
- input17 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 229540 78880 ) S ;
- input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 81600 ) FN ;
- input19 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 89760 ) FS ;
- input2 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 228160 32640 ) FN ;
- input20 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 95200 ) FS ;
- input21 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 92480 ) FN ;
- input22 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 100640 ) FS ;
- input23 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 216200 24480 ) S ;
- input24 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 103360 ) N ;
- input25 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 236440 103360 ) N ;
- input26 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 212520 21760 ) FN ;
- input27 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 208380 19040 ) S ;
- input28 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 224940 32640 ) FN ;
- input29 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 221720 29920 ) S ;
- input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 46240 ) FS ;
- input30 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 218040 27200 ) FN ;
- input31 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 221260 32640 ) FN ;
- input32 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 218500 29920 ) S ;
- input33 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 106080 ) S ;
- input34 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 138720 ) S ;
- input35 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 141440 ) FN ;
- input36 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 144160 ) S ;
- input37 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 146880 ) FN ;
- input38 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 149600 ) S ;
- input39 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 155040 ) S ;
- input4 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 225860 40800 ) S ;
- input40 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 157760 ) FN ;
- input41 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 160480 ) S ;
- input42 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 163200 ) FN ;
- input43 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 165920 ) S ;
- input44 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 108800 ) FN ;
- input45 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 171360 ) S ;
- input46 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 174080 ) FN ;
- input47 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 176800 ) S ;
- input48 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 179520 ) FN ;
- input49 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 182240 ) S ;
- input5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 51680 ) FS ;
- input50 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 187680 ) S ;
- input51 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 190400 ) FN ;
- input52 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 193120 ) S ;
- input53 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 195840 ) FN ;
- input54 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 198560 ) S ;
- input55 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 111520 ) S ;
- input56 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 204000 ) S ;
- input57 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 206720 ) FN ;
- input58 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 114240 ) FN ;
- input59 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 119680 ) FN ;
- input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 48960 ) FN ;
- input60 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 122400 ) S ;
- input61 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 125120 ) FN ;
- input62 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 127840 ) S ;
- input63 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 130560 ) FN ;
- input64 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 133280 ) S ;
- input65 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 152720 19040 ) FS ;
- input66 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 150420 21760 ) FN ;
- input67 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143980 21760 ) N ;
- input68 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 155940 19040 ) FS ;
- input69 sky130_fd_sc_hd__buf_6 + PLACED ( 6900 13600 ) FS ;
- input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 57120 ) FS ;
- input70 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 32640 ) FN ;
- input71 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 27140 32640 ) N ;
- input72 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21620 35360 ) FS ;
- input73 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 30360 32640 ) N ;
- input74 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24840 35360 ) FS ;
- input75 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 33580 32640 ) N ;
- input76 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28060 35360 ) FS ;
- input77 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 36800 32640 ) N ;
- input78 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 35360 ) FS ;
- input79 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40020 32640 ) N ;
- input8 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 62560 ) FS ;
- input80 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34960 35360 ) FS ;
- input81 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 35360 ) S ;
- input82 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39100 35360 ) S ;
- input83 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 42320 35360 ) FS ;
- input84 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 48300 29920 ) FS ;
- input85 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 45540 35360 ) FS ;
- input86 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47840 32640 ) N ;
- input87 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51520 29920 ) FS ;
- input88 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51060 32640 ) N ;
- input89 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 54280 32640 ) N ;
- input9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 231380 59840 ) FN ;
- input90 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51060 35360 ) S ;
- input91 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 58420 27200 ) FN ;
- input92 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10120 35360 ) S ;
- input93 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 57500 32640 ) N ;
- input94 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 24480 ) FS ;
- input95 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 35360 ) FS ;
- input96 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 38080 ) FN ;
- input97 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 35360 ) FS ;
- input98 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 38080 ) FN ;
- input99 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 38080 ) N ;
- output137 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 209440 ) FS ;
- output138 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 214880 ) FS ;
- output139 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 220320 ) FS ;
- output140 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 10880 ) N ;
- output141 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 233920 ) N ;
- output142 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 228480 ) N ;
- output143 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 152320 ) FN ;
- output144 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 13600 ) FS ;
- output145 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 231200 ) FS ;
- output146 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 10880 ) N ;
- output147 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 16320 ) N ;
- output148 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 233920 ) N ;
- output149 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 13600 ) FS ;
- output150 sky130_fd_sc_hd__buf_2 + PLACED ( 23000 13600 ) FS ;
- output151 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 231200 ) FS ;
- output152 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 179520 ) FN ;
- output153 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 209440 ) S ;
- output154 sky130_fd_sc_hd__buf_2 + PLACED ( 230000 10880 ) N ;
- output155 sky130_fd_sc_hd__buf_2 + PLACED ( 230000 233920 ) N ;
- output156 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 225760 ) FS ;
- output157 sky130_fd_sc_hd__buf_2 + PLACED ( 235520 228480 ) N ;
- output158 sky130_fd_sc_hd__buf_2 + PLACED ( 230000 231200 ) FS ;
- output159 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 19040 ) FS ;
- output160 sky130_fd_sc_hd__buf_2 + PLACED ( 226320 233920 ) N ;
- output161 sky130_fd_sc_hd__buf_2 + PLACED ( 238280 223040 ) N ;
- output162 sky130_fd_sc_hd__buf_2 + PLACED ( 231840 228480 ) N ;
- output163 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 233920 ) FN ;
- output164 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 40800 ) S ;
- output165 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 68000 ) S ;
- output166 sky130_fd_sc_hd__buf_2 + PLACED ( 234600 223040 ) N ;
- output167 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 97920 ) FN ;
- output168 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 125120 ) FN ;
- output169 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 225760 ) FS ;
- output170 sky130_fd_sc_hd__buf_2 + PLACED ( 226320 231200 ) FS ;
- output171 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 231200 ) S ;
- output172 sky130_fd_sc_hd__buf_2 + PLACED ( 65780 233920 ) N ;
- output173 sky130_fd_sc_hd__buf_2 + PLACED ( 71760 233920 ) N ;
- output174 sky130_fd_sc_hd__buf_2 + PLACED ( 78200 233920 ) N ;
- output175 sky130_fd_sc_hd__buf_2 + PLACED ( 84640 233920 ) FN ;
- output176 sky130_fd_sc_hd__buf_2 + PLACED ( 90620 233920 ) FN ;
- output177 sky130_fd_sc_hd__buf_2 + PLACED ( 97060 233920 ) FN ;
- output178 sky130_fd_sc_hd__buf_2 + PLACED ( 103040 233920 ) N ;
- output179 sky130_fd_sc_hd__buf_2 + PLACED ( 109480 233920 ) N ;
- output180 sky130_fd_sc_hd__buf_2 + PLACED ( 115460 233920 ) N ;
- output181 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 233920 ) N ;
- output182 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 233920 ) N ;
- output183 sky130_fd_sc_hd__buf_2 + PLACED ( 128340 233920 ) N ;
- output184 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 233920 ) N ;
- output185 sky130_fd_sc_hd__buf_2 + PLACED ( 140760 233920 ) N ;
- output186 sky130_fd_sc_hd__buf_2 + PLACED ( 147660 233920 ) N ;
- output187 sky130_fd_sc_hd__buf_2 + PLACED ( 153180 233920 ) N ;
- output188 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 233920 ) FN ;
- output189 sky130_fd_sc_hd__buf_2 + PLACED ( 165600 233920 ) FN ;
- output190 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 233920 ) N ;
- output191 sky130_fd_sc_hd__buf_2 + PLACED ( 178020 233920 ) N ;
- output192 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 233920 ) FN ;
- output193 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 233920 ) N ;
- output194 sky130_fd_sc_hd__buf_2 + PLACED ( 190440 233920 ) FN ;
- output195 sky130_fd_sc_hd__buf_2 + PLACED ( 199180 233920 ) N ;
- output196 sky130_fd_sc_hd__buf_2 + PLACED ( 202860 233920 ) FN ;
- output197 sky130_fd_sc_hd__buf_2 + PLACED ( 212060 233920 ) N ;
- output198 sky130_fd_sc_hd__buf_2 + PLACED ( 215740 233920 ) N ;
- output199 sky130_fd_sc_hd__buf_2 + PLACED ( 220800 233920 ) N ;
- output200 sky130_fd_sc_hd__buf_2 + PLACED ( 228160 228480 ) N ;
- output201 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 233920 ) FN ;
- output202 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 233920 ) N ;
- output203 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 233920 ) FN ;
- output204 sky130_fd_sc_hd__buf_2 + PLACED ( 40480 233920 ) N ;
- output205 sky130_fd_sc_hd__buf_2 + PLACED ( 46920 233920 ) FN ;
- output206 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 233920 ) N ;
- output207 sky130_fd_sc_hd__buf_2 + PLACED ( 59340 233920 ) FN ;
- output208 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 233920 ) N ;
- output209 sky130_fd_sc_hd__buf_2 + PLACED ( 67620 231200 ) S ;
- output210 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 231200 ) S ;
- output211 sky130_fd_sc_hd__buf_2 + PLACED ( 79120 231200 ) S ;
- output212 sky130_fd_sc_hd__buf_2 + PLACED ( 89700 231200 ) FS ;
- output213 sky130_fd_sc_hd__buf_2 + PLACED ( 93380 231200 ) FS ;
- output214 sky130_fd_sc_hd__buf_2 + PLACED ( 98900 231200 ) FS ;
- output215 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 231200 ) FS ;
- output216 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 231200 ) FS ;
- output217 sky130_fd_sc_hd__buf_2 + PLACED ( 120520 231200 ) FS ;
- output218 sky130_fd_sc_hd__buf_2 + PLACED ( 124200 231200 ) FS ;
- output219 sky130_fd_sc_hd__buf_2 + PLACED ( 11500 231200 ) S ;
- output220 sky130_fd_sc_hd__buf_2 + PLACED ( 130180 231200 ) S ;
- output221 sky130_fd_sc_hd__buf_2 + PLACED ( 140300 231200 ) FS ;
- output222 sky130_fd_sc_hd__buf_2 + PLACED ( 142600 228480 ) N ;
- output223 sky130_fd_sc_hd__buf_2 + PLACED ( 149040 231200 ) FS ;
- output224 sky130_fd_sc_hd__buf_2 + PLACED ( 155020 228480 ) N ;
- output225 sky130_fd_sc_hd__buf_2 + PLACED ( 161460 231200 ) FS ;
- output226 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 233920 ) N ;
- output227 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 233920 ) N ;
- output228 sky130_fd_sc_hd__buf_2 + PLACED ( 180320 231200 ) S ;
- output229 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 231200 ) FS ;
- output230 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 231200 ) FS ;
- output231 sky130_fd_sc_hd__buf_2 + PLACED ( 194120 233920 ) N ;
- output232 sky130_fd_sc_hd__buf_2 + PLACED ( 198720 231200 ) FS ;
- output233 sky130_fd_sc_hd__buf_2 + PLACED ( 206540 233920 ) N ;
- output234 sky130_fd_sc_hd__buf_2 + PLACED ( 212060 231200 ) FS ;
- output235 sky130_fd_sc_hd__buf_2 + PLACED ( 217580 231200 ) FS ;
- output236 sky130_fd_sc_hd__buf_2 + PLACED ( 222640 231200 ) FS ;
- output237 sky130_fd_sc_hd__buf_2 + PLACED ( 230000 225760 ) FS ;
- output238 sky130_fd_sc_hd__buf_2 + PLACED ( 236440 217600 ) N ;
- output239 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 233920 ) FN ;
- output240 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 231200 ) FS ;
- output241 sky130_fd_sc_hd__buf_2 + PLACED ( 36340 231200 ) S ;
- output242 sky130_fd_sc_hd__buf_2 + PLACED ( 42780 231200 ) FS ;
- output243 sky130_fd_sc_hd__buf_2 + PLACED ( 49220 231200 ) S ;
- output244 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 231200 ) S ;
- output245 sky130_fd_sc_hd__buf_2 + PLACED ( 63940 231200 ) FS ;
- output246 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 24480 ) S ;
- output247 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 27200 ) FN ;
- output248 sky130_fd_sc_hd__buf_2 + PLACED ( 23920 27200 ) FN ;
- output249 sky130_fd_sc_hd__buf_2 + PLACED ( 27140 24480 ) S ;
- output250 sky130_fd_sc_hd__buf_2 + PLACED ( 40480 21760 ) FN ;
- output251 sky130_fd_sc_hd__buf_2 + PLACED ( 27600 27200 ) FN ;
- output252 sky130_fd_sc_hd__buf_2 + PLACED ( 31280 27200 ) FN ;
- output253 sky130_fd_sc_hd__buf_2 + PLACED ( 40480 27200 ) FN ;
- output254 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 13600 ) S ;
- output255 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 29920 ) S ;
- output256 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 29920 ) S ;
- output257 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 27200 ) FN ;
- output258 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 27200 ) FN ;
- output259 sky130_fd_sc_hd__buf_2 + PLACED ( 39100 29920 ) S ;
- output260 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 29920 ) S ;
- output261 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 10880 ) FN ;
- output262 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 19040 ) S ;
- output263 sky130_fd_sc_hd__buf_2 + PLACED ( 63020 16320 ) FN ;
- output264 sky130_fd_sc_hd__buf_2 + PLACED ( 61180 19040 ) S ;
- output265 sky130_fd_sc_hd__buf_2 + PLACED ( 58420 21760 ) FN ;
- output266 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 10880 ) FN ;
- output267 sky130_fd_sc_hd__buf_2 + PLACED ( 64860 19040 ) S ;
- output268 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 24480 ) S ;
- output269 sky130_fd_sc_hd__buf_2 + PLACED ( 8280 29920 ) S ;
- output270 sky130_fd_sc_hd__buf_2 + PLACED ( 73140 13600 ) S ;
- output271 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 16320 ) FN ;
- output272 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 27200 ) FN ;
- output273 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 29920 ) S ;
- output274 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) S ;
- output275 sky130_fd_sc_hd__buf_2 + PLACED ( 19780 29920 ) S ;
- output276 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 32640 ) FN ;
- output277 sky130_fd_sc_hd__buf_2 + PLACED ( 23460 29920 ) S ;
- output278 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 32640 ) FN ;
- output279 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 212160 ) N ;
END COMPONENTS
PINS 708 ;
- csb0 + NET csb0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 209100 ) N ;
- csb1 + NET csb1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 215900 ) N ;
- din0[0] + NET din0[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 219300 ) N ;
- din0[10] + NET din0[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246330 2000 ) N ;
- din0[11] + NET din0[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242190 248000 ) N ;
- din0[12] + NET din0[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 228820 ) N ;
- din0[13] + NET din0[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 152660 ) N ;
- din0[14] + NET din0[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246790 2000 ) N ;
- din0[15] + NET din0[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 232220 ) N ;
- din0[16] + NET din0[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247250 2000 ) N ;
- din0[17] + NET din0[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247710 2000 ) N ;
- din0[18] + NET din0[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 235620 ) N ;
- din0[19] + NET din0[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248170 2000 ) N ;
- din0[1] + NET din0[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 13940 ) N ;
- din0[20] + NET din0[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244490 248000 ) N ;
- din0[21] + NET din0[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 180540 ) N ;
- din0[22] + NET din0[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 208420 ) N ;
- din0[23] + NET din0[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 2000 ) N ;
- din0[24] + NET din0[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246330 248000 ) N ;
- din0[25] + NET din0[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 248000 ) N ;
- din0[26] + NET din0[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 238340 ) N ;
- din0[27] + NET din0[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 241740 ) N ;
- din0[28] + NET din0[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249090 2000 ) N ;
- din0[29] + NET din0[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 245140 ) N ;
- din0[2] + NET din0[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238050 248000 ) N ;
- din0[30] + NET din0[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 248540 ) N ;
- din0[31] + NET din0[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 236300 ) N ;
- din0[3] + NET din0[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 41140 ) N ;
- din0[4] + NET din0[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69020 ) N ;
- din0[5] + NET din0[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 222020 ) N ;
- din0[6] + NET din0[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- din0[7] + NET din0[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124780 ) N ;
- din0[8] + NET din0[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 225420 ) N ;
- din0[9] + NET din0[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240350 248000 ) N ;
- dout0[0] + NET dout0[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 1700 ) N ;
- dout0[10] + NET dout0[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 33660 ) N ;
- dout0[11] + NET dout0[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 37060 ) N ;
- dout0[12] + NET dout0[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 40460 ) N ;
- dout0[13] + NET dout0[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 43860 ) N ;
- dout0[14] + NET dout0[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 46580 ) N ;
- dout0[15] + NET dout0[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 49980 ) N ;
- dout0[16] + NET dout0[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 53380 ) N ;
- dout0[17] + NET dout0[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 56780 ) N ;
- dout0[18] + NET dout0[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 60180 ) N ;
- dout0[19] + NET dout0[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 62900 ) N ;
- dout0[1] + NET dout0[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 4420 ) N ;
- dout0[20] + NET dout0[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 66300 ) N ;
- dout0[21] + NET dout0[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 69700 ) N ;
- dout0[22] + NET dout0[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 73100 ) N ;
- dout0[23] + NET dout0[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 75820 ) N ;
- dout0[24] + NET dout0[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 79220 ) N ;
- dout0[25] + NET dout0[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 82620 ) N ;
- dout0[26] + NET dout0[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 86020 ) N ;
- dout0[27] + NET dout0[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 89420 ) N ;
- dout0[28] + NET dout0[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 92140 ) N ;
- dout0[29] + NET dout0[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 95540 ) N ;
- dout0[2] + NET dout0[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 7820 ) N ;
- dout0[30] + NET dout0[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 98940 ) N ;
- dout0[31] + NET dout0[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 102340 ) N ;
- dout0[3] + NET dout0[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 11220 ) N ;
- dout0[4] + NET dout0[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 14620 ) N ;
- dout0[5] + NET dout0[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 17340 ) N ;
- dout0[6] + NET dout0[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 20740 ) N ;
- dout0[7] + NET dout0[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 24140 ) N ;
- dout0[8] + NET dout0[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 27540 ) N ;
- dout0[9] + NET dout0[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 30940 ) N ;
- dout1[0] + NET dout1[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 105060 ) N ;
- dout1[10] + NET dout1[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 137700 ) N ;
- dout1[11] + NET dout1[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 141100 ) N ;
- dout1[12] + NET dout1[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 144500 ) N ;
- dout1[13] + NET dout1[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 147900 ) N ;
- dout1[14] + NET dout1[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 150620 ) N ;
- dout1[15] + NET dout1[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 154020 ) N ;
- dout1[16] + NET dout1[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 157420 ) N ;
- dout1[17] + NET dout1[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 160820 ) N ;
- dout1[18] + NET dout1[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 163540 ) N ;
- dout1[19] + NET dout1[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 166940 ) N ;
- dout1[1] + NET dout1[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 108460 ) N ;
- dout1[20] + NET dout1[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 170340 ) N ;
- dout1[21] + NET dout1[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 173740 ) N ;
- dout1[22] + NET dout1[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 177140 ) N ;
- dout1[23] + NET dout1[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 179860 ) N ;
- dout1[24] + NET dout1[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 183260 ) N ;
- dout1[25] + NET dout1[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 186660 ) N ;
- dout1[26] + NET dout1[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 190060 ) N ;
- dout1[27] + NET dout1[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 192780 ) N ;
- dout1[28] + NET dout1[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 196180 ) N ;
- dout1[29] + NET dout1[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 199580 ) N ;
- dout1[2] + NET dout1[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 111860 ) N ;
- dout1[30] + NET dout1[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 202980 ) N ;
- dout1[31] + NET dout1[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 206380 ) N ;
- dout1[3] + NET dout1[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 115260 ) N ;
- dout1[4] + NET dout1[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 118660 ) N ;
- dout1[5] + NET dout1[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 121380 ) N ;
- dout1[6] + NET dout1[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 124780 ) N ;
- dout1[7] + NET dout1[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 128180 ) N ;
- dout1[8] + NET dout1[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 131580 ) N ;
- dout1[9] + NET dout1[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 134300 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 248000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63250 248000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69690 248000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 248000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 248000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 248000 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94530 248000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100970 248000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 248000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 248000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 248000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7130 248000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 248000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 248000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 248000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 248000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150650 248000 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157090 248000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 248000 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 248000 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 248000 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181930 248000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13570 248000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188370 248000 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 248000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200790 248000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206770 248000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 248000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219650 248000 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225630 248000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232070 248000 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 248000 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 248000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31970 248000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38410 248000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44850 248000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 248000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 248000 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 248000 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 248000 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 248000 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77970 248000 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84410 248000 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 248000 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 248000 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102810 248000 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109250 248000 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 248000 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 248000 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 248000 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 248000 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134090 248000 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140530 248000 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 248000 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 248000 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158930 248000 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165370 248000 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 248000 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 248000 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 248000 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15410 248000 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190210 248000 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196650 248000 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202630 248000 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209070 248000 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215510 248000 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221490 248000 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227930 248000 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233910 248000 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21850 248000 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 248000 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 248000 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40250 248000 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46690 248000 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53130 248000 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 248000 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5290 248000 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 248000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 248000 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79810 248000 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86250 248000 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92690 248000 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 248000 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 248000 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111090 248000 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 248000 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 248000 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 248000 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 248000 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 248000 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142370 248000 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148810 248000 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 248000 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 248000 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 248000 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173650 248000 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180090 248000 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 248000 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 248000 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 248000 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198490 248000 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204930 248000 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211370 248000 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217350 248000 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223790 248000 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229770 248000 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236210 248000 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23690 248000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30130 248000 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 248000 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 248000 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 248000 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 248000 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61410 248000 ) N ;
- irq[0] + NET irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244950 2000 ) N ;
- irq[1] + NET irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245410 2000 ) N ;
- irq[2] + NET irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245870 2000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53130 2000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202630 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204470 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207230 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208610 2000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210450 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211830 2000 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 2000 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214590 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216430 2000 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67850 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217810 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219190 2000 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221030 2000 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222410 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223790 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225170 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227010 2000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228390 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229770 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231150 2000 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232990 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234370 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235750 2000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237130 2000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238970 2000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240350 2000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241730 2000 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243110 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72450 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75210 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77050 2000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 2000 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79810 2000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81650 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84410 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 2000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89010 2000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91770 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96370 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55890 2000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 2000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100970 2000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103730 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105570 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 2000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108330 2000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 2000 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 2000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115690 2000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 2000 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120290 2000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 2000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124890 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 2000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129490 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 2000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134090 2000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136850 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141450 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60490 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144210 2000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146050 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148810 2000 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153410 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156170 2000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158010 2000 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160770 2000 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 2000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165370 2000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168130 2000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169970 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 2000 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63250 2000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172730 2000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174570 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181930 2000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184690 2000 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186530 2000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65090 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189290 2000 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 2000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193890 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 2000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196650 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198490 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199870 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201250 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 2000 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203550 2000 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204930 2000 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206310 2000 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207690 2000 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209530 2000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210910 2000 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212290 2000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213670 2000 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215510 2000 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216890 2000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218270 2000 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219650 2000 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221490 2000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222870 2000 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224250 2000 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225630 2000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227470 2000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228850 2000 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 2000 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231610 2000 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233450 2000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234830 2000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236210 2000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237590 2000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239430 2000 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240810 2000 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242190 2000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243570 2000 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 2000 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76130 2000 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78890 2000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 2000 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 2000 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83490 2000 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86250 2000 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88090 2000 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 2000 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90850 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 2000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95450 2000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 2000 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98210 2000 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100050 2000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102810 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107410 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 2000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112010 2000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57730 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114770 2000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116610 2000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 2000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123970 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126730 2000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59570 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128570 2000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131330 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135930 2000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138690 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140530 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 2000 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143290 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147890 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 2000 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150650 2000 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152490 2000 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 2000 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155250 2000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157090 2000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62330 2000 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159850 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 2000 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167210 2000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169050 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 2000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 2000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64170 2000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 2000 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176410 2000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 2000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179170 2000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181010 2000 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 2000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183770 2000 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 2000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188370 2000 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 2000 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191130 2000 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192970 2000 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195730 2000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 2000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 2000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200330 2000 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201710 2000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66930 2000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54050 2000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204010 2000 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205390 2000 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206770 2000 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208150 2000 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209990 2000 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211370 2000 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212750 2000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214130 2000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215970 2000 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217350 2000 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68770 2000 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218730 2000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220110 2000 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221950 2000 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223330 2000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224710 2000 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226090 2000 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227930 2000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229310 2000 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230690 2000 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232070 2000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70610 2000 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233910 2000 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235290 2000 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236670 2000 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238510 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239890 2000 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241270 2000 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242650 2000 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244490 2000 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73370 2000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77970 2000 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 2000 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82570 2000 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85330 2000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89930 2000 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92690 2000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94530 2000 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 2000 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97290 2000 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56810 2000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99130 2000 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 2000 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101890 2000 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 2000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106490 2000 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109250 2000 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111090 2000 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58650 2000 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113850 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 2000 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 2000 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118450 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121210 2000 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123050 2000 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 2000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 2000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 2000 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 2000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130410 2000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 2000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133170 2000 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135010 2000 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137770 2000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 2000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142370 2000 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61410 2000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146970 2000 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149730 2000 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151570 2000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 2000 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154330 2000 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 2000 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158930 2000 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 2000 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161690 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163530 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 2000 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166290 2000 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170890 2000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173650 2000 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175490 2000 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178250 2000 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182850 2000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185610 2000 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187450 2000 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66010 2000 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190210 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192050 2000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194810 2000 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199410 2000 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200790 2000 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202170 2000 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 2000 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -113120 ) ( 800 113120 )
+ LAYER met4 ( -154400 -113120 ) ( -152800 113120 )
+ FIXED ( 175440 123760 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -113120 ) ( 800 113120 )
+ FIXED ( 98640 123760 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 690 2000 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 2000 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20010 2000 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 2000 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 2000 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24610 2000 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 2000 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27370 2000 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28750 2000 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 2000 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31970 2000 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 2000 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 2000 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 2000 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36570 2000 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39330 2000 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41170 2000 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 2000 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43930 2000 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 2000 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7130 2000 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 2000 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51290 2000 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8970 2000 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10810 2000 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12650 2000 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 2000 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15410 2000 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 2000 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 2000 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1610 2000 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3450 2000 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21850 2000 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23690 2000 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 2000 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26450 2000 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 2000 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 2000 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31050 2000 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33810 2000 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5290 2000 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35650 2000 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 2000 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38410 2000 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43010 2000 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45770 2000 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47610 2000 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 2000 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50370 2000 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 2000 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 2000 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14490 2000 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 2000 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 2000 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19090 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 2000 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20930 2000 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 2000 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25530 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28290 2000 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30130 2000 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 2000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32890 2000 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6210 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 2000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37490 2000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40250 2000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 2000 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44850 2000 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49450 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8050 2000 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9890 2000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 2000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13570 2000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16330 2000 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18170 2000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4370 2000 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 2000 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 2000 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 2000 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 2000 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2530 2000 ) N ;
- web0 + NET web0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 212500 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 250000 250000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175440 236640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 236640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 236640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 236640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 236640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 236640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 231200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 231200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 231200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 231200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 231200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 231200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 225760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 225760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 225760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 225760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 225760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 225760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 220320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 220320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 220320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 220320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 220320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 220320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 214880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 214880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 214880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 214880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 214880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 214880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 209440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 209440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 209440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 209440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 209440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 209440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 204000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 204000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 204000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 204000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 204000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 204000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 198560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 198560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 198560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 198560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 198560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 198560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 193120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 193120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 193120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 193120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 193120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 193120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 236880 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 236880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 236640 ) ( 244260 236640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 231200 ) ( 244260 231200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 225760 ) ( 244260 225760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 220320 ) ( 244260 220320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 214880 ) ( 244260 214880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 209440 ) ( 244260 209440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 204000 ) ( 244260 204000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 198560 ) ( 244260 198560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 193120 ) ( 244260 193120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 244260 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 244260 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 244260 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 244260 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 244260 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 244260 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 244260 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 244260 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 244260 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 244260 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 244260 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 244260 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 244260 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 244260 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 244260 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 244260 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 244260 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 244260 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 244260 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 244260 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 244260 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 244260 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 244260 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 244260 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 244260 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 244260 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 244260 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 244260 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 244260 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 244260 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 244260 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 244260 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 244260 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 98640 233920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 233920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 233920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 228480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 228480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 228480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 223040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 223040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 223040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 217600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 217600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 217600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 212160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 212160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 212160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 206720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 206720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 206720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 201280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 201280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 201280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 195840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 195840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 195840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 190400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 190400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 190400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 184960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 236880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 233920 ) ( 244260 233920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 228480 ) ( 244260 228480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 223040 ) ( 244260 223040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 217600 ) ( 244260 217600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 212160 ) ( 244260 212160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 206720 ) ( 244260 206720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 201280 ) ( 244260 201280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 195840 ) ( 244260 195840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 190400 ) ( 244260 190400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 244260 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 244260 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 244260 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 244260 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 244260 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 244260 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 244260 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 244260 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 244260 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 244260 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 244260 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 244260 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 244260 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 244260 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 244260 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 244260 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 244260 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 244260 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 244260 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 244260 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 244260 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 244260 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 244260 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 244260 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 244260 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 244260 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 244260 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 244260 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 244260 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 244260 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 244260 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 244260 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 244260 10880 ) ;
END SPECIALNETS
NETS 1094 ;
- _000_ ( _442_ D ) ( _178_ Y ) + USE SIGNAL
+ ROUTED met1 ( 22725 20570 ) ( 22770 * )
NEW met2 ( 22770 20570 ) ( * 21420 )
NEW met2 ( 22770 21420 ) ( 23230 * )
NEW met2 ( 23230 21420 ) ( * 27710 )
NEW met1 ( 21390 27710 ) ( 23230 * )
NEW li1 ( 22725 20570 ) L1M1_PR_MR
NEW met1 ( 22770 20570 ) M1M2_PR
NEW met1 ( 23230 27710 ) M1M2_PR
NEW li1 ( 21390 27710 ) L1M1_PR_MR
NEW met1 ( 22725 20570 ) RECT ( -310 -70 0 70 ) ;
- _001_ ( _443_ D ) ( _177_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 217090 ) ( 15410 * )
NEW met2 ( 14950 217090 ) ( * 218450 )
NEW met1 ( 14950 218450 ) ( 14995 * )
NEW li1 ( 15410 217090 ) L1M1_PR_MR
NEW met1 ( 14950 217090 ) M1M2_PR
NEW met1 ( 14950 218450 ) M1M2_PR
NEW li1 ( 14995 218450 ) L1M1_PR_MR
NEW met1 ( 14950 218450 ) RECT ( -310 -70 0 70 ) ;
- _002_ ( _444_ D ) ( _176_ X ) + USE SIGNAL
+ ROUTED met2 ( 11730 211310 ) ( * 212670 )
NEW met1 ( 11730 211310 ) ( 17295 * )
NEW met1 ( 11730 211310 ) M1M2_PR
NEW li1 ( 11730 212670 ) L1M1_PR_MR
NEW met1 ( 11730 212670 ) M1M2_PR
NEW li1 ( 17295 211310 ) L1M1_PR_MR
NEW met1 ( 11730 212670 ) RECT ( -355 -70 0 70 ) ;
- _003_ ( _445_ D ) ( _175_ X ) + USE SIGNAL
+ ROUTED met2 ( 12650 225250 ) ( * 227290 )
NEW met1 ( 12650 227290 ) ( 17755 * )
NEW li1 ( 12650 225250 ) L1M1_PR_MR
NEW met1 ( 12650 225250 ) M1M2_PR
NEW met1 ( 12650 227290 ) M1M2_PR
NEW li1 ( 17755 227290 ) L1M1_PR_MR
NEW met1 ( 12650 225250 ) RECT ( -355 -70 0 70 ) ;
- _004_ ( _446_ D ) ( _174_ X ) + USE SIGNAL
+ ROUTED met2 ( 26450 227970 ) ( * 229330 )
NEW met1 ( 23645 229330 ) ( 26450 * )
NEW li1 ( 26450 227970 ) L1M1_PR_MR
NEW met1 ( 26450 227970 ) M1M2_PR
NEW met1 ( 26450 229330 ) M1M2_PR
NEW li1 ( 23645 229330 ) L1M1_PR_MR
NEW met1 ( 26450 227970 ) RECT ( -355 -70 0 70 ) ;
- _005_ ( _447_ D ) ( _173_ X ) + USE SIGNAL
+ ROUTED met1 ( 23690 214370 ) ( 27830 * )
NEW met2 ( 23690 214370 ) ( * 216410 )
NEW met1 ( 23645 216410 ) ( 23690 * )
NEW li1 ( 27830 214370 ) L1M1_PR_MR
NEW met1 ( 23690 214370 ) M1M2_PR
NEW met1 ( 23690 216410 ) M1M2_PR
NEW li1 ( 23645 216410 ) L1M1_PR_MR
NEW met1 ( 23690 216410 ) RECT ( 0 -70 310 70 ) ;
- _006_ ( _448_ D ) ( _172_ X ) + USE SIGNAL
+ ROUTED met2 ( 32430 227970 ) ( * 229330 )
NEW met1 ( 32430 229330 ) ( 33195 * )
NEW li1 ( 32430 227970 ) L1M1_PR_MR
NEW met1 ( 32430 227970 ) M1M2_PR
NEW met1 ( 32430 229330 ) M1M2_PR
NEW li1 ( 33195 229330 ) L1M1_PR_MR
NEW met1 ( 32430 227970 ) RECT ( -355 -70 0 70 ) ;
- _007_ ( _449_ D ) ( _171_ X ) + USE SIGNAL
+ ROUTED met1 ( 35190 214370 ) ( 35650 * )
NEW met2 ( 35190 214370 ) ( * 216410 )
NEW met1 ( 35145 216410 ) ( 35190 * )
NEW li1 ( 35650 214370 ) L1M1_PR_MR
NEW met1 ( 35190 214370 ) M1M2_PR
NEW met1 ( 35190 216410 ) M1M2_PR
NEW li1 ( 35145 216410 ) L1M1_PR_MR
NEW met1 ( 35190 216410 ) RECT ( 0 -70 310 70 ) ;
- _008_ ( _450_ D ) ( _169_ X ) + USE SIGNAL
+ ROUTED met2 ( 46690 227970 ) ( * 229330 )
NEW met1 ( 46185 229330 ) ( 46690 * )
NEW li1 ( 46690 227970 ) L1M1_PR_MR
NEW met1 ( 46690 227970 ) M1M2_PR
NEW met1 ( 46690 229330 ) M1M2_PR
NEW li1 ( 46185 229330 ) L1M1_PR_MR
NEW met1 ( 46690 227970 ) RECT ( -355 -70 0 70 ) ;
- _009_ ( _451_ D ) ( _166_ X ) + USE SIGNAL
+ ROUTED met1 ( 58190 218790 ) ( 58235 * )
NEW met2 ( 58190 218790 ) ( * 220830 )
NEW met1 ( 57730 220830 ) ( 58190 * )
NEW li1 ( 58235 218790 ) L1M1_PR_MR
NEW met1 ( 58190 218790 ) M1M2_PR
NEW met1 ( 58190 220830 ) M1M2_PR
NEW li1 ( 57730 220830 ) L1M1_PR_MR
NEW met1 ( 58235 218790 ) RECT ( 0 -70 310 70 ) ;
- _010_ ( _452_ D ) ( _165_ X ) + USE SIGNAL
+ ROUTED met2 ( 57730 227970 ) ( * 229330 )
NEW met1 ( 56765 229330 ) ( 57730 * )
NEW li1 ( 57730 227970 ) L1M1_PR_MR
NEW met1 ( 57730 227970 ) M1M2_PR
NEW met1 ( 57730 229330 ) M1M2_PR
NEW li1 ( 56765 229330 ) L1M1_PR_MR
NEW met1 ( 57730 227970 ) RECT ( -355 -70 0 70 ) ;
- _011_ ( _453_ D ) ( _164_ X ) + USE SIGNAL
+ ROUTED met1 ( 66885 216410 ) ( 66930 * )
NEW met2 ( 66930 216410 ) ( * 218110 )
NEW met1 ( 66930 218110 ) ( 67850 * )
NEW li1 ( 66885 216410 ) L1M1_PR_MR
NEW met1 ( 66930 216410 ) M1M2_PR
NEW met1 ( 66930 218110 ) M1M2_PR
NEW li1 ( 67850 218110 ) L1M1_PR_MR
NEW met1 ( 66885 216410 ) RECT ( -310 -70 0 70 ) ;
- _012_ ( _454_ D ) ( _163_ X ) + USE SIGNAL
+ ROUTED met2 ( 71530 227970 ) ( * 228990 )
NEW met1 ( 71530 228990 ) ( 71990 * )
NEW met1 ( 71990 228990 ) ( * 229330 )
NEW met1 ( 71945 229330 ) ( 71990 * )
NEW li1 ( 71530 227970 ) L1M1_PR_MR
NEW met1 ( 71530 227970 ) M1M2_PR
NEW met1 ( 71530 228990 ) M1M2_PR
NEW li1 ( 71945 229330 ) L1M1_PR_MR
NEW met1 ( 71530 227970 ) RECT ( -355 -70 0 70 ) ;
- _013_ ( _455_ D ) ( _161_ X ) + USE SIGNAL
+ ROUTED met1 ( 77970 227630 ) ( 83030 * )
NEW met2 ( 83030 227630 ) ( * 229330 )
NEW met1 ( 83030 229330 ) ( 85375 * )
NEW li1 ( 77970 227630 ) L1M1_PR_MR
NEW met1 ( 83030 227630 ) M1M2_PR
NEW met1 ( 83030 229330 ) M1M2_PR
NEW li1 ( 85375 229330 ) L1M1_PR_MR ;
- _014_ ( _456_ D ) ( _158_ X ) + USE SIGNAL
+ ROUTED met1 ( 84825 216750 ) ( 84870 * )
NEW met2 ( 84870 216750 ) ( * 218110 )
NEW met1 ( 83490 218110 ) ( 84870 * )
NEW li1 ( 84825 216750 ) L1M1_PR_MR
NEW met1 ( 84870 216750 ) M1M2_PR
NEW met1 ( 84870 218110 ) M1M2_PR
NEW li1 ( 83490 218110 ) L1M1_PR_MR
NEW met1 ( 84825 216750 ) RECT ( -310 -70 0 70 ) ;
- _015_ ( _457_ D ) ( _157_ X ) + USE SIGNAL
+ ROUTED met1 ( 91770 213690 ) ( 96830 * )
NEW met2 ( 96830 213690 ) ( * 216410 )
NEW met1 ( 96830 216410 ) ( 98255 * )
NEW li1 ( 91770 213690 ) L1M1_PR_MR
NEW met1 ( 96830 213690 ) M1M2_PR
NEW met1 ( 96830 216410 ) M1M2_PR
NEW li1 ( 98255 216410 ) L1M1_PR_MR ;
- _016_ ( _458_ D ) ( _156_ X ) + USE SIGNAL
+ ROUTED met1 ( 93150 224910 ) ( 93610 * )
NEW met2 ( 93150 224910 ) ( * 227290 )
NEW met1 ( 93105 227290 ) ( 93150 * )
NEW li1 ( 93610 224910 ) L1M1_PR_MR
NEW met1 ( 93150 224910 ) M1M2_PR
NEW met1 ( 93150 227290 ) M1M2_PR
NEW li1 ( 93105 227290 ) L1M1_PR_MR
NEW met1 ( 93150 227290 ) RECT ( 0 -70 310 70 ) ;
- _017_ ( _459_ D ) ( _155_ X ) + USE SIGNAL
+ ROUTED met1 ( 99545 213350 ) ( 105570 * )
NEW met2 ( 105570 213350 ) ( * 215390 )
NEW li1 ( 99545 213350 ) L1M1_PR_MR
NEW met1 ( 105570 213350 ) M1M2_PR
NEW li1 ( 105570 215390 ) L1M1_PR_MR
NEW met1 ( 105570 215390 ) M1M2_PR
NEW met1 ( 105570 215390 ) RECT ( -355 -70 0 70 ) ;
- _018_ ( _460_ D ) ( _153_ X ) + USE SIGNAL
+ ROUTED met2 ( 104650 222530 ) ( * 223890 )
NEW met1 ( 103225 223890 ) ( 104650 * )
NEW li1 ( 104650 222530 ) L1M1_PR_MR
NEW met1 ( 104650 222530 ) M1M2_PR
NEW met1 ( 104650 223890 ) M1M2_PR
NEW li1 ( 103225 223890 ) L1M1_PR_MR
NEW met1 ( 104650 222530 ) RECT ( -355 -70 0 70 ) ;
- _019_ ( _461_ D ) ( _150_ X ) + USE SIGNAL
+ ROUTED met2 ( 113850 227970 ) ( * 229330 )
NEW met1 ( 113345 229330 ) ( 113850 * )
NEW li1 ( 113850 227970 ) L1M1_PR_MR
NEW met1 ( 113850 227970 ) M1M2_PR
NEW met1 ( 113850 229330 ) M1M2_PR
NEW li1 ( 113345 229330 ) L1M1_PR_MR
NEW met1 ( 113850 227970 ) RECT ( -355 -70 0 70 ) ;
- _020_ ( _462_ D ) ( _149_ X ) + USE SIGNAL
+ ROUTED met1 ( 116105 216410 ) ( 116150 * )
NEW met2 ( 116150 216410 ) ( * 218110 )
NEW met1 ( 115690 218110 ) ( 116150 * )
NEW li1 ( 116105 216410 ) L1M1_PR_MR
NEW met1 ( 116150 216410 ) M1M2_PR
NEW met1 ( 116150 218110 ) M1M2_PR
NEW li1 ( 115690 218110 ) L1M1_PR_MR
NEW met1 ( 116105 216410 ) RECT ( -310 -70 0 70 ) ;
- _021_ ( _463_ D ) ( _148_ X ) + USE SIGNAL
+ ROUTED met1 ( 125350 227970 ) ( 125810 * )
NEW met2 ( 125810 227970 ) ( * 229330 )
NEW met1 ( 125765 229330 ) ( 125810 * )
NEW li1 ( 125350 227970 ) L1M1_PR_MR
NEW met1 ( 125810 227970 ) M1M2_PR
NEW met1 ( 125810 229330 ) M1M2_PR
NEW li1 ( 125765 229330 ) L1M1_PR_MR
NEW met1 ( 125810 229330 ) RECT ( 0 -70 310 70 ) ;
- _022_ ( _464_ D ) ( _147_ X ) + USE SIGNAL
+ ROUTED met1 ( 125810 214370 ) ( 126270 * )
NEW met2 ( 126270 214370 ) ( * 216410 )
NEW met1 ( 126225 216410 ) ( 126270 * )
NEW li1 ( 125810 214370 ) L1M1_PR_MR
NEW met1 ( 126270 214370 ) M1M2_PR
NEW met1 ( 126270 216410 ) M1M2_PR
NEW li1 ( 126225 216410 ) L1M1_PR_MR
NEW met1 ( 126270 216410 ) RECT ( 0 -70 310 70 ) ;
- _023_ ( _465_ D ) ( _145_ X ) + USE SIGNAL
+ ROUTED met2 ( 125810 219130 ) ( * 221850 )
NEW met1 ( 125810 221850 ) ( 126630 * )
NEW li1 ( 125810 219130 ) L1M1_PR_MR
NEW met1 ( 125810 219130 ) M1M2_PR
NEW met1 ( 125810 221850 ) M1M2_PR
NEW li1 ( 126630 221850 ) L1M1_PR_MR
NEW met1 ( 125810 219130 ) RECT ( -355 -70 0 70 ) ;
- _024_ ( _466_ D ) ( _142_ X ) + USE SIGNAL
+ ROUTED met1 ( 141450 216750 ) ( 142215 * )
NEW met2 ( 141450 216750 ) ( * 218110 )
NEW li1 ( 142215 216750 ) L1M1_PR_MR
NEW met1 ( 141450 216750 ) M1M2_PR
NEW li1 ( 141450 218110 ) L1M1_PR_MR
NEW met1 ( 141450 218110 ) M1M2_PR
NEW met1 ( 141450 218110 ) RECT ( -355 -70 0 70 ) ;
- _025_ ( _467_ D ) ( _141_ X ) + USE SIGNAL
+ ROUTED met2 ( 141450 225250 ) ( * 227290 )
NEW met1 ( 141450 227290 ) ( 142270 * )
NEW li1 ( 141450 225250 ) L1M1_PR_MR
NEW met1 ( 141450 225250 ) M1M2_PR
NEW met1 ( 141450 227290 ) M1M2_PR
NEW li1 ( 142270 227290 ) L1M1_PR_MR
NEW met1 ( 141450 225250 ) RECT ( -355 -70 0 70 ) ;
- _026_ ( _468_ D ) ( _140_ X ) + USE SIGNAL
+ ROUTED met2 ( 152490 222190 ) ( * 223890 )
NEW met1 ( 152490 223890 ) ( 153255 * )
NEW li1 ( 152490 222190 ) L1M1_PR_MR
NEW met1 ( 152490 222190 ) M1M2_PR
NEW met1 ( 152490 223890 ) M1M2_PR
NEW li1 ( 153255 223890 ) L1M1_PR_MR
NEW met1 ( 152490 222190 ) RECT ( -355 -70 0 70 ) ;
- _027_ ( _469_ D ) ( _139_ X ) + USE SIGNAL
+ ROUTED met2 ( 159850 227630 ) ( * 229330 )
NEW met1 ( 159850 229330 ) ( 160615 * )
NEW met1 ( 158010 227630 ) ( 159850 * )
NEW met1 ( 159850 227630 ) M1M2_PR
NEW met1 ( 159850 229330 ) M1M2_PR
NEW li1 ( 160615 229330 ) L1M1_PR_MR
NEW li1 ( 158010 227630 ) L1M1_PR_MR ;
- _028_ ( _470_ D ) ( _136_ X ) + USE SIGNAL
+ ROUTED met2 ( 167670 225250 ) ( * 227290 )
NEW met1 ( 167625 227290 ) ( 167670 * )
NEW li1 ( 167670 225250 ) L1M1_PR_MR
NEW met1 ( 167670 225250 ) M1M2_PR
NEW met1 ( 167670 227290 ) M1M2_PR
NEW li1 ( 167625 227290 ) L1M1_PR_MR
NEW met1 ( 167670 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 167670 227290 ) RECT ( 0 -70 310 70 ) ;
- _029_ ( _471_ D ) ( _132_ X ) + USE SIGNAL
+ ROUTED met1 ( 174110 213010 ) ( 175795 * )
NEW met2 ( 174110 213010 ) ( * 218110 )
NEW met1 ( 174110 218110 ) ( 175030 * )
NEW li1 ( 175795 213010 ) L1M1_PR_MR
NEW met1 ( 174110 213010 ) M1M2_PR
NEW met1 ( 174110 218110 ) M1M2_PR
NEW li1 ( 175030 218110 ) L1M1_PR_MR ;
- _030_ ( _472_ D ) ( _131_ X ) + USE SIGNAL
+ ROUTED met2 ( 182390 225250 ) ( * 229330 )
NEW met1 ( 181425 229330 ) ( 182390 * )
NEW li1 ( 182390 225250 ) L1M1_PR_MR
NEW met1 ( 182390 225250 ) M1M2_PR
NEW met1 ( 182390 229330 ) M1M2_PR
NEW li1 ( 181425 229330 ) L1M1_PR_MR
NEW met1 ( 182390 225250 ) RECT ( -355 -70 0 70 ) ;
- _031_ ( _473_ D ) ( _130_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 227630 ) ( 187755 * )
NEW li1 ( 187755 227630 ) L1M1_PR_MR
NEW li1 ( 183310 227630 ) L1M1_PR_MR ;
- _032_ ( _474_ D ) ( _129_ X ) + USE SIGNAL
+ ROUTED met2 ( 181930 217090 ) ( * 218450 )
NEW met1 ( 181930 218450 ) ( 183155 * )
NEW li1 ( 181930 217090 ) L1M1_PR_MR
NEW met1 ( 181930 217090 ) M1M2_PR
NEW met1 ( 181930 218450 ) M1M2_PR
NEW li1 ( 183155 218450 ) L1M1_PR_MR
NEW met1 ( 181930 217090 ) RECT ( -355 -70 0 70 ) ;
- _033_ ( _475_ D ) ( _127_ X ) + USE SIGNAL
+ ROUTED met1 ( 162610 213010 ) ( 164755 * )
NEW met2 ( 162610 213010 ) ( * 218110 )
NEW li1 ( 164755 213010 ) L1M1_PR_MR
NEW met1 ( 162610 213010 ) M1M2_PR
NEW li1 ( 162610 218110 ) L1M1_PR_MR
NEW met1 ( 162610 218110 ) M1M2_PR
NEW met1 ( 162610 218110 ) RECT ( -355 -70 0 70 ) ;
- _034_ ( _476_ D ) ( _103_ Y ) + USE SIGNAL
+ ROUTED met1 ( 152445 210970 ) ( 152490 * )
NEW met2 ( 152490 210970 ) ( * 212670 )
NEW met1 ( 152490 212670 ) ( 153410 * )
NEW li1 ( 152445 210970 ) L1M1_PR_MR
NEW met1 ( 152490 210970 ) M1M2_PR
NEW met1 ( 152490 212670 ) M1M2_PR
NEW li1 ( 153410 212670 ) L1M1_PR_MR
NEW met1 ( 152445 210970 ) RECT ( -310 -70 0 70 ) ;
- _035_ ( _106_ C ) ( _104_ Y ) + USE SIGNAL
+ ROUTED met1 ( 50830 13090 ) ( 67390 * )
NEW met2 ( 50830 13090 ) ( * 14790 )
NEW li1 ( 67390 13090 ) L1M1_PR_MR
NEW met1 ( 50830 13090 ) M1M2_PR
NEW li1 ( 50830 14790 ) L1M1_PR_MR
NEW met1 ( 50830 14790 ) M1M2_PR
NEW met1 ( 50830 14790 ) RECT ( -355 -70 0 70 ) ;
- _036_ ( _106_ D ) ( _105_ X ) + USE SIGNAL
+ ROUTED met1 ( 51290 14450 ) ( * 15130 )
NEW met1 ( 41630 14450 ) ( 51290 * )
NEW li1 ( 51290 15130 ) L1M1_PR_MR
NEW li1 ( 41630 14450 ) L1M1_PR_MR ;
- _037_ ( _184_ C ) ( _180_ A ) ( _119_ A ) ( _106_ X ) + USE SIGNAL
+ ROUTED met1 ( 38410 17170 ) ( * 17510 )
NEW met1 ( 46230 20570 ) ( 47150 * )
NEW met2 ( 47150 20570 ) ( 48070 * )
NEW met1 ( 48070 20570 ) ( 49910 * )
NEW met1 ( 49910 20230 ) ( * 20570 )
NEW met2 ( 49910 14110 ) ( * 20230 )
NEW met1 ( 48990 14110 ) ( 49910 * )
NEW met1 ( 41630 20230 ) ( 42090 * )
NEW met2 ( 42090 19550 ) ( * 20230 )
NEW met1 ( 42090 19550 ) ( 47150 * )
NEW met1 ( 47150 19550 ) ( * 19890 )
NEW met2 ( 47150 19890 ) ( * 20570 )
NEW met1 ( 46170 17170 ) ( * 17850 )
NEW met1 ( 46170 17850 ) ( 49910 * )
NEW met1 ( 38410 17170 ) ( 46170 * )
NEW li1 ( 38410 17510 ) L1M1_PR_MR
NEW li1 ( 46230 20570 ) L1M1_PR_MR
NEW met1 ( 47150 20570 ) M1M2_PR
NEW met1 ( 48070 20570 ) M1M2_PR
NEW met1 ( 49910 20230 ) M1M2_PR
NEW met1 ( 49910 14110 ) M1M2_PR
NEW li1 ( 48990 14110 ) L1M1_PR_MR
NEW li1 ( 41630 20230 ) L1M1_PR_MR
NEW met1 ( 42090 20230 ) M1M2_PR
NEW met1 ( 42090 19550 ) M1M2_PR
NEW met1 ( 47150 19890 ) M1M2_PR
NEW met1 ( 49910 17850 ) M1M2_PR
NEW met2 ( 49910 17850 ) RECT ( -70 -485 70 0 ) ;
- _038_ ( _114_ A ) ( _107_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 15810 ) ( 15870 * )
NEW met2 ( 15870 15810 ) ( * 16830 )
NEW met1 ( 15870 16830 ) ( 20470 * )
NEW met1 ( 20470 16830 ) ( * 17510 )
NEW li1 ( 15410 15810 ) L1M1_PR_MR
NEW met1 ( 15870 15810 ) M1M2_PR
NEW met1 ( 15870 16830 ) M1M2_PR
NEW li1 ( 20470 17510 ) L1M1_PR_MR ;
- _039_ ( _232_ A ) ( _230_ A ) ( _178_ A ) ( _111_ A ) ( _108_ Y ) + USE SIGNAL
+ ROUTED met1 ( 16330 28050 ) ( 20010 * )
NEW met2 ( 16330 27540 ) ( * 28050 )
NEW met3 ( 16330 22100 ) ( * 27540 )
NEW met2 ( 16330 20570 ) ( * 22100 )
NEW met1 ( 15410 20570 ) ( 16330 * )
NEW met1 ( 17250 32130 ) ( 28750 * )
NEW met1 ( 17250 31450 ) ( * 32130 )
NEW met1 ( 16330 31450 ) ( 17250 * )
NEW met2 ( 16330 28050 ) ( * 31450 )
NEW met1 ( 42550 25670 ) ( 44850 * )
NEW met2 ( 42550 25670 ) ( * 31790 )
NEW met1 ( 28750 31790 ) ( 42550 * )
NEW met1 ( 28750 31790 ) ( * 32130 )
NEW met1 ( 46230 22950 ) ( * 23630 )
NEW met2 ( 46230 23630 ) ( * 26690 )
NEW met1 ( 42550 26690 ) ( 46230 * )
NEW li1 ( 20010 28050 ) L1M1_PR_MR
NEW met1 ( 16330 28050 ) M1M2_PR
NEW met2 ( 16330 27540 ) M2M3_PR_M
NEW met2 ( 16330 22100 ) M2M3_PR_M
NEW met1 ( 16330 20570 ) M1M2_PR
NEW li1 ( 15410 20570 ) L1M1_PR_MR
NEW li1 ( 28750 32130 ) L1M1_PR_MR
NEW met1 ( 16330 31450 ) M1M2_PR
NEW li1 ( 44850 25670 ) L1M1_PR_MR
NEW met1 ( 42550 25670 ) M1M2_PR
NEW met1 ( 42550 31790 ) M1M2_PR
NEW li1 ( 46230 22950 ) L1M1_PR_MR
NEW met1 ( 46230 23630 ) M1M2_PR
NEW met1 ( 46230 26690 ) M1M2_PR
NEW met1 ( 42550 26690 ) M1M2_PR
NEW met2 ( 42550 26690 ) RECT ( -70 -485 70 0 ) ;
- _040_ ( _232_ B ) ( _230_ B ) ( _178_ B ) ( _111_ B ) ( _109_ Y ) + USE SIGNAL
+ ROUTED met1 ( 10810 29410 ) ( 20470 * )
NEW met2 ( 10810 29410 ) ( * 33150 )
NEW met1 ( 5750 19550 ) ( 14030 * )
NEW met2 ( 5750 19550 ) ( * 23460 )
NEW met2 ( 5290 23460 ) ( 5750 * )
NEW met2 ( 5290 23460 ) ( * 29410 )
NEW met1 ( 5290 29410 ) ( 10810 * )
NEW met1 ( 42550 24990 ) ( 44390 * )
NEW met2 ( 42550 23970 ) ( * 24990 )
NEW met1 ( 20470 23970 ) ( 42550 * )
NEW met1 ( 20470 23290 ) ( * 23970 )
NEW met2 ( 20470 20570 ) ( * 23290 )
NEW met1 ( 20470 20230 ) ( * 20570 )
NEW met1 ( 15410 20230 ) ( 20470 * )
NEW met1 ( 15410 19550 ) ( * 20230 )
NEW met1 ( 14030 19550 ) ( 15410 * )
NEW met2 ( 44850 23970 ) ( * 24990 )
NEW met1 ( 44390 24990 ) ( 44850 * )
NEW li1 ( 20470 29410 ) L1M1_PR_MR
NEW met1 ( 10810 29410 ) M1M2_PR
NEW li1 ( 10810 33150 ) L1M1_PR_MR
NEW met1 ( 10810 33150 ) M1M2_PR
NEW li1 ( 14030 19550 ) L1M1_PR_MR
NEW met1 ( 5750 19550 ) M1M2_PR
NEW met1 ( 5290 29410 ) M1M2_PR
NEW li1 ( 44390 24990 ) L1M1_PR_MR
NEW met1 ( 42550 24990 ) M1M2_PR
NEW met1 ( 42550 23970 ) M1M2_PR
NEW met1 ( 20470 23290 ) M1M2_PR
NEW met1 ( 20470 20570 ) M1M2_PR
NEW li1 ( 44850 23970 ) L1M1_PR_MR
NEW met1 ( 44850 23970 ) M1M2_PR
NEW met1 ( 44850 24990 ) M1M2_PR
NEW met1 ( 10810 33150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 44850 23970 ) RECT ( -355 -70 0 70 ) ;
- _041_ ( _234_ A ) ( _111_ C ) ( _110_ Y ) + USE SIGNAL
+ ROUTED met2 ( 45310 32980 ) ( * 33150 )
NEW met3 ( 25300 32980 ) ( 45310 * )
NEW met4 ( 25300 18020 ) ( * 32980 )
NEW met3 ( 14950 18020 ) ( 25300 * )
NEW met2 ( 14950 18020 ) ( * 19890 )
NEW met1 ( 14950 19890 ) ( * 20230 )
NEW met1 ( 14490 20230 ) ( 14950 * )
NEW met1 ( 53130 28050 ) ( 55430 * )
NEW met2 ( 53130 28050 ) ( * 32980 )
NEW met3 ( 45310 32980 ) ( 53130 * )
NEW li1 ( 45310 33150 ) L1M1_PR_MR
NEW met1 ( 45310 33150 ) M1M2_PR
NEW met2 ( 45310 32980 ) M2M3_PR_M
NEW met3 ( 25300 32980 ) M3M4_PR_M
NEW met3 ( 25300 18020 ) M3M4_PR_M
NEW met2 ( 14950 18020 ) M2M3_PR_M
NEW met1 ( 14950 19890 ) M1M2_PR
NEW li1 ( 14490 20230 ) L1M1_PR_MR
NEW li1 ( 55430 28050 ) L1M1_PR_MR
NEW met1 ( 53130 28050 ) M1M2_PR
NEW met2 ( 53130 32980 ) M2M3_PR_M
NEW met1 ( 45310 33150 ) RECT ( -355 -70 0 70 ) ;
- _042_ ( _114_ B ) ( _111_ X ) + USE SIGNAL
+ ROUTED met1 ( 16330 18530 ) ( 19090 * )
NEW met2 ( 16330 18530 ) ( * 19550 )
NEW li1 ( 19090 18530 ) L1M1_PR_MR
NEW met1 ( 16330 18530 ) M1M2_PR
NEW li1 ( 16330 19550 ) L1M1_PR_MR
NEW met1 ( 16330 19550 ) M1M2_PR
NEW met1 ( 16330 19550 ) RECT ( -355 -70 0 70 ) ;
- _043_ ( _114_ C ) ( _112_ X ) + USE SIGNAL
+ ROUTED met2 ( 18630 15810 ) ( * 17510 )
NEW met1 ( 18630 17510 ) ( 20010 * )
NEW li1 ( 18630 15810 ) L1M1_PR_MR
NEW met1 ( 18630 15810 ) M1M2_PR
NEW met1 ( 18630 17510 ) M1M2_PR
NEW li1 ( 20010 17510 ) L1M1_PR_MR
NEW met1 ( 18630 15810 ) RECT ( -355 -70 0 70 ) ;
- _044_ ( _114_ D ) ( _113_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 13090 ) ( 14490 * )
NEW met2 ( 14490 13090 ) ( * 14450 )
NEW met2 ( 14490 14450 ) ( 14950 * )
NEW met2 ( 14950 14450 ) ( * 17170 )
NEW met1 ( 14950 17170 ) ( 19090 * )
NEW li1 ( 14030 13090 ) L1M1_PR_MR
NEW met1 ( 14490 13090 ) M1M2_PR
NEW met1 ( 14950 17170 ) M1M2_PR
NEW li1 ( 19090 17170 ) L1M1_PR_MR ;
- _045_ ( _119_ B ) ( _114_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 18190 ) ( 37490 * )
NEW li1 ( 37490 18190 ) L1M1_PR_MR
NEW li1 ( 21390 18190 ) L1M1_PR_MR ;
- _046_ ( _118_ A ) ( _115_ X ) + USE SIGNAL
+ ROUTED met1 ( 31050 18530 ) ( 31510 * )
NEW met2 ( 31050 18530 ) ( * 25670 )
NEW met1 ( 31050 25670 ) ( 34270 * )
NEW li1 ( 31510 18530 ) L1M1_PR_MR
NEW met1 ( 31050 18530 ) M1M2_PR
NEW met1 ( 31050 25670 ) M1M2_PR
NEW li1 ( 34270 25670 ) L1M1_PR_MR ;
- _047_ ( _118_ B ) ( _116_ X ) + USE SIGNAL
+ ROUTED met1 ( 26910 15810 ) ( 33810 * )
NEW met2 ( 33810 15810 ) ( * 24990 )
NEW met2 ( 33810 24990 ) ( 34270 * )
NEW li1 ( 26910 15810 ) L1M1_PR_MR
NEW met1 ( 33810 15810 ) M1M2_PR
NEW li1 ( 34270 24990 ) L1M1_PR_MR
NEW met1 ( 34270 24990 ) M1M2_PR
NEW met1 ( 34270 24990 ) RECT ( 0 -70 355 70 ) ;
- _048_ ( _118_ C ) ( _117_ X ) + USE SIGNAL
+ ROUTED met2 ( 36570 15810 ) ( * 26350 )
NEW met1 ( 33810 26350 ) ( 36570 * )
NEW met1 ( 33810 26010 ) ( * 26350 )
NEW li1 ( 36570 15810 ) L1M1_PR_MR
NEW met1 ( 36570 15810 ) M1M2_PR
NEW met1 ( 36570 26350 ) M1M2_PR
NEW li1 ( 33810 26010 ) L1M1_PR_MR
NEW met1 ( 36570 15810 ) RECT ( -355 -70 0 70 ) ;
- _049_ ( _119_ C ) ( _118_ X ) + USE SIGNAL
+ ROUTED met2 ( 37030 17510 ) ( * 24990 )
NEW met1 ( 35650 24990 ) ( 37030 * )
NEW li1 ( 37030 17510 ) L1M1_PR_MR
NEW met1 ( 37030 17510 ) M1M2_PR
NEW met1 ( 37030 24990 ) M1M2_PR
NEW li1 ( 35650 24990 ) L1M1_PR_MR
NEW met1 ( 37030 17510 ) RECT ( -355 -70 0 70 ) ;
- _050_ ( ANTENNA__120__A DIODE ) ( ANTENNA__121__A DIODE ) ( ANTENNA__137__A DIODE ) ( _137_ A ) ( _121_ A ) ( _120_ A ) ( _119_ X ) + USE SIGNAL
+ ROUTED met3 ( 39790 20060 ) ( 40020 * )
NEW met2 ( 39790 17850 ) ( * 20060 )
NEW met2 ( 38870 212500 ) ( * 212670 )
NEW met3 ( 38870 212500 ) ( 40020 * )
NEW met1 ( 38870 213350 ) ( 41170 * )
NEW met1 ( 38870 212670 ) ( * 213350 )
NEW met1 ( 41170 213350 ) ( 47150 * )
NEW met2 ( 45770 211310 ) ( * 213350 )
NEW met1 ( 41170 219130 ) ( 41630 * )
NEW met2 ( 41170 213350 ) ( * 219130 )
NEW met1 ( 47150 218450 ) ( * 219130 )
NEW met1 ( 41630 219130 ) ( 47150 * )
NEW met4 ( 40020 20060 ) ( * 212500 )
NEW met3 ( 40020 20060 ) M3M4_PR_M
NEW met2 ( 39790 20060 ) M2M3_PR_M
NEW li1 ( 39790 17850 ) L1M1_PR_MR
NEW met1 ( 39790 17850 ) M1M2_PR
NEW li1 ( 38870 212670 ) L1M1_PR_MR
NEW met1 ( 38870 212670 ) M1M2_PR
NEW met2 ( 38870 212500 ) M2M3_PR_M
NEW met3 ( 40020 212500 ) M3M4_PR_M
NEW li1 ( 41170 213350 ) L1M1_PR_MR
NEW li1 ( 47150 213350 ) L1M1_PR_MR
NEW li1 ( 45770 211310 ) L1M1_PR_MR
NEW met1 ( 45770 211310 ) M1M2_PR
NEW met1 ( 45770 213350 ) M1M2_PR
NEW li1 ( 41630 219130 ) L1M1_PR_MR
NEW met1 ( 41170 219130 ) M1M2_PR
NEW met1 ( 41170 213350 ) M1M2_PR
NEW li1 ( 47150 218450 ) L1M1_PR_MR
NEW met3 ( 40020 20060 ) RECT ( 0 -150 390 150 )
NEW met1 ( 39790 17850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 38870 212670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45770 211310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45770 213350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 41170 213350 ) RECT ( -595 -70 0 70 ) ;
- _051_ ( ANTENNA__102__A2 DIODE ) ( ANTENNA__127__A2 DIODE ) ( ANTENNA__128__A DIODE ) ( ANTENNA__176__B2 DIODE ) ( ANTENNA__177__B2 DIODE ) ( _177_ B2 ) ( _176_ B2 )
( _128_ A ) ( _127_ A2 ) ( _120_ X ) ( _102_ A2 ) + USE SIGNAL
+ ROUTED met1 ( 13110 215730 ) ( * 216070 )
NEW met2 ( 48530 208590 ) ( * 212670 )
NEW met1 ( 16330 208590 ) ( 48530 * )
NEW met2 ( 14030 208590 ) ( * 215730 )
NEW met1 ( 14030 208590 ) ( 16330 * )
NEW met1 ( 13985 213350 ) ( 14030 * )
NEW met1 ( 14030 213240 ) ( * 213350 )
NEW met1 ( 14030 220830 ) ( 15870 * )
NEW met2 ( 14030 215730 ) ( * 220830 )
NEW met1 ( 13110 215730 ) ( 14030 * )
NEW met1 ( 152950 208250 ) ( 158010 * )
NEW met1 ( 152950 208250 ) ( * 208590 )
NEW met1 ( 159390 218110 ) ( 159850 * )
NEW met2 ( 159390 208250 ) ( * 218110 )
NEW met1 ( 158010 208250 ) ( 159390 * )
NEW met1 ( 164450 218790 ) ( * 218800 )
NEW met1 ( 163990 218800 ) ( 164450 * )
NEW met1 ( 163990 218450 ) ( * 218800 )
NEW met1 ( 159850 218450 ) ( 163990 * )
NEW met1 ( 159850 218110 ) ( * 218450 )
NEW met1 ( 164450 216750 ) ( 167670 * )
NEW met2 ( 164450 216750 ) ( * 218790 )
NEW met1 ( 167670 216750 ) ( 170890 * )
NEW met1 ( 48530 208590 ) ( 152950 * )
NEW li1 ( 13110 216070 ) L1M1_PR_MR
NEW li1 ( 48530 212670 ) L1M1_PR_MR
NEW met1 ( 48530 212670 ) M1M2_PR
NEW met1 ( 48530 208590 ) M1M2_PR
NEW li1 ( 16330 208590 ) L1M1_PR_MR
NEW met1 ( 14030 215730 ) M1M2_PR
NEW met1 ( 14030 208590 ) M1M2_PR
NEW li1 ( 13985 213350 ) L1M1_PR_MR
NEW met1 ( 14030 213240 ) M1M2_PR
NEW li1 ( 15870 220830 ) L1M1_PR_MR
NEW met1 ( 14030 220830 ) M1M2_PR
NEW li1 ( 152950 208590 ) L1M1_PR_MR
NEW li1 ( 158010 208250 ) L1M1_PR_MR
NEW li1 ( 159850 218110 ) L1M1_PR_MR
NEW met1 ( 159390 218110 ) M1M2_PR
NEW met1 ( 159390 208250 ) M1M2_PR
NEW li1 ( 164450 218790 ) L1M1_PR_MR
NEW li1 ( 167670 216750 ) L1M1_PR_MR
NEW met1 ( 164450 216750 ) M1M2_PR
NEW met1 ( 164450 218790 ) M1M2_PR
NEW li1 ( 170890 216750 ) L1M1_PR_MR
NEW met1 ( 48530 212670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 14030 213240 ) RECT ( -70 -485 70 0 )
NEW met1 ( 164450 218790 ) RECT ( 0 -70 595 70 ) ;
- _052_ ( _133_ A ) ( _122_ A ) ( _121_ Y ) + USE SIGNAL
+ ROUTED met2 ( 44850 214370 ) ( * 216410 )
NEW met1 ( 41630 214370 ) ( 44850 * )
NEW met1 ( 44850 216410 ) ( 49450 * )
NEW li1 ( 44850 216410 ) L1M1_PR_MR
NEW met1 ( 44850 216410 ) M1M2_PR
NEW met1 ( 44850 214370 ) M1M2_PR
NEW li1 ( 41630 214370 ) L1M1_PR_MR
NEW li1 ( 49450 216410 ) L1M1_PR_MR
NEW met1 ( 44850 216410 ) RECT ( -355 -70 0 70 ) ;
- _053_ ( ANTENNA__102__B2 DIODE ) ( ANTENNA__123__A DIODE ) ( ANTENNA__175__A2 DIODE ) ( ANTENNA__176__A2 DIODE ) ( ANTENNA__177__A2 DIODE ) ( _177_ A2 ) ( _176_ A2 )
( _175_ A2 ) ( _123_ A ) ( _122_ X ) ( _102_ B2 ) + USE SIGNAL
+ ROUTED met2 ( 13570 213690 ) ( * 223550 )
NEW met2 ( 159850 207570 ) ( * 212670 )
NEW met1 ( 159850 216070 ) ( 160770 * )
NEW met2 ( 159850 212670 ) ( * 216070 )
NEW met2 ( 46230 207910 ) ( * 215390 )
NEW met2 ( 21390 211650 ) ( * 214030 )
NEW met1 ( 21390 214030 ) ( 46230 * )
NEW met1 ( 19550 219470 ) ( 23230 * )
NEW met2 ( 23230 214030 ) ( * 219470 )
NEW met2 ( 19550 219470 ) ( * 223550 )
NEW met1 ( 14490 223550 ) ( * 224230 )
NEW met1 ( 13570 223550 ) ( 19550 * )
NEW met1 ( 157090 207570 ) ( * 207910 )
NEW met1 ( 153870 207570 ) ( 157090 * )
NEW met1 ( 153870 207570 ) ( * 207910 )
NEW met1 ( 46230 207910 ) ( 153870 * )
NEW met2 ( 153870 206210 ) ( * 207910 )
NEW met1 ( 157090 207570 ) ( 159850 * )
NEW li1 ( 153870 206210 ) L1M1_PR_MR
NEW met1 ( 153870 206210 ) M1M2_PR
NEW li1 ( 13570 213690 ) L1M1_PR_MR
NEW met1 ( 13570 213690 ) M1M2_PR
NEW met1 ( 13570 223550 ) M1M2_PR
NEW li1 ( 13570 216410 ) L1M1_PR_MR
NEW met1 ( 13570 216410 ) M1M2_PR
NEW li1 ( 159850 212670 ) L1M1_PR_MR
NEW met1 ( 159850 212670 ) M1M2_PR
NEW met1 ( 159850 207570 ) M1M2_PR
NEW li1 ( 160770 216070 ) L1M1_PR_MR
NEW met1 ( 159850 216070 ) M1M2_PR
NEW li1 ( 46230 215390 ) L1M1_PR_MR
NEW met1 ( 46230 215390 ) M1M2_PR
NEW met1 ( 46230 207910 ) M1M2_PR
NEW li1 ( 21390 211650 ) L1M1_PR_MR
NEW met1 ( 21390 211650 ) M1M2_PR
NEW met1 ( 21390 214030 ) M1M2_PR
NEW met1 ( 46230 214030 ) M1M2_PR
NEW li1 ( 19550 219470 ) L1M1_PR_MR
NEW met1 ( 23230 219470 ) M1M2_PR
NEW met1 ( 23230 214030 ) M1M2_PR
NEW li1 ( 19550 223550 ) L1M1_PR_MR
NEW met1 ( 19550 223550 ) M1M2_PR
NEW met1 ( 19550 219470 ) M1M2_PR
NEW li1 ( 14490 224230 ) L1M1_PR_MR
NEW met1 ( 153870 207910 ) M1M2_PR
NEW li1 ( 157090 207910 ) L1M1_PR_MR
NEW met1 ( 153870 206210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 13570 213690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 13570 216410 ) RECT ( -355 -70 0 70 )
NEW met2 ( 13570 216410 ) RECT ( -70 -485 70 0 )
NEW met1 ( 159850 212670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 46230 215390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 21390 211650 ) RECT ( -355 -70 0 70 )
NEW met2 ( 46230 214030 ) RECT ( -70 -485 70 0 )
NEW met1 ( 23230 214030 ) RECT ( -595 -70 0 70 )
NEW met1 ( 19550 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19550 219470 ) RECT ( -595 -70 0 70 ) ;
- _054_ ( _132_ A2 ) ( _131_ A2 ) ( _130_ A2 ) ( _129_ A2 ) ( _127_ B2 ) ( _123_ X ) + USE SIGNAL
+ ROUTED met1 ( 162150 219130 ) ( 164865 * )
NEW met2 ( 162150 216410 ) ( * 219130 )
NEW met1 ( 162150 216070 ) ( 180090 * )
NEW met1 ( 162150 216070 ) ( * 216410 )
NEW met1 ( 176410 218790 ) ( 176870 * )
NEW met2 ( 176410 216070 ) ( * 218790 )
NEW met2 ( 180550 216070 ) ( * 224230 )
NEW met1 ( 180090 216070 ) ( 180550 * )
NEW met1 ( 181470 227290 ) ( * 227630 )
NEW met1 ( 181010 227630 ) ( 181470 * )
NEW met2 ( 181010 227460 ) ( * 227630 )
NEW met2 ( 180550 227460 ) ( 181010 * )
NEW met2 ( 180550 224230 ) ( * 227460 )
NEW li1 ( 164865 219130 ) L1M1_PR_MR
NEW met1 ( 162150 219130 ) M1M2_PR
NEW li1 ( 162150 216410 ) L1M1_PR_MR
NEW met1 ( 162150 216410 ) M1M2_PR
NEW li1 ( 180090 216070 ) L1M1_PR_MR
NEW li1 ( 176870 218790 ) L1M1_PR_MR
NEW met1 ( 176410 218790 ) M1M2_PR
NEW met1 ( 176410 216070 ) M1M2_PR
NEW li1 ( 180550 224230 ) L1M1_PR_MR
NEW met1 ( 180550 224230 ) M1M2_PR
NEW met1 ( 180550 216070 ) M1M2_PR
NEW li1 ( 181470 227290 ) L1M1_PR_MR
NEW met1 ( 181010 227630 ) M1M2_PR
NEW met1 ( 162150 216410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176410 216070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 180550 224230 ) RECT ( -355 -70 0 70 ) ;
- _055_ ( _168_ A ) ( _160_ A ) ( _152_ A ) ( _144_ A ) ( _125_ A ) ( _124_ Y ) + USE SIGNAL
+ ROUTED met1 ( 93150 219130 ) ( 100510 * )
NEW met2 ( 93150 216410 ) ( * 219130 )
NEW met1 ( 83950 216410 ) ( 93150 * )
NEW met1 ( 83950 216070 ) ( * 216410 )
NEW met1 ( 79810 216070 ) ( 83950 * )
NEW met1 ( 79810 216070 ) ( * 216410 )
NEW met1 ( 68770 216410 ) ( 79810 * )
NEW met1 ( 68770 216410 ) ( * 217090 )
NEW met1 ( 106030 216410 ) ( 109250 * )
NEW met2 ( 106030 216410 ) ( * 219130 )
NEW met1 ( 100510 219130 ) ( 106030 * )
NEW met2 ( 109710 213690 ) ( * 216410 )
NEW met1 ( 109250 216410 ) ( 109710 * )
NEW met1 ( 52670 216410 ) ( 53590 * )
NEW met2 ( 52670 214370 ) ( * 216410 )
NEW met1 ( 53590 216410 ) ( 60030 * )
NEW met1 ( 60030 216410 ) ( * 217090 )
NEW met1 ( 60030 217090 ) ( 68770 * )
NEW li1 ( 100510 219130 ) L1M1_PR_MR
NEW met1 ( 93150 219130 ) M1M2_PR
NEW met1 ( 93150 216410 ) M1M2_PR
NEW li1 ( 109250 216410 ) L1M1_PR_MR
NEW met1 ( 106030 216410 ) M1M2_PR
NEW met1 ( 106030 219130 ) M1M2_PR
NEW li1 ( 109710 213690 ) L1M1_PR_MR
NEW met1 ( 109710 213690 ) M1M2_PR
NEW met1 ( 109710 216410 ) M1M2_PR
NEW li1 ( 53590 216410 ) L1M1_PR_MR
NEW met1 ( 52670 216410 ) M1M2_PR
NEW li1 ( 52670 214370 ) L1M1_PR_MR
NEW met1 ( 52670 214370 ) M1M2_PR
NEW li1 ( 60030 216410 ) L1M1_PR_MR
NEW met1 ( 109710 213690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52670 214370 ) RECT ( -355 -70 0 70 ) ;
- _056_ ( ANTENNA__126__A DIODE ) ( ANTENNA__135__A DIODE ) ( ANTENNA__175__C1 DIODE ) ( ANTENNA__176__C1 DIODE ) ( ANTENNA__177__C1 DIODE ) ( _177_ C1 ) ( _176_ C1 )
( _175_ C1 ) ( _135_ A ) ( _126_ A ) ( _125_ X ) + USE SIGNAL
+ ROUTED met2 ( 11730 214030 ) ( * 216410 )
NEW met1 ( 94070 217090 ) ( 110170 * )
NEW met1 ( 94070 215390 ) ( * 217090 )
NEW met1 ( 62100 215390 ) ( 94070 * )
NEW met1 ( 22310 218110 ) ( 24150 * )
NEW met2 ( 24150 215390 ) ( * 218110 )
NEW met1 ( 24150 215390 ) ( 38410 * )
NEW met1 ( 38410 215390 ) ( * 215730 )
NEW met1 ( 38410 215730 ) ( 62100 * )
NEW met1 ( 62100 215390 ) ( * 215730 )
NEW met1 ( 21850 221510 ) ( 24150 * )
NEW met2 ( 24150 218110 ) ( * 221510 )
NEW met1 ( 16330 223890 ) ( * 224230 )
NEW met1 ( 16330 223890 ) ( 21850 * )
NEW met2 ( 21850 221510 ) ( * 223890 )
NEW met1 ( 15410 213350 ) ( 23690 * )
NEW met2 ( 23690 213350 ) ( 24150 * )
NEW met2 ( 24150 213350 ) ( * 215390 )
NEW met1 ( 15410 213350 ) ( * 214030 )
NEW met1 ( 19550 208930 ) ( 23690 * )
NEW met2 ( 23690 208930 ) ( * 213350 )
NEW met1 ( 11730 214030 ) ( 15410 * )
NEW met1 ( 140530 221510 ) ( 141910 * )
NEW met2 ( 140530 218110 ) ( * 221510 )
NEW met1 ( 116610 218110 ) ( 140530 * )
NEW met2 ( 116610 218110 ) ( * 218620 )
NEW met2 ( 115690 218620 ) ( 116610 * )
NEW met2 ( 115690 217090 ) ( * 218620 )
NEW met1 ( 140530 220830 ) ( 148350 * )
NEW met2 ( 150650 216750 ) ( * 220830 )
NEW met1 ( 148350 220830 ) ( 150650 * )
NEW met1 ( 149730 214370 ) ( 150650 * )
NEW met2 ( 150650 214370 ) ( * 216750 )
NEW met1 ( 110170 217090 ) ( 115690 * )
NEW met1 ( 11730 214030 ) M1M2_PR
NEW li1 ( 11730 216410 ) L1M1_PR_MR
NEW met1 ( 11730 216410 ) M1M2_PR
NEW li1 ( 110170 217090 ) L1M1_PR_MR
NEW li1 ( 22310 218110 ) L1M1_PR_MR
NEW met1 ( 24150 218110 ) M1M2_PR
NEW met1 ( 24150 215390 ) M1M2_PR
NEW li1 ( 21850 221510 ) L1M1_PR_MR
NEW met1 ( 24150 221510 ) M1M2_PR
NEW li1 ( 16330 224230 ) L1M1_PR_MR
NEW met1 ( 21850 223890 ) M1M2_PR
NEW met1 ( 21850 221510 ) M1M2_PR
NEW li1 ( 15410 213350 ) L1M1_PR_MR
NEW met1 ( 23690 213350 ) M1M2_PR
NEW li1 ( 19550 208930 ) L1M1_PR_MR
NEW met1 ( 23690 208930 ) M1M2_PR
NEW li1 ( 141910 221510 ) L1M1_PR_MR
NEW met1 ( 140530 221510 ) M1M2_PR
NEW met1 ( 140530 218110 ) M1M2_PR
NEW met1 ( 116610 218110 ) M1M2_PR
NEW met1 ( 115690 217090 ) M1M2_PR
NEW li1 ( 148350 220830 ) L1M1_PR_MR
NEW met1 ( 140530 220830 ) M1M2_PR
NEW li1 ( 150650 216750 ) L1M1_PR_MR
NEW met1 ( 150650 216750 ) M1M2_PR
NEW met1 ( 150650 220830 ) M1M2_PR
NEW li1 ( 149730 214370 ) L1M1_PR_MR
NEW met1 ( 150650 214370 ) M1M2_PR
NEW met1 ( 11730 216410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 21850 221510 ) RECT ( -595 -70 0 70 )
NEW met2 ( 140530 220830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 150650 216750 ) RECT ( -355 -70 0 70 ) ;
- _057_ ( _132_ C1 ) ( _131_ C1 ) ( _130_ C1 ) ( _129_ C1 ) ( _127_ C1 ) ( _126_ X ) + USE SIGNAL
+ ROUTED met1 ( 165830 218790 ) ( 166290 * )
NEW met2 ( 165830 217090 ) ( * 218790 )
NEW met1 ( 165830 216410 ) ( 178250 * )
NEW met2 ( 165830 216410 ) ( * 217090 )
NEW met2 ( 178710 216410 ) ( * 218790 )
NEW met1 ( 178250 216410 ) ( 178710 * )
NEW met2 ( 178710 218790 ) ( * 224230 )
NEW met1 ( 178710 227290 ) ( 179630 * )
NEW met2 ( 178710 224230 ) ( * 227290 )
NEW met1 ( 151110 217090 ) ( 165830 * )
NEW li1 ( 166290 218790 ) L1M1_PR_MR
NEW met1 ( 165830 218790 ) M1M2_PR
NEW met1 ( 165830 217090 ) M1M2_PR
NEW li1 ( 178250 216410 ) L1M1_PR_MR
NEW met1 ( 165830 216410 ) M1M2_PR
NEW li1 ( 178710 218790 ) L1M1_PR_MR
NEW met1 ( 178710 218790 ) M1M2_PR
NEW met1 ( 178710 216410 ) M1M2_PR
NEW li1 ( 178710 224230 ) L1M1_PR_MR
NEW met1 ( 178710 224230 ) M1M2_PR
NEW li1 ( 179630 227290 ) L1M1_PR_MR
NEW met1 ( 178710 227290 ) M1M2_PR
NEW li1 ( 151110 217090 ) L1M1_PR_MR
NEW met1 ( 178710 218790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 178710 224230 ) RECT ( -355 -70 0 70 ) ;
- _058_ ( _136_ B2 ) ( _132_ B2 ) ( _131_ B2 ) ( _130_ B2 ) ( _129_ B2 ) ( _128_ X ) + USE SIGNAL
+ ROUTED met1 ( 166290 217090 ) ( 168130 * )
NEW met2 ( 166290 217090 ) ( * 224230 )
NEW met1 ( 165370 224230 ) ( 166290 * )
NEW met1 ( 166750 219130 ) ( 177330 * )
NEW met2 ( 166750 219130 ) ( * 219300 )
NEW met2 ( 166290 219300 ) ( 166750 * )
NEW met1 ( 179630 224570 ) ( 180090 * )
NEW met1 ( 179630 224570 ) ( * 224910 )
NEW met2 ( 179630 219130 ) ( * 224910 )
NEW met1 ( 177330 219130 ) ( 179630 * )
NEW met2 ( 179630 216410 ) ( * 219130 )
NEW met2 ( 181010 224570 ) ( * 226950 )
NEW met1 ( 180090 224570 ) ( 181010 * )
NEW li1 ( 168130 217090 ) L1M1_PR_MR
NEW met1 ( 166290 217090 ) M1M2_PR
NEW met1 ( 166290 224230 ) M1M2_PR
NEW li1 ( 165370 224230 ) L1M1_PR_MR
NEW li1 ( 177330 219130 ) L1M1_PR_MR
NEW met1 ( 166750 219130 ) M1M2_PR
NEW li1 ( 180090 224570 ) L1M1_PR_MR
NEW met1 ( 179630 224910 ) M1M2_PR
NEW met1 ( 179630 219130 ) M1M2_PR
NEW li1 ( 179630 216410 ) L1M1_PR_MR
NEW met1 ( 179630 216410 ) M1M2_PR
NEW li1 ( 181010 226950 ) L1M1_PR_MR
NEW met1 ( 181010 226950 ) M1M2_PR
NEW met1 ( 181010 224570 ) M1M2_PR
NEW met1 ( 179630 216410 ) RECT ( 0 -70 355 70 )
NEW met1 ( 181010 226950 ) RECT ( -355 -70 0 70 ) ;
- _059_ ( ANTENNA__134__A DIODE ) ( ANTENNA__143__A DIODE ) ( ANTENNA__151__A DIODE ) ( ANTENNA__159__A DIODE ) ( ANTENNA__167__A DIODE ) ( _167_ A ) ( _159_ A )
( _151_ A ) ( _143_ A ) ( _134_ A ) ( _133_ X ) + USE SIGNAL
+ ROUTED met2 ( 97750 220830 ) ( * 221850 )
NEW met1 ( 136850 221850 ) ( 137770 * )
NEW met2 ( 136850 219130 ) ( * 221850 )
NEW met2 ( 117990 221850 ) ( * 223890 )
NEW met1 ( 117990 223890 ) ( 136850 * )
NEW met2 ( 136850 221850 ) ( * 223890 )
NEW met1 ( 115230 221850 ) ( 117990 * )
NEW met2 ( 111090 220830 ) ( * 221510 )
NEW met1 ( 111090 221510 ) ( 115230 * )
NEW met1 ( 115230 221510 ) ( * 221850 )
NEW met2 ( 110170 220830 ) ( 111090 * )
NEW met1 ( 69230 221850 ) ( 71990 * )
NEW met1 ( 53590 222190 ) ( * 222530 )
NEW met1 ( 53590 222530 ) ( 62790 * )
NEW met1 ( 62790 221850 ) ( * 222530 )
NEW met1 ( 62790 221850 ) ( 69230 * )
NEW met2 ( 48530 222190 ) ( * 223550 )
NEW met1 ( 48530 217090 ) ( 49910 * )
NEW met2 ( 48530 217090 ) ( * 222190 )
NEW met1 ( 46230 222190 ) ( 53590 * )
NEW met1 ( 47610 223550 ) ( 48530 * )
NEW met1 ( 94990 220830 ) ( 110170 * )
NEW met1 ( 71990 221850 ) ( 97750 * )
NEW met1 ( 110170 220830 ) M1M2_PR
NEW li1 ( 97750 221850 ) L1M1_PR_MR
NEW met1 ( 97750 221850 ) M1M2_PR
NEW met1 ( 97750 220830 ) M1M2_PR
NEW li1 ( 46230 222190 ) L1M1_PR_MR
NEW li1 ( 47610 223550 ) L1M1_PR_MR
NEW li1 ( 137770 221850 ) L1M1_PR_MR
NEW met1 ( 136850 221850 ) M1M2_PR
NEW li1 ( 136850 219130 ) L1M1_PR_MR
NEW met1 ( 136850 219130 ) M1M2_PR
NEW li1 ( 117990 221850 ) L1M1_PR_MR
NEW met1 ( 117990 221850 ) M1M2_PR
NEW met1 ( 117990 223890 ) M1M2_PR
NEW met1 ( 136850 223890 ) M1M2_PR
NEW li1 ( 115230 221850 ) L1M1_PR_MR
NEW met1 ( 111090 221510 ) M1M2_PR
NEW li1 ( 71990 221850 ) L1M1_PR_MR
NEW li1 ( 69230 221850 ) L1M1_PR_MR
NEW met1 ( 48530 223550 ) M1M2_PR
NEW met1 ( 48530 222190 ) M1M2_PR
NEW li1 ( 49910 217090 ) L1M1_PR_MR
NEW met1 ( 48530 217090 ) M1M2_PR
NEW li1 ( 94990 220830 ) L1M1_PR_MR
NEW met1 ( 97750 221850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 97750 220830 ) RECT ( -595 -70 0 70 )
NEW met1 ( 136850 219130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117990 221850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 48530 222190 ) RECT ( 0 -70 595 70 ) ;
- _060_ ( _142_ A2 ) ( _141_ A2 ) ( _140_ A2 ) ( _139_ A2 ) ( _136_ A2 ) ( _134_ X ) + USE SIGNAL
+ ROUTED met1 ( 142830 224570 ) ( 143290 * )
NEW met2 ( 142830 224570 ) ( * 227630 )
NEW met2 ( 143290 218790 ) ( * 220830 )
NEW met2 ( 143290 220830 ) ( 143750 * )
NEW met2 ( 143750 220830 ) ( * 224740 )
NEW met2 ( 142830 224740 ) ( 143750 * )
NEW met1 ( 138690 222190 ) ( 143750 * )
NEW met1 ( 156170 226610 ) ( * 226950 )
NEW met1 ( 151110 226610 ) ( 156170 * )
NEW li1 ( 151110 226610 ) ( * 227630 )
NEW met1 ( 151110 221510 ) ( 154330 * )
NEW met2 ( 151110 221510 ) ( * 226610 )
NEW met2 ( 165830 224570 ) ( * 226610 )
NEW met1 ( 156170 226610 ) ( 165830 * )
NEW met1 ( 142830 227630 ) ( 151110 * )
NEW li1 ( 143290 224570 ) L1M1_PR_MR
NEW met1 ( 142830 224570 ) M1M2_PR
NEW met1 ( 142830 227630 ) M1M2_PR
NEW li1 ( 143290 218790 ) L1M1_PR_MR
NEW met1 ( 143290 218790 ) M1M2_PR
NEW li1 ( 138690 222190 ) L1M1_PR_MR
NEW met1 ( 143750 222190 ) M1M2_PR
NEW li1 ( 156170 226950 ) L1M1_PR_MR
NEW li1 ( 151110 226610 ) L1M1_PR_MR
NEW li1 ( 151110 227630 ) L1M1_PR_MR
NEW li1 ( 154330 221510 ) L1M1_PR_MR
NEW met1 ( 151110 221510 ) M1M2_PR
NEW met1 ( 151110 226610 ) M1M2_PR
NEW li1 ( 165830 224570 ) L1M1_PR_MR
NEW met1 ( 165830 224570 ) M1M2_PR
NEW met1 ( 165830 226610 ) M1M2_PR
NEW met1 ( 143290 218790 ) RECT ( -355 -70 0 70 )
NEW met2 ( 143750 222190 ) RECT ( -70 -485 70 0 )
NEW met1 ( 151110 226610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 165830 224570 ) RECT ( -355 -70 0 70 ) ;
- _061_ ( _142_ C1 ) ( _141_ C1 ) ( _140_ C1 ) ( _139_ C1 ) ( _136_ C1 ) ( _135_ X ) + USE SIGNAL
+ ROUTED met2 ( 156170 221850 ) ( * 224230 )
NEW met1 ( 154330 227290 ) ( * 227630 )
NEW met1 ( 154330 227630 ) ( 156170 * )
NEW met2 ( 156170 224230 ) ( * 227630 )
NEW met2 ( 145130 224230 ) ( * 227290 )
NEW met1 ( 145130 227290 ) ( 154330 * )
NEW met1 ( 143290 221510 ) ( 145130 * )
NEW met2 ( 145130 221510 ) ( * 224230 )
NEW met2 ( 145130 218790 ) ( * 221510 )
NEW met1 ( 156170 224230 ) ( 163990 * )
NEW li1 ( 163990 224230 ) L1M1_PR_MR
NEW li1 ( 156170 221850 ) L1M1_PR_MR
NEW met1 ( 156170 221850 ) M1M2_PR
NEW met1 ( 156170 224230 ) M1M2_PR
NEW li1 ( 154330 227290 ) L1M1_PR_MR
NEW met1 ( 156170 227630 ) M1M2_PR
NEW li1 ( 145130 224230 ) L1M1_PR_MR
NEW met1 ( 145130 224230 ) M1M2_PR
NEW met1 ( 145130 227290 ) M1M2_PR
NEW li1 ( 143290 221510 ) L1M1_PR_MR
NEW met1 ( 145130 221510 ) M1M2_PR
NEW li1 ( 145130 218790 ) L1M1_PR_MR
NEW met1 ( 145130 218790 ) M1M2_PR
NEW met1 ( 156170 221850 ) RECT ( 0 -70 355 70 )
NEW met1 ( 145130 224230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145130 218790 ) RECT ( -355 -70 0 70 ) ;
- _062_ ( _170_ A ) ( _162_ A ) ( _154_ A ) ( _146_ A ) ( _138_ A ) ( _137_ X ) + USE SIGNAL
+ ROUTED met1 ( 38870 218450 ) ( 41630 * )
NEW met1 ( 41630 218110 ) ( * 218450 )
NEW met1 ( 41630 218110 ) ( 47610 * )
NEW met2 ( 111550 218450 ) ( * 221850 )
NEW met1 ( 106950 218450 ) ( 111550 * )
NEW met2 ( 78890 218450 ) ( * 218620 )
NEW met3 ( 78890 218620 ) ( 87630 * )
NEW met2 ( 87630 218450 ) ( * 218620 )
NEW met2 ( 51290 218620 ) ( * 221850 )
NEW met3 ( 51290 218620 ) ( 78890 * )
NEW met2 ( 51290 218110 ) ( * 218620 )
NEW met1 ( 47610 218110 ) ( 51290 * )
NEW met1 ( 87630 218450 ) ( 106950 * )
NEW li1 ( 106950 218450 ) L1M1_PR_MR
NEW li1 ( 47610 218110 ) L1M1_PR_MR
NEW li1 ( 38870 218450 ) L1M1_PR_MR
NEW met1 ( 111550 218450 ) M1M2_PR
NEW li1 ( 111550 221850 ) L1M1_PR_MR
NEW met1 ( 111550 221850 ) M1M2_PR
NEW li1 ( 78890 218450 ) L1M1_PR_MR
NEW met1 ( 78890 218450 ) M1M2_PR
NEW met2 ( 78890 218620 ) M2M3_PR_M
NEW met2 ( 87630 218620 ) M2M3_PR_M
NEW met1 ( 87630 218450 ) M1M2_PR
NEW li1 ( 51290 221850 ) L1M1_PR_MR
NEW met1 ( 51290 221850 ) M1M2_PR
NEW met2 ( 51290 218620 ) M2M3_PR_M
NEW met1 ( 51290 218110 ) M1M2_PR
NEW met1 ( 111550 221850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 78890 218450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51290 221850 ) RECT ( -355 -70 0 70 ) ;
- _063_ ( _145_ B2 ) ( _142_ B2 ) ( _141_ B2 ) ( _140_ B2 ) ( _139_ B2 ) ( _138_ X ) + USE SIGNAL
+ ROUTED met2 ( 123510 218790 ) ( * 221510 )
NEW met1 ( 117530 221510 ) ( 123510 * )
NEW met2 ( 117530 220830 ) ( * 221510 )
NEW met1 ( 112010 220830 ) ( 117530 * )
NEW met1 ( 123510 218450 ) ( * 218790 )
NEW met2 ( 154790 221850 ) ( 155250 * )
NEW met2 ( 155250 221850 ) ( * 226950 )
NEW met2 ( 155250 226950 ) ( 155710 * )
NEW met1 ( 143750 223550 ) ( * 224230 )
NEW met1 ( 143750 223550 ) ( 155250 * )
NEW met1 ( 143735 218450 ) ( * 218790 )
NEW met1 ( 142830 218450 ) ( 143735 * )
NEW met2 ( 142830 218450 ) ( * 223550 )
NEW met1 ( 142830 223550 ) ( 143750 * )
NEW met1 ( 123510 218450 ) ( 142830 * )
NEW li1 ( 123510 218790 ) L1M1_PR_MR
NEW met1 ( 123510 218790 ) M1M2_PR
NEW met1 ( 123510 221510 ) M1M2_PR
NEW met1 ( 117530 221510 ) M1M2_PR
NEW met1 ( 117530 220830 ) M1M2_PR
NEW li1 ( 112010 220830 ) L1M1_PR_MR
NEW li1 ( 154790 221850 ) L1M1_PR_MR
NEW met1 ( 154790 221850 ) M1M2_PR
NEW li1 ( 155710 226950 ) L1M1_PR_MR
NEW met1 ( 155710 226950 ) M1M2_PR
NEW li1 ( 143750 224230 ) L1M1_PR_MR
NEW met1 ( 155250 223550 ) M1M2_PR
NEW li1 ( 143735 218790 ) L1M1_PR_MR
NEW met1 ( 142830 218450 ) M1M2_PR
NEW met1 ( 142830 223550 ) M1M2_PR
NEW met1 ( 123510 218790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 154790 221850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 155710 226950 ) RECT ( -355 -70 0 70 )
NEW met2 ( 155250 223550 ) RECT ( -70 -485 70 0 ) ;
- _064_ ( _150_ A2 ) ( _149_ A2 ) ( _148_ A2 ) ( _147_ A2 ) ( _145_ A2 ) ( _143_ X ) + USE SIGNAL
+ ROUTED met2 ( 118910 221850 ) ( * 226610 )
NEW met1 ( 115690 226610 ) ( 118910 * )
NEW met1 ( 115690 226610 ) ( * 226950 )
NEW met2 ( 123970 219130 ) ( * 221850 )
NEW met1 ( 118910 221850 ) ( 123970 * )
NEW met1 ( 117530 219130 ) ( 123970 * )
NEW met1 ( 123510 226610 ) ( * 226950 )
NEW met1 ( 118910 226610 ) ( 123510 * )
NEW met2 ( 123970 213690 ) ( * 219130 )
NEW li1 ( 118910 221850 ) L1M1_PR_MR
NEW met1 ( 118910 221850 ) M1M2_PR
NEW met1 ( 118910 226610 ) M1M2_PR
NEW li1 ( 115690 226950 ) L1M1_PR_MR
NEW li1 ( 123970 219130 ) L1M1_PR_MR
NEW met1 ( 123970 219130 ) M1M2_PR
NEW met1 ( 123970 221850 ) M1M2_PR
NEW li1 ( 117530 219130 ) L1M1_PR_MR
NEW li1 ( 123510 226950 ) L1M1_PR_MR
NEW li1 ( 123970 213690 ) L1M1_PR_MR
NEW met1 ( 123970 213690 ) M1M2_PR
NEW met1 ( 118910 221850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123970 219130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123970 213690 ) RECT ( -355 -70 0 70 ) ;
- _065_ ( _150_ C1 ) ( _149_ C1 ) ( _148_ C1 ) ( _147_ C1 ) ( _145_ C1 ) ( _144_ X ) + USE SIGNAL
+ ROUTED met1 ( 111090 213350 ) ( 122130 * )
NEW met2 ( 119370 213350 ) ( * 218790 )
NEW met1 ( 119370 218790 ) ( 122130 * )
NEW met1 ( 117530 227290 ) ( 119370 * )
NEW met2 ( 119370 218790 ) ( * 227290 )
NEW met1 ( 119370 227290 ) ( 121670 * )
NEW li1 ( 122130 213350 ) L1M1_PR_MR
NEW li1 ( 111090 213350 ) L1M1_PR_MR
NEW li1 ( 119370 218790 ) L1M1_PR_MR
NEW met1 ( 119370 218790 ) M1M2_PR
NEW met1 ( 119370 213350 ) M1M2_PR
NEW li1 ( 122130 218790 ) L1M1_PR_MR
NEW li1 ( 117530 227290 ) L1M1_PR_MR
NEW met1 ( 119370 227290 ) M1M2_PR
NEW li1 ( 121670 227290 ) L1M1_PR_MR
NEW met1 ( 119370 218790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 119370 213350 ) RECT ( -595 -70 0 70 ) ;
- _066_ ( _153_ B2 ) ( _150_ B2 ) ( _149_ B2 ) ( _148_ B2 ) ( _147_ B2 ) ( _146_ X ) + USE SIGNAL
+ ROUTED met2 ( 106490 218110 ) ( * 221510 )
NEW met1 ( 103270 221510 ) ( 106490 * )
NEW met1 ( 103270 221510 ) ( * 221850 )
NEW met1 ( 102350 221850 ) ( 103270 * )
NEW met2 ( 117990 212670 ) ( * 218790 )
NEW met1 ( 117990 212670 ) ( 123510 * )
NEW met1 ( 123510 212670 ) ( * 213350 )
NEW met1 ( 117070 218760 ) ( * 219130 )
NEW met1 ( 117070 218760 ) ( 117530 * )
NEW met1 ( 117530 218760 ) ( * 218790 )
NEW met1 ( 117530 218790 ) ( 117990 * )
NEW met1 ( 116150 226950 ) ( 118450 * )
NEW met2 ( 118450 221340 ) ( * 226950 )
NEW met2 ( 117990 221340 ) ( 118450 * )
NEW met2 ( 117990 218790 ) ( * 221340 )
NEW met1 ( 118450 226950 ) ( 123050 * )
NEW met1 ( 106490 219130 ) ( 117070 * )
NEW li1 ( 106490 218110 ) L1M1_PR_MR
NEW met1 ( 106490 218110 ) M1M2_PR
NEW met1 ( 106490 221510 ) M1M2_PR
NEW li1 ( 102350 221850 ) L1M1_PR_MR
NEW met1 ( 106490 219130 ) M1M2_PR
NEW li1 ( 117990 218790 ) L1M1_PR_MR
NEW met1 ( 117990 218790 ) M1M2_PR
NEW met1 ( 117990 212670 ) M1M2_PR
NEW li1 ( 123510 213350 ) L1M1_PR_MR
NEW li1 ( 116150 226950 ) L1M1_PR_MR
NEW met1 ( 118450 226950 ) M1M2_PR
NEW li1 ( 123050 226950 ) L1M1_PR_MR
NEW met1 ( 106490 218110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 106490 219130 ) RECT ( -70 -485 70 0 )
NEW met1 ( 117990 218790 ) RECT ( -355 -70 0 70 ) ;
- _067_ ( _158_ A2 ) ( _157_ A2 ) ( _156_ A2 ) ( _155_ A2 ) ( _153_ A2 ) ( _151_ X ) + USE SIGNAL
+ ROUTED met2 ( 102810 221510 ) ( 103270 * )
NEW met2 ( 103270 216750 ) ( * 221510 )
NEW met1 ( 103270 216750 ) ( 103730 * )
NEW met1 ( 103730 216410 ) ( * 216750 )
NEW met1 ( 98210 222530 ) ( 102810 * )
NEW met2 ( 102810 221510 ) ( * 222530 )
NEW met2 ( 91770 222530 ) ( * 224230 )
NEW met1 ( 85330 219130 ) ( 91770 * )
NEW met2 ( 91770 219130 ) ( * 222530 )
NEW met1 ( 89930 213010 ) ( * 213350 )
NEW met1 ( 89930 213010 ) ( 91770 * )
NEW met2 ( 91770 213010 ) ( * 219130 )
NEW met1 ( 91770 222530 ) ( 98210 * )
NEW li1 ( 102810 221510 ) L1M1_PR_MR
NEW met1 ( 102810 221510 ) M1M2_PR
NEW met1 ( 103270 216750 ) M1M2_PR
NEW li1 ( 103730 216410 ) L1M1_PR_MR
NEW li1 ( 98210 222530 ) L1M1_PR_MR
NEW met1 ( 102810 222530 ) M1M2_PR
NEW li1 ( 91770 224230 ) L1M1_PR_MR
NEW met1 ( 91770 224230 ) M1M2_PR
NEW met1 ( 91770 222530 ) M1M2_PR
NEW li1 ( 85330 219130 ) L1M1_PR_MR
NEW met1 ( 91770 219130 ) M1M2_PR
NEW li1 ( 89930 213350 ) L1M1_PR_MR
NEW met1 ( 91770 213010 ) M1M2_PR
NEW met1 ( 102810 221510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 91770 224230 ) RECT ( 0 -70 355 70 ) ;
- _068_ ( _158_ C1 ) ( _157_ C1 ) ( _156_ C1 ) ( _155_ C1 ) ( _153_ C1 ) ( _152_ X ) + USE SIGNAL
+ ROUTED met2 ( 87170 213350 ) ( * 218790 )
NEW met1 ( 87170 213350 ) ( 88090 * )
NEW met1 ( 89930 224230 ) ( 90390 * )
NEW met2 ( 90390 218790 ) ( * 224230 )
NEW met1 ( 87170 218790 ) ( 90390 * )
NEW met1 ( 90390 218790 ) ( 99130 * )
NEW met2 ( 100970 218790 ) ( * 221850 )
NEW met1 ( 99130 218790 ) ( 100970 * )
NEW met1 ( 100970 216410 ) ( 101890 * )
NEW met2 ( 100970 216410 ) ( * 218790 )
NEW li1 ( 87170 218790 ) L1M1_PR_MR
NEW met1 ( 87170 218790 ) M1M2_PR
NEW met1 ( 87170 213350 ) M1M2_PR
NEW li1 ( 88090 213350 ) L1M1_PR_MR
NEW li1 ( 89930 224230 ) L1M1_PR_MR
NEW met1 ( 90390 224230 ) M1M2_PR
NEW met1 ( 90390 218790 ) M1M2_PR
NEW li1 ( 99130 218790 ) L1M1_PR_MR
NEW li1 ( 100970 221850 ) L1M1_PR_MR
NEW met1 ( 100970 221850 ) M1M2_PR
NEW met1 ( 100970 218790 ) M1M2_PR
NEW li1 ( 101890 216410 ) L1M1_PR_MR
NEW met1 ( 100970 216410 ) M1M2_PR
NEW met1 ( 87170 218790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 100970 221850 ) RECT ( -355 -70 0 70 ) ;
- _069_ ( _161_ B2 ) ( _158_ B2 ) ( _157_ B2 ) ( _156_ B2 ) ( _155_ B2 ) ( _154_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 214370 ) ( * 216070 )
NEW met1 ( 75670 219130 ) ( 77970 * )
NEW met2 ( 75670 219130 ) ( * 226950 )
NEW met2 ( 83950 218790 ) ( 85790 * )
NEW met1 ( 77970 218790 ) ( 83950 * )
NEW met1 ( 77970 218790 ) ( * 219130 )
NEW met1 ( 91310 224570 ) ( * 224910 )
NEW met1 ( 85790 224910 ) ( 91310 * )
NEW met2 ( 85790 218790 ) ( * 224910 )
NEW met1 ( 85790 213690 ) ( 89470 * )
NEW met2 ( 85790 213690 ) ( * 218790 )
NEW met1 ( 89470 213690 ) ( * 214370 )
NEW met1 ( 89470 214370 ) ( 103270 * )
NEW met1 ( 103270 214370 ) M1M2_PR
NEW li1 ( 103270 216070 ) L1M1_PR_MR
NEW met1 ( 103270 216070 ) M1M2_PR
NEW li1 ( 77970 219130 ) L1M1_PR_MR
NEW met1 ( 75670 219130 ) M1M2_PR
NEW li1 ( 75670 226950 ) L1M1_PR_MR
NEW met1 ( 75670 226950 ) M1M2_PR
NEW li1 ( 85790 218790 ) L1M1_PR_MR
NEW met1 ( 85790 218790 ) M1M2_PR
NEW met1 ( 83950 218790 ) M1M2_PR
NEW li1 ( 91310 224570 ) L1M1_PR_MR
NEW met1 ( 85790 224910 ) M1M2_PR
NEW li1 ( 89470 213690 ) L1M1_PR_MR
NEW met1 ( 85790 213690 ) M1M2_PR
NEW met1 ( 103270 216070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 75670 226950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 85790 218790 ) RECT ( -355 -70 0 70 ) ;
- _070_ ( _166_ A2 ) ( _165_ A2 ) ( _164_ A2 ) ( _163_ A2 ) ( _161_ A2 ) ( _159_ X ) + USE SIGNAL
+ ROUTED met1 ( 69690 226610 ) ( * 226950 )
NEW met1 ( 69690 226610 ) ( 76130 * )
NEW met1 ( 76130 226610 ) ( * 226950 )
NEW met2 ( 72450 222530 ) ( * 226610 )
NEW met2 ( 66010 219130 ) ( * 222530 )
NEW met1 ( 66010 222530 ) ( 72450 * )
NEW met2 ( 62330 221510 ) ( * 226610 )
NEW met1 ( 59570 226610 ) ( * 226950 )
NEW met1 ( 59570 221510 ) ( 66010 * )
NEW met1 ( 59570 226610 ) ( 62330 * )
NEW li1 ( 69690 226950 ) L1M1_PR_MR
NEW li1 ( 76130 226950 ) L1M1_PR_MR
NEW li1 ( 72450 222530 ) L1M1_PR_MR
NEW met1 ( 72450 222530 ) M1M2_PR
NEW met1 ( 72450 226610 ) M1M2_PR
NEW li1 ( 66010 219130 ) L1M1_PR_MR
NEW met1 ( 66010 219130 ) M1M2_PR
NEW met1 ( 66010 222530 ) M1M2_PR
NEW met1 ( 66010 221510 ) M1M2_PR
NEW met1 ( 62330 226610 ) M1M2_PR
NEW met1 ( 62330 221510 ) M1M2_PR
NEW li1 ( 59570 221510 ) L1M1_PR_MR
NEW li1 ( 59570 226950 ) L1M1_PR_MR
NEW met1 ( 72450 222530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 72450 226610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 66010 219130 ) RECT ( 0 -70 355 70 )
NEW met2 ( 66010 221510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 62330 221510 ) RECT ( 0 -70 595 70 ) ;
- _071_ ( _166_ C1 ) ( _165_ C1 ) ( _164_ C1 ) ( _163_ C1 ) ( _161_ C1 ) ( _160_ X ) + USE SIGNAL
+ ROUTED met1 ( 67850 226950 ) ( * 227290 )
NEW met1 ( 74290 227290 ) ( * 227630 )
NEW met1 ( 67850 227630 ) ( 74290 * )
NEW met1 ( 67850 227290 ) ( * 227630 )
NEW met2 ( 60950 216750 ) ( * 218790 )
NEW met1 ( 60950 221850 ) ( 61410 * )
NEW met2 ( 60950 218790 ) ( * 221850 )
NEW met2 ( 60950 221850 ) ( * 226950 )
NEW met1 ( 61410 226950 ) ( * 227290 )
NEW met1 ( 60950 218790 ) ( 64170 * )
NEW met1 ( 60950 226950 ) ( 67850 * )
NEW li1 ( 67850 227290 ) L1M1_PR_MR
NEW li1 ( 74290 227290 ) L1M1_PR_MR
NEW li1 ( 64170 218790 ) L1M1_PR_MR
NEW met1 ( 60950 218790 ) M1M2_PR
NEW li1 ( 60950 216750 ) L1M1_PR_MR
NEW met1 ( 60950 216750 ) M1M2_PR
NEW li1 ( 61410 221850 ) L1M1_PR_MR
NEW met1 ( 60950 221850 ) M1M2_PR
NEW met1 ( 60950 226950 ) M1M2_PR
NEW li1 ( 61410 227290 ) L1M1_PR_MR
NEW met1 ( 60950 216750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 61410 227290 ) RECT ( 0 -70 255 70 ) ;
- _072_ ( _169_ B2 ) ( _166_ B2 ) ( _165_ B2 ) ( _164_ B2 ) ( _163_ B2 ) ( _162_ X ) + USE SIGNAL
+ ROUTED met1 ( 69230 226270 ) ( * 226950 )
NEW met1 ( 64630 219130 ) ( 65550 * )
NEW met2 ( 64630 219130 ) ( * 226270 )
NEW met1 ( 44390 222530 ) ( 50830 * )
NEW met2 ( 44390 222530 ) ( * 227290 )
NEW met1 ( 59110 221850 ) ( 60030 * )
NEW met1 ( 59110 221510 ) ( * 221850 )
NEW met1 ( 49910 221510 ) ( 59110 * )
NEW li1 ( 49910 221510 ) ( * 222530 )
NEW met2 ( 60030 221850 ) ( * 226950 )
NEW met1 ( 60030 226270 ) ( 69230 * )
NEW li1 ( 69230 226950 ) L1M1_PR_MR
NEW li1 ( 65550 219130 ) L1M1_PR_MR
NEW met1 ( 64630 219130 ) M1M2_PR
NEW met1 ( 64630 226270 ) M1M2_PR
NEW li1 ( 50830 222530 ) L1M1_PR_MR
NEW met1 ( 44390 222530 ) M1M2_PR
NEW li1 ( 44390 227290 ) L1M1_PR_MR
NEW met1 ( 44390 227290 ) M1M2_PR
NEW li1 ( 60030 221850 ) L1M1_PR_MR
NEW li1 ( 49910 221510 ) L1M1_PR_MR
NEW li1 ( 49910 222530 ) L1M1_PR_MR
NEW li1 ( 60030 226950 ) L1M1_PR_MR
NEW met1 ( 60030 226950 ) M1M2_PR
NEW met1 ( 60030 221850 ) M1M2_PR
NEW met1 ( 60030 226270 ) M1M2_PR
NEW met1 ( 64630 226270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 44390 227290 ) RECT ( 0 -70 355 70 )
NEW met1 ( 49910 222530 ) RECT ( -595 -70 0 70 )
NEW met1 ( 60030 226950 ) RECT ( 0 -70 355 70 )
NEW met1 ( 60030 221850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 60030 226270 ) RECT ( -70 -485 70 0 ) ;
- _073_ ( _174_ A2 ) ( _173_ A2 ) ( _172_ A2 ) ( _171_ A2 ) ( _169_ A2 ) ( _167_ X ) + USE SIGNAL
+ ROUTED met1 ( 25990 213690 ) ( 33810 * )
NEW met1 ( 34270 226610 ) ( * 226950 )
NEW met1 ( 33810 226610 ) ( 34270 * )
NEW met2 ( 33810 213690 ) ( * 226610 )
NEW met1 ( 24610 226610 ) ( * 226950 )
NEW met1 ( 24610 226610 ) ( 33810 * )
NEW met1 ( 44850 226610 ) ( * 226950 )
NEW met1 ( 44390 226610 ) ( 44850 * )
NEW met1 ( 44390 226270 ) ( * 226610 )
NEW met1 ( 34270 226270 ) ( 44390 * )
NEW met1 ( 34270 226270 ) ( * 226610 )
NEW met1 ( 33810 222190 ) ( 45310 * )
NEW li1 ( 33810 213690 ) L1M1_PR_MR
NEW li1 ( 25990 213690 ) L1M1_PR_MR
NEW li1 ( 34270 226950 ) L1M1_PR_MR
NEW met1 ( 33810 226610 ) M1M2_PR
NEW met1 ( 33810 213690 ) M1M2_PR
NEW li1 ( 24610 226950 ) L1M1_PR_MR
NEW li1 ( 44850 226950 ) L1M1_PR_MR
NEW li1 ( 45310 222190 ) L1M1_PR_MR
NEW met1 ( 33810 222190 ) M1M2_PR
NEW met1 ( 33810 213690 ) RECT ( -595 -70 0 70 )
NEW met2 ( 33810 222190 ) RECT ( -70 -485 70 0 ) ;
- _074_ ( _174_ C1 ) ( _173_ C1 ) ( _172_ C1 ) ( _171_ C1 ) ( _169_ C1 ) ( _168_ X ) + USE SIGNAL
+ ROUTED met2 ( 50370 217090 ) ( * 227630 )
NEW met1 ( 50370 217090 ) ( 53130 * )
NEW met1 ( 43010 227290 ) ( * 227630 )
NEW met1 ( 36110 226950 ) ( * 227290 )
NEW met1 ( 36110 226950 ) ( 39790 * )
NEW met1 ( 39790 226950 ) ( * 227290 )
NEW met1 ( 39790 227290 ) ( 43010 * )
NEW met2 ( 22770 227290 ) ( * 227460 )
NEW met3 ( 22770 227460 ) ( 36110 * )
NEW met2 ( 36110 227290 ) ( * 227460 )
NEW met1 ( 24150 213350 ) ( 24610 * )
NEW met2 ( 24610 213350 ) ( * 227460 )
NEW met2 ( 31970 212670 ) ( * 213350 )
NEW met1 ( 24610 212670 ) ( 31970 * )
NEW met1 ( 24610 212670 ) ( * 213350 )
NEW met1 ( 43010 227630 ) ( 50370 * )
NEW met1 ( 50370 227630 ) M1M2_PR
NEW met1 ( 50370 217090 ) M1M2_PR
NEW li1 ( 53130 217090 ) L1M1_PR_MR
NEW li1 ( 43010 227290 ) L1M1_PR_MR
NEW li1 ( 36110 227290 ) L1M1_PR_MR
NEW li1 ( 22770 227290 ) L1M1_PR_MR
NEW met1 ( 22770 227290 ) M1M2_PR
NEW met2 ( 22770 227460 ) M2M3_PR_M
NEW met2 ( 36110 227460 ) M2M3_PR_M
NEW met1 ( 36110 227290 ) M1M2_PR
NEW li1 ( 24150 213350 ) L1M1_PR_MR
NEW met1 ( 24610 213350 ) M1M2_PR
NEW met2 ( 24610 227460 ) M2M3_PR_M
NEW li1 ( 31970 213350 ) L1M1_PR_MR
NEW met1 ( 31970 213350 ) M1M2_PR
NEW met1 ( 31970 212670 ) M1M2_PR
NEW met1 ( 22770 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 36110 227290 ) RECT ( 0 -70 595 70 )
NEW met3 ( 24610 227460 ) RECT ( -800 -150 0 150 )
NEW met1 ( 31970 213350 ) RECT ( -355 -70 0 70 ) ;
- _075_ ( _175_ B2 ) ( _174_ B2 ) ( _173_ B2 ) ( _172_ B2 ) ( _171_ B2 ) ( _170_ X ) + USE SIGNAL
+ ROUTED met2 ( 24150 224570 ) ( * 226950 )
NEW met1 ( 14950 224570 ) ( 24150 * )
NEW met1 ( 34730 226950 ) ( 35190 * )
NEW met1 ( 35190 226610 ) ( * 226950 )
NEW met2 ( 35190 224570 ) ( * 226610 )
NEW met1 ( 24150 224570 ) ( 35190 * )
NEW met1 ( 35190 219470 ) ( 37950 * )
NEW met2 ( 35190 219470 ) ( * 224570 )
NEW met1 ( 33350 213010 ) ( * 213350 )
NEW met1 ( 33350 213010 ) ( 35650 * )
NEW met2 ( 35650 213010 ) ( * 217260 )
NEW met2 ( 35190 217260 ) ( 35650 * )
NEW met2 ( 35190 217260 ) ( * 219470 )
NEW met1 ( 25530 213010 ) ( * 213350 )
NEW met1 ( 25530 213010 ) ( 33350 * )
NEW li1 ( 24150 226950 ) L1M1_PR_MR
NEW met1 ( 24150 226950 ) M1M2_PR
NEW met1 ( 24150 224570 ) M1M2_PR
NEW li1 ( 14950 224570 ) L1M1_PR_MR
NEW li1 ( 34730 226950 ) L1M1_PR_MR
NEW met1 ( 35190 226610 ) M1M2_PR
NEW met1 ( 35190 224570 ) M1M2_PR
NEW li1 ( 37950 219470 ) L1M1_PR_MR
NEW met1 ( 35190 219470 ) M1M2_PR
NEW li1 ( 33350 213350 ) L1M1_PR_MR
NEW met1 ( 35650 213010 ) M1M2_PR
NEW li1 ( 25530 213350 ) L1M1_PR_MR
NEW met1 ( 24150 226950 ) RECT ( -355 -70 0 70 ) ;
- _076_ ( _184_ B ) ( _180_ B ) ( _179_ X ) + USE SIGNAL
+ ROUTED met1 ( 40710 20570 ) ( 41170 * )
NEW met2 ( 40710 20570 ) ( * 27710 )
NEW met1 ( 38410 27710 ) ( 40710 * )
NEW met1 ( 41170 19890 ) ( 46690 * )
NEW met1 ( 41170 19890 ) ( * 20570 )
NEW li1 ( 41170 20570 ) L1M1_PR_MR
NEW met1 ( 40710 20570 ) M1M2_PR
NEW met1 ( 40710 27710 ) M1M2_PR
NEW li1 ( 38410 27710 ) L1M1_PR_MR
NEW li1 ( 46690 19890 ) L1M1_PR_MR ;
- _077_ ( ANTENNA__181__A DIODE ) ( ANTENNA__232__D DIODE ) ( _232_ D ) ( _181_ A ) ( _180_ X ) + USE SIGNAL
+ ROUTED met2 ( 60950 22780 ) ( * 36890 )
NEW met1 ( 42550 21250 ) ( 43010 * )
NEW met2 ( 42550 21250 ) ( * 22780 )
NEW met2 ( 46690 15130 ) ( * 15300 )
NEW met3 ( 43700 15300 ) ( 46690 * )
NEW met4 ( 43700 15300 ) ( * 22780 )
NEW met1 ( 44390 22950 ) ( 44850 * )
NEW met2 ( 44390 22780 ) ( * 22950 )
NEW met3 ( 42550 22780 ) ( 60950 * )
NEW met1 ( 215510 38590 ) ( 221490 * )
NEW met2 ( 215510 36890 ) ( * 38590 )
NEW met1 ( 221950 36890 ) ( 222410 * )
NEW met1 ( 221950 36890 ) ( * 37230 )
NEW met1 ( 221490 37230 ) ( 221950 * )
NEW met2 ( 221490 37230 ) ( * 38590 )
NEW met1 ( 60950 36890 ) ( 215510 * )
NEW met2 ( 60950 22780 ) M2M3_PR_M
NEW met1 ( 60950 36890 ) M1M2_PR
NEW li1 ( 43010 21250 ) L1M1_PR_MR
NEW met1 ( 42550 21250 ) M1M2_PR
NEW met2 ( 42550 22780 ) M2M3_PR_M
NEW li1 ( 46690 15130 ) L1M1_PR_MR
NEW met1 ( 46690 15130 ) M1M2_PR
NEW met2 ( 46690 15300 ) M2M3_PR_M
NEW met3 ( 43700 15300 ) M3M4_PR_M
NEW met3 ( 43700 22780 ) M3M4_PR_M
NEW li1 ( 44850 22950 ) L1M1_PR_MR
NEW met1 ( 44390 22950 ) M1M2_PR
NEW met2 ( 44390 22780 ) M2M3_PR_M
NEW li1 ( 221490 38590 ) L1M1_PR_MR
NEW met1 ( 215510 38590 ) M1M2_PR
NEW met1 ( 215510 36890 ) M1M2_PR
NEW li1 ( 222410 36890 ) L1M1_PR_MR
NEW met1 ( 221490 37230 ) M1M2_PR
NEW met1 ( 221490 38590 ) M1M2_PR
NEW met1 ( 46690 15130 ) RECT ( -355 -70 0 70 )
NEW met3 ( 43700 22780 ) RECT ( -800 -150 0 150 )
NEW met3 ( 44390 22780 ) RECT ( -800 -150 0 150 )
NEW met1 ( 221490 38590 ) RECT ( -595 -70 0 70 ) ;
- _078_ ( _221_ A ) ( _214_ A ) ( _207_ A ) ( _182_ A ) ( _181_ Y ) + USE SIGNAL
+ ROUTED met1 ( 231150 71910 ) ( 233910 * )
NEW met2 ( 233910 71910 ) ( * 80410 )
NEW met1 ( 233910 80410 ) ( 238050 * )
NEW met3 ( 229310 63580 ) ( 233910 * )
NEW met2 ( 233910 63580 ) ( * 71910 )
NEW met2 ( 228390 37230 ) ( * 39270 )
NEW met1 ( 222870 37230 ) ( 228390 * )
NEW met1 ( 230690 55590 ) ( 231150 * )
NEW met2 ( 230690 39270 ) ( * 55590 )
NEW met1 ( 228390 39270 ) ( 230690 * )
NEW met1 ( 229310 55590 ) ( 230690 * )
NEW met2 ( 229310 55590 ) ( * 63580 )
NEW li1 ( 231150 71910 ) L1M1_PR_MR
NEW met1 ( 233910 71910 ) M1M2_PR
NEW met1 ( 233910 80410 ) M1M2_PR
NEW li1 ( 238050 80410 ) L1M1_PR_MR
NEW met2 ( 229310 63580 ) M2M3_PR_M
NEW met2 ( 233910 63580 ) M2M3_PR_M
NEW li1 ( 228390 39270 ) L1M1_PR_MR
NEW met1 ( 228390 39270 ) M1M2_PR
NEW met1 ( 228390 37230 ) M1M2_PR
NEW li1 ( 222870 37230 ) L1M1_PR_MR
NEW li1 ( 231150 55590 ) L1M1_PR_MR
NEW met1 ( 230690 55590 ) M1M2_PR
NEW met1 ( 230690 39270 ) M1M2_PR
NEW met1 ( 229310 55590 ) M1M2_PR
NEW met1 ( 228390 39270 ) RECT ( -355 -70 0 70 ) ;
- _079_ ( _229_ A2 ) ( _228_ A2 ) ( _200_ A ) ( _193_ A ) ( _183_ A ) ( _182_ X ) + USE SIGNAL
+ ROUTED met1 ( 233910 96390 ) ( * 97070 )
NEW met1 ( 232070 97070 ) ( 233910 * )
NEW met2 ( 232070 63580 ) ( * 97070 )
NEW met2 ( 232070 63580 ) ( 232530 * )
NEW met2 ( 232070 97070 ) ( * 99110 )
NEW met2 ( 229310 54060 ) ( 229770 * )
NEW met2 ( 229770 54060 ) ( * 56270 )
NEW met1 ( 229770 56270 ) ( 230690 * )
NEW met2 ( 230690 56270 ) ( * 60350 )
NEW met1 ( 230690 60350 ) ( * 60690 )
NEW met1 ( 230690 60690 ) ( 232070 * )
NEW met1 ( 232070 60690 ) ( * 61030 )
NEW met1 ( 232070 61030 ) ( 232530 * )
NEW met2 ( 232530 61030 ) ( * 63580 )
NEW met2 ( 229310 48300 ) ( * 54060 )
NEW met1 ( 228850 40290 ) ( 229310 * )
NEW met2 ( 228850 40290 ) ( * 48300 )
NEW met2 ( 228850 48300 ) ( 229310 * )
NEW met1 ( 238050 41650 ) ( * 42330 )
NEW met1 ( 228850 41650 ) ( 238050 * )
NEW met1 ( 237590 31450 ) ( 238050 * )
NEW met2 ( 237590 31450 ) ( * 41650 )
NEW met1 ( 228110 28050 ) ( 237590 * )
NEW met2 ( 237590 28050 ) ( * 31450 )
NEW li1 ( 233910 96390 ) L1M1_PR_MR
NEW met1 ( 232070 97070 ) M1M2_PR
NEW li1 ( 232070 99110 ) L1M1_PR_MR
NEW met1 ( 232070 99110 ) M1M2_PR
NEW met1 ( 229770 56270 ) M1M2_PR
NEW met1 ( 230690 56270 ) M1M2_PR
NEW met1 ( 230690 60350 ) M1M2_PR
NEW met1 ( 232530 61030 ) M1M2_PR
NEW li1 ( 229310 40290 ) L1M1_PR_MR
NEW met1 ( 228850 40290 ) M1M2_PR
NEW li1 ( 238050 42330 ) L1M1_PR_MR
NEW met1 ( 228850 41650 ) M1M2_PR
NEW li1 ( 238050 31450 ) L1M1_PR_MR
NEW met1 ( 237590 31450 ) M1M2_PR
NEW met1 ( 237590 41650 ) M1M2_PR
NEW li1 ( 228110 28050 ) L1M1_PR_MR
NEW met1 ( 237590 28050 ) M1M2_PR
NEW met1 ( 232070 99110 ) RECT ( 0 -70 355 70 )
NEW met2 ( 228850 41650 ) RECT ( -70 -485 70 0 )
NEW met1 ( 237590 41650 ) RECT ( -595 -70 0 70 ) ;
- _080_ ( _192_ A2 ) ( _191_ A2 ) ( _190_ A2 ) ( _189_ A2 ) ( _188_ A2 ) ( _183_ X ) + USE SIGNAL
+ ROUTED met1 ( 227470 25670 ) ( 229310 * )
NEW met2 ( 229310 25670 ) ( * 28390 )
NEW met1 ( 227010 28390 ) ( 229310 * )
NEW met1 ( 229310 23290 ) ( 229770 * )
NEW met2 ( 229310 23290 ) ( * 25670 )
NEW met1 ( 227010 20230 ) ( 227470 * )
NEW met2 ( 227010 20230 ) ( * 25670 )
NEW met1 ( 227010 25670 ) ( 227470 * )
NEW met1 ( 226525 17510 ) ( 226550 * )
NEW met2 ( 226550 17510 ) ( 227010 * )
NEW met2 ( 227010 17510 ) ( * 20230 )
NEW met1 ( 220545 22950 ) ( * 23630 )
NEW met1 ( 220545 23630 ) ( 227010 * )
NEW li1 ( 227470 25670 ) L1M1_PR_MR
NEW met1 ( 229310 25670 ) M1M2_PR
NEW met1 ( 229310 28390 ) M1M2_PR
NEW li1 ( 227010 28390 ) L1M1_PR_MR
NEW li1 ( 229770 23290 ) L1M1_PR_MR
NEW met1 ( 229310 23290 ) M1M2_PR
NEW li1 ( 227470 20230 ) L1M1_PR_MR
NEW met1 ( 227010 20230 ) M1M2_PR
NEW met1 ( 227010 25670 ) M1M2_PR
NEW li1 ( 226525 17510 ) L1M1_PR_MR
NEW met1 ( 226550 17510 ) M1M2_PR
NEW li1 ( 220545 22950 ) L1M1_PR_MR
NEW met1 ( 227010 23630 ) M1M2_PR
NEW met1 ( 226525 17510 ) RECT ( -330 -70 0 70 )
NEW met2 ( 227010 23630 ) RECT ( -70 -485 70 0 ) ;
- _081_ ( ANTENNA__185__A DIODE ) ( ANTENNA__230__C DIODE ) ( _230_ C ) ( _185_ A ) ( _184_ X ) + USE SIGNAL
+ ROUTED met1 ( 215970 35870 ) ( 216430 * )
NEW met2 ( 215970 31110 ) ( * 35870 )
NEW met1 ( 207000 31110 ) ( 215970 * )
NEW met1 ( 207000 30770 ) ( * 31110 )
NEW met1 ( 215970 36890 ) ( 219190 * )
NEW met2 ( 215970 35870 ) ( * 36890 )
NEW met1 ( 54970 26350 ) ( 56810 * )
NEW met2 ( 56810 26350 ) ( * 30770 )
NEW met2 ( 48530 20230 ) ( * 22270 )
NEW met1 ( 48530 22270 ) ( 53130 * )
NEW met2 ( 53130 22270 ) ( * 26350 )
NEW met1 ( 53130 26350 ) ( 54970 * )
NEW met1 ( 44390 26010 ) ( 48990 * )
NEW met1 ( 48990 25670 ) ( * 26010 )
NEW met1 ( 48990 25670 ) ( 53130 * )
NEW met1 ( 56810 30770 ) ( 207000 * )
NEW li1 ( 216430 35870 ) L1M1_PR_MR
NEW met1 ( 215970 35870 ) M1M2_PR
NEW met1 ( 215970 31110 ) M1M2_PR
NEW li1 ( 219190 36890 ) L1M1_PR_MR
NEW met1 ( 215970 36890 ) M1M2_PR
NEW li1 ( 54970 26350 ) L1M1_PR_MR
NEW met1 ( 56810 26350 ) M1M2_PR
NEW met1 ( 56810 30770 ) M1M2_PR
NEW li1 ( 48530 20230 ) L1M1_PR_MR
NEW met1 ( 48530 20230 ) M1M2_PR
NEW met1 ( 48530 22270 ) M1M2_PR
NEW met1 ( 53130 22270 ) M1M2_PR
NEW met1 ( 53130 26350 ) M1M2_PR
NEW li1 ( 44390 26010 ) L1M1_PR_MR
NEW met1 ( 53130 25670 ) M1M2_PR
NEW met1 ( 48530 20230 ) RECT ( 0 -70 355 70 )
NEW met2 ( 53130 25670 ) RECT ( -70 -485 70 0 ) ;
- _082_ ( _222_ A ) ( _215_ A ) ( _208_ A ) ( _186_ A ) ( _185_ Y ) + USE SIGNAL
+ ROUTED met1 ( 227470 71910 ) ( 230230 * )
NEW met2 ( 230230 63070 ) ( * 71910 )
NEW met1 ( 230230 63070 ) ( 232070 * )
NEW met1 ( 232530 80410 ) ( 232990 * )
NEW met2 ( 232530 78030 ) ( * 80410 )
NEW met1 ( 230230 78030 ) ( 232530 * )
NEW met2 ( 230230 71910 ) ( * 78030 )
NEW met1 ( 232070 53210 ) ( 232990 * )
NEW met1 ( 229770 53210 ) ( 232070 * )
NEW met2 ( 232070 53210 ) ( * 63070 )
NEW met1 ( 225630 36890 ) ( 229770 * )
NEW met1 ( 219650 36890 ) ( 220570 * )
NEW met2 ( 220570 36210 ) ( * 36890 )
NEW met1 ( 220570 36210 ) ( 225630 * )
NEW met2 ( 225630 36210 ) ( * 36890 )
NEW met2 ( 229770 36890 ) ( * 53210 )
NEW li1 ( 227470 71910 ) L1M1_PR_MR
NEW met1 ( 230230 71910 ) M1M2_PR
NEW met1 ( 230230 63070 ) M1M2_PR
NEW met1 ( 232070 63070 ) M1M2_PR
NEW li1 ( 232990 80410 ) L1M1_PR_MR
NEW met1 ( 232530 80410 ) M1M2_PR
NEW met1 ( 232530 78030 ) M1M2_PR
NEW met1 ( 230230 78030 ) M1M2_PR
NEW li1 ( 232990 53210 ) L1M1_PR_MR
NEW met1 ( 232070 53210 ) M1M2_PR
NEW met1 ( 229770 53210 ) M1M2_PR
NEW li1 ( 225630 36890 ) L1M1_PR_MR
NEW met1 ( 229770 36890 ) M1M2_PR
NEW li1 ( 219650 36890 ) L1M1_PR_MR
NEW met1 ( 220570 36890 ) M1M2_PR
NEW met1 ( 220570 36210 ) M1M2_PR
NEW met1 ( 225630 36210 ) M1M2_PR
NEW met1 ( 225630 36890 ) M1M2_PR
NEW met1 ( 225630 36890 ) RECT ( -595 -70 0 70 ) ;
- _083_ ( _229_ B2 ) ( _228_ B2 ) ( _201_ A ) ( _194_ A ) ( _187_ A ) ( _186_ X ) + USE SIGNAL
+ ROUTED met1 ( 231150 96730 ) ( 231610 * )
NEW met1 ( 228850 98770 ) ( * 99110 )
NEW met1 ( 228850 98770 ) ( 231150 * )
NEW met2 ( 231150 96730 ) ( * 98770 )
NEW met1 ( 226090 36210 ) ( 226550 * )
NEW met2 ( 226090 36210 ) ( * 44710 )
NEW met1 ( 226090 44710 ) ( 231150 * )
NEW met2 ( 226090 31450 ) ( * 36210 )
NEW met1 ( 230690 28390 ) ( 231150 * )
NEW li1 ( 230690 28390 ) ( * 29070 )
NEW met1 ( 226090 29070 ) ( 230690 * )
NEW met2 ( 226090 29070 ) ( * 31450 )
NEW met2 ( 231150 44710 ) ( * 96730 )
NEW li1 ( 231610 96730 ) L1M1_PR_MR
NEW met1 ( 231150 96730 ) M1M2_PR
NEW li1 ( 228850 99110 ) L1M1_PR_MR
NEW met1 ( 231150 98770 ) M1M2_PR
NEW li1 ( 231150 44710 ) L1M1_PR_MR
NEW met1 ( 231150 44710 ) M1M2_PR
NEW li1 ( 226550 36210 ) L1M1_PR_MR
NEW met1 ( 226090 36210 ) M1M2_PR
NEW met1 ( 226090 44710 ) M1M2_PR
NEW li1 ( 226090 31450 ) L1M1_PR_MR
NEW met1 ( 226090 31450 ) M1M2_PR
NEW li1 ( 231150 28390 ) L1M1_PR_MR
NEW li1 ( 230690 28390 ) L1M1_PR_MR
NEW li1 ( 230690 29070 ) L1M1_PR_MR
NEW met1 ( 226090 29070 ) M1M2_PR
NEW met1 ( 231150 44710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 226090 31450 ) RECT ( -355 -70 0 70 ) ;
- _084_ ( _192_ B2 ) ( _191_ B2 ) ( _190_ B2 ) ( _189_ B2 ) ( _188_ B2 ) ( _187_ X ) + USE SIGNAL
+ ROUTED met2 ( 225630 25670 ) ( * 30430 )
NEW met1 ( 225630 23290 ) ( 227470 * )
NEW met2 ( 225630 23290 ) ( * 25670 )
NEW met2 ( 225630 20230 ) ( * 23290 )
NEW met1 ( 222410 22950 ) ( * 23290 )
NEW met1 ( 222410 23290 ) ( 225630 * )
NEW met2 ( 228390 15980 ) ( * 17510 )
NEW met3 ( 225630 15980 ) ( 228390 * )
NEW met2 ( 225630 15980 ) ( * 20230 )
NEW li1 ( 225630 25670 ) L1M1_PR_MR
NEW met1 ( 225630 25670 ) M1M2_PR
NEW li1 ( 225630 30430 ) L1M1_PR_MR
NEW met1 ( 225630 30430 ) M1M2_PR
NEW li1 ( 227470 23290 ) L1M1_PR_MR
NEW met1 ( 225630 23290 ) M1M2_PR
NEW li1 ( 225630 20230 ) L1M1_PR_MR
NEW met1 ( 225630 20230 ) M1M2_PR
NEW li1 ( 222410 22950 ) L1M1_PR_MR
NEW li1 ( 228390 17510 ) L1M1_PR_MR
NEW met1 ( 228390 17510 ) M1M2_PR
NEW met2 ( 228390 15980 ) M2M3_PR_M
NEW met2 ( 225630 15980 ) M2M3_PR_M
NEW met1 ( 225630 25670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 225630 30430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 225630 20230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 228390 17510 ) RECT ( -355 -70 0 70 ) ;
- _085_ ( _199_ A2 ) ( _198_ A2 ) ( _197_ A2 ) ( _196_ A2 ) ( _195_ A2 ) ( _193_ X ) + USE SIGNAL
+ ROUTED met2 ( 240350 33830 ) ( * 39270 )
NEW met1 ( 238970 32130 ) ( 240350 * )
NEW met2 ( 240350 32130 ) ( * 33830 )
NEW met2 ( 240350 28390 ) ( * 32130 )
NEW met1 ( 235290 31450 ) ( * 31790 )
NEW met1 ( 235290 31790 ) ( 238970 * )
NEW met1 ( 238970 31790 ) ( * 32130 )
NEW met2 ( 240350 22950 ) ( * 28390 )
NEW li1 ( 240350 33830 ) L1M1_PR_MR
NEW met1 ( 240350 33830 ) M1M2_PR
NEW li1 ( 240350 39270 ) L1M1_PR_MR
NEW met1 ( 240350 39270 ) M1M2_PR
NEW li1 ( 238970 32130 ) L1M1_PR_MR
NEW met1 ( 240350 32130 ) M1M2_PR
NEW li1 ( 240350 28390 ) L1M1_PR_MR
NEW met1 ( 240350 28390 ) M1M2_PR
NEW li1 ( 235290 31450 ) L1M1_PR_MR
NEW li1 ( 240350 22950 ) L1M1_PR_MR
NEW met1 ( 240350 22950 ) M1M2_PR
NEW met1 ( 240350 33830 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 39270 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 28390 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 22950 ) RECT ( 0 -70 355 70 ) ;
- _086_ ( _199_ B2 ) ( _198_ B2 ) ( _197_ B2 ) ( _196_ B2 ) ( _195_ B2 ) ( _194_ X ) + USE SIGNAL
+ ROUTED met2 ( 237130 34170 ) ( * 39270 )
NEW met2 ( 237130 28390 ) ( * 34170 )
NEW met1 ( 232990 30770 ) ( * 31110 )
NEW met1 ( 232990 30770 ) ( 237130 * )
NEW met1 ( 232070 29410 ) ( 237130 * )
NEW met2 ( 237130 23290 ) ( * 28390 )
NEW li1 ( 237130 34170 ) L1M1_PR_MR
NEW met1 ( 237130 34170 ) M1M2_PR
NEW li1 ( 237130 39270 ) L1M1_PR_MR
NEW met1 ( 237130 39270 ) M1M2_PR
NEW li1 ( 237130 28390 ) L1M1_PR_MR
NEW met1 ( 237130 28390 ) M1M2_PR
NEW li1 ( 232990 31110 ) L1M1_PR_MR
NEW met1 ( 237130 30770 ) M1M2_PR
NEW li1 ( 232070 29410 ) L1M1_PR_MR
NEW met1 ( 237130 29410 ) M1M2_PR
NEW li1 ( 237130 23290 ) L1M1_PR_MR
NEW met1 ( 237130 23290 ) M1M2_PR
NEW met1 ( 237130 34170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 237130 39270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 237130 28390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 237130 30770 ) RECT ( -70 -485 70 0 )
NEW met2 ( 237130 29410 ) RECT ( -70 -485 70 0 )
NEW met1 ( 237130 23290 ) RECT ( -355 -70 0 70 ) ;
- _087_ ( _206_ A2 ) ( _205_ A2 ) ( _204_ A2 ) ( _203_ A2 ) ( _202_ A2 ) ( _200_ X ) + USE SIGNAL
+ ROUTED met2 ( 240350 44710 ) ( * 50150 )
NEW met1 ( 238970 43010 ) ( 240350 * )
NEW met2 ( 240350 43010 ) ( * 44710 )
NEW met1 ( 235290 47770 ) ( 240350 * )
NEW met1 ( 235290 42330 ) ( * 42670 )
NEW met1 ( 235290 42670 ) ( 238970 * )
NEW met1 ( 238970 42670 ) ( * 43010 )
NEW met2 ( 233910 36550 ) ( * 42670 )
NEW met1 ( 233910 42670 ) ( 235290 * )
NEW li1 ( 240350 44710 ) L1M1_PR_MR
NEW met1 ( 240350 44710 ) M1M2_PR
NEW li1 ( 240350 50150 ) L1M1_PR_MR
NEW met1 ( 240350 50150 ) M1M2_PR
NEW li1 ( 238970 43010 ) L1M1_PR_MR
NEW met1 ( 240350 43010 ) M1M2_PR
NEW li1 ( 235290 47770 ) L1M1_PR_MR
NEW met1 ( 240350 47770 ) M1M2_PR
NEW li1 ( 235290 42330 ) L1M1_PR_MR
NEW li1 ( 233910 36550 ) L1M1_PR_MR
NEW met1 ( 233910 36550 ) M1M2_PR
NEW met1 ( 233910 42670 ) M1M2_PR
NEW met1 ( 240350 44710 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 50150 ) RECT ( 0 -70 355 70 )
NEW met2 ( 240350 47770 ) RECT ( -70 -485 70 0 )
NEW met1 ( 233910 36550 ) RECT ( -355 -70 0 70 ) ;
- _088_ ( _206_ B2 ) ( _205_ B2 ) ( _204_ B2 ) ( _203_ B2 ) ( _202_ B2 ) ( _201_ X ) + USE SIGNAL
+ ROUTED met2 ( 237130 45050 ) ( * 50150 )
NEW met1 ( 232070 45730 ) ( 237130 * )
NEW met1 ( 232990 47430 ) ( 233910 * )
NEW met2 ( 233910 45730 ) ( * 47430 )
NEW met2 ( 232070 41990 ) ( * 45730 )
NEW met2 ( 232070 36550 ) ( * 41990 )
NEW li1 ( 237130 45050 ) L1M1_PR_MR
NEW met1 ( 237130 45050 ) M1M2_PR
NEW li1 ( 237130 50150 ) L1M1_PR_MR
NEW met1 ( 237130 50150 ) M1M2_PR
NEW li1 ( 232070 45730 ) L1M1_PR_MR
NEW met1 ( 237130 45730 ) M1M2_PR
NEW li1 ( 232990 47430 ) L1M1_PR_MR
NEW met1 ( 233910 47430 ) M1M2_PR
NEW met1 ( 233910 45730 ) M1M2_PR
NEW li1 ( 232070 41990 ) L1M1_PR_MR
NEW met1 ( 232070 41990 ) M1M2_PR
NEW met1 ( 232070 45730 ) M1M2_PR
NEW li1 ( 232070 36550 ) L1M1_PR_MR
NEW met1 ( 232070 36550 ) M1M2_PR
NEW met1 ( 237130 45050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 237130 50150 ) RECT ( -355 -70 0 70 )
NEW met2 ( 237130 45730 ) RECT ( -70 -485 70 0 )
NEW met1 ( 233910 45730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 232070 41990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 232070 45730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 232070 36550 ) RECT ( -355 -70 0 70 ) ;
- _089_ ( _213_ A2 ) ( _212_ A2 ) ( _211_ A2 ) ( _210_ A2 ) ( _209_ A2 ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 239430 61370 ) ( 240350 * )
NEW met2 ( 240350 61370 ) ( * 66470 )
NEW met1 ( 235290 64090 ) ( 240350 * )
NEW met2 ( 234830 58650 ) ( * 64090 )
NEW met1 ( 234830 64090 ) ( 235290 * )
NEW met2 ( 240350 55590 ) ( * 61370 )
NEW met1 ( 232070 56610 ) ( 234830 * )
NEW met2 ( 234830 56610 ) ( * 58650 )
NEW li1 ( 239430 61370 ) L1M1_PR_MR
NEW met1 ( 240350 61370 ) M1M2_PR
NEW li1 ( 240350 66470 ) L1M1_PR_MR
NEW met1 ( 240350 66470 ) M1M2_PR
NEW li1 ( 235290 64090 ) L1M1_PR_MR
NEW met1 ( 240350 64090 ) M1M2_PR
NEW li1 ( 234830 58650 ) L1M1_PR_MR
NEW met1 ( 234830 58650 ) M1M2_PR
NEW met1 ( 234830 64090 ) M1M2_PR
NEW li1 ( 240350 55590 ) L1M1_PR_MR
NEW met1 ( 240350 55590 ) M1M2_PR
NEW li1 ( 232070 56610 ) L1M1_PR_MR
NEW met1 ( 234830 56610 ) M1M2_PR
NEW met1 ( 240350 66470 ) RECT ( 0 -70 355 70 )
NEW met2 ( 240350 64090 ) RECT ( -70 -485 70 0 )
NEW met1 ( 234830 58650 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 55590 ) RECT ( 0 -70 355 70 ) ;
- _090_ ( _213_ B2 ) ( _212_ B2 ) ( _211_ B2 ) ( _210_ B2 ) ( _209_ B2 ) ( _208_ X ) + USE SIGNAL
+ ROUTED met2 ( 232990 63750 ) ( * 66470 )
NEW met1 ( 232990 66470 ) ( 237130 * )
NEW met1 ( 232990 63410 ) ( 234370 * )
NEW met1 ( 232990 63410 ) ( * 63750 )
NEW met1 ( 234370 55930 ) ( 237130 * )
NEW met2 ( 234370 55930 ) ( * 61030 )
NEW met1 ( 234370 61030 ) ( 237130 * )
NEW met1 ( 232990 57970 ) ( * 58310 )
NEW met1 ( 232990 57970 ) ( 234370 * )
NEW met1 ( 233910 53890 ) ( 234370 * )
NEW met2 ( 234370 53890 ) ( * 55930 )
NEW met2 ( 234370 61030 ) ( * 63410 )
NEW li1 ( 232990 63750 ) L1M1_PR_MR
NEW met1 ( 232990 63750 ) M1M2_PR
NEW met1 ( 232990 66470 ) M1M2_PR
NEW li1 ( 237130 66470 ) L1M1_PR_MR
NEW met1 ( 234370 63410 ) M1M2_PR
NEW li1 ( 237130 55930 ) L1M1_PR_MR
NEW met1 ( 234370 55930 ) M1M2_PR
NEW met1 ( 234370 61030 ) M1M2_PR
NEW li1 ( 237130 61030 ) L1M1_PR_MR
NEW li1 ( 232990 58310 ) L1M1_PR_MR
NEW met1 ( 234370 57970 ) M1M2_PR
NEW li1 ( 233910 53890 ) L1M1_PR_MR
NEW met1 ( 234370 53890 ) M1M2_PR
NEW met1 ( 232990 63750 ) RECT ( -355 -70 0 70 )
NEW met2 ( 234370 57970 ) RECT ( -70 -485 70 0 ) ;
- _091_ ( _220_ A2 ) ( _219_ A2 ) ( _218_ A2 ) ( _217_ A2 ) ( _216_ A2 ) ( _214_ X ) + USE SIGNAL
+ ROUTED met2 ( 240350 77350 ) ( * 82790 )
NEW met2 ( 240350 71910 ) ( * 77350 )
NEW met1 ( 235290 74970 ) ( 240350 * )
NEW met2 ( 235290 69530 ) ( * 74970 )
NEW met1 ( 232070 72930 ) ( 235290 * )
NEW li1 ( 240350 77350 ) L1M1_PR_MR
NEW met1 ( 240350 77350 ) M1M2_PR
NEW li1 ( 240350 82790 ) L1M1_PR_MR
NEW met1 ( 240350 82790 ) M1M2_PR
NEW li1 ( 240350 71910 ) L1M1_PR_MR
NEW met1 ( 240350 71910 ) M1M2_PR
NEW li1 ( 235290 74970 ) L1M1_PR_MR
NEW met1 ( 240350 74970 ) M1M2_PR
NEW li1 ( 235290 69530 ) L1M1_PR_MR
NEW met1 ( 235290 69530 ) M1M2_PR
NEW met1 ( 235290 74970 ) M1M2_PR
NEW li1 ( 232070 72930 ) L1M1_PR_MR
NEW met1 ( 235290 72930 ) M1M2_PR
NEW met1 ( 240350 77350 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 82790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 240350 71910 ) RECT ( 0 -70 355 70 )
NEW met2 ( 240350 74970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 235290 69530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235290 74970 ) RECT ( 0 -70 595 70 )
NEW met2 ( 235290 72930 ) RECT ( -70 -485 70 0 ) ;
- _092_ ( _220_ B2 ) ( _219_ B2 ) ( _218_ B2 ) ( _217_ B2 ) ( _216_ B2 ) ( _215_ X ) + USE SIGNAL
+ ROUTED met2 ( 237130 77690 ) ( * 82790 )
NEW met2 ( 237130 72250 ) ( * 77690 )
NEW met1 ( 232070 74630 ) ( 237130 * )
NEW met1 ( 232990 69190 ) ( 237130 * )
NEW met2 ( 237130 69190 ) ( * 72250 )
NEW met1 ( 228390 72250 ) ( * 72590 )
NEW met1 ( 228390 72250 ) ( 237130 * )
NEW li1 ( 237130 77690 ) L1M1_PR_MR
NEW met1 ( 237130 77690 ) M1M2_PR
NEW li1 ( 237130 82790 ) L1M1_PR_MR
NEW met1 ( 237130 82790 ) M1M2_PR
NEW li1 ( 237130 72250 ) L1M1_PR_MR
NEW met1 ( 237130 72250 ) M1M2_PR
NEW li1 ( 232070 74630 ) L1M1_PR_MR
NEW met1 ( 237130 74630 ) M1M2_PR
NEW li1 ( 232990 69190 ) L1M1_PR_MR
NEW met1 ( 237130 69190 ) M1M2_PR
NEW li1 ( 228390 72590 ) L1M1_PR_MR
NEW met1 ( 237130 77690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 237130 82790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 237130 72250 ) RECT ( -355 -70 0 70 )
NEW met2 ( 237130 74630 ) RECT ( -70 -485 70 0 ) ;
- _093_ ( _227_ A2 ) ( _226_ A2 ) ( _225_ A2 ) ( _224_ A2 ) ( _223_ A2 ) ( _221_ X ) + USE SIGNAL
+ ROUTED met1 ( 238970 94010 ) ( 239430 * )
NEW met2 ( 238970 94010 ) ( * 99450 )
NEW met1 ( 238970 99450 ) ( 239430 * )
NEW met1 ( 238970 88570 ) ( 239430 * )
NEW met2 ( 238970 88570 ) ( * 94010 )
NEW met1 ( 235290 91290 ) ( 238970 * )
NEW met1 ( 234830 85850 ) ( 238970 * )
NEW met2 ( 238970 85850 ) ( * 88570 )
NEW met2 ( 238970 81090 ) ( * 85850 )
NEW li1 ( 239430 94010 ) L1M1_PR_MR
NEW met1 ( 238970 94010 ) M1M2_PR
NEW met1 ( 238970 99450 ) M1M2_PR
NEW li1 ( 239430 99450 ) L1M1_PR_MR
NEW li1 ( 239430 88570 ) L1M1_PR_MR
NEW met1 ( 238970 88570 ) M1M2_PR
NEW li1 ( 235290 91290 ) L1M1_PR_MR
NEW met1 ( 238970 91290 ) M1M2_PR
NEW li1 ( 234830 85850 ) L1M1_PR_MR
NEW met1 ( 238970 85850 ) M1M2_PR
NEW li1 ( 238970 81090 ) L1M1_PR_MR
NEW met1 ( 238970 81090 ) M1M2_PR
NEW met2 ( 238970 91290 ) RECT ( -70 -485 70 0 )
NEW met1 ( 238970 81090 ) RECT ( -355 -70 0 70 ) ;
- _094_ ( _227_ B2 ) ( _226_ B2 ) ( _225_ B2 ) ( _224_ B2 ) ( _223_ B2 ) ( _222_ X ) + USE SIGNAL
+ ROUTED met1 ( 234370 94010 ) ( 237130 * )
NEW met2 ( 234370 94010 ) ( * 99110 )
NEW met1 ( 234370 99110 ) ( 237130 * )
NEW met1 ( 229770 90950 ) ( 232070 * )
NEW met2 ( 229770 90950 ) ( * 94010 )
NEW met1 ( 229770 94010 ) ( 234370 * )
NEW met1 ( 234370 88570 ) ( 237130 * )
NEW met2 ( 234370 88570 ) ( * 94010 )
NEW met1 ( 233910 79390 ) ( 234370 * )
NEW met2 ( 234370 79390 ) ( * 88570 )
NEW met1 ( 229770 85510 ) ( 231610 * )
NEW met2 ( 229770 82450 ) ( * 85510 )
NEW met1 ( 229770 82450 ) ( 234370 * )
NEW li1 ( 237130 94010 ) L1M1_PR_MR
NEW met1 ( 234370 94010 ) M1M2_PR
NEW met1 ( 234370 99110 ) M1M2_PR
NEW li1 ( 237130 99110 ) L1M1_PR_MR
NEW li1 ( 232070 90950 ) L1M1_PR_MR
NEW met1 ( 229770 90950 ) M1M2_PR
NEW met1 ( 229770 94010 ) M1M2_PR
NEW li1 ( 237130 88570 ) L1M1_PR_MR
NEW met1 ( 234370 88570 ) M1M2_PR
NEW li1 ( 233910 79390 ) L1M1_PR_MR
NEW met1 ( 234370 79390 ) M1M2_PR
NEW li1 ( 231610 85510 ) L1M1_PR_MR
NEW met1 ( 229770 85510 ) M1M2_PR
NEW met1 ( 229770 82450 ) M1M2_PR
NEW met1 ( 234370 82450 ) M1M2_PR
NEW met2 ( 234370 82450 ) RECT ( -70 -485 70 0 ) ;
- _095_ ( _231_ A ) ( _230_ X ) + USE SIGNAL
+ ROUTED met1 ( 49450 26010 ) ( 49910 * )
NEW li1 ( 49450 25330 ) ( * 26010 )
NEW met1 ( 46230 25330 ) ( 49450 * )
NEW li1 ( 49910 26010 ) L1M1_PR_MR
NEW li1 ( 49450 26010 ) L1M1_PR_MR
NEW li1 ( 49450 25330 ) L1M1_PR_MR
NEW li1 ( 46230 25330 ) L1M1_PR_MR ;
- _096_ ( _233_ A ) ( _232_ X ) + USE SIGNAL
+ ROUTED met1 ( 47150 23970 ) ( 48530 * )
NEW met2 ( 48530 23970 ) ( * 28390 )
NEW li1 ( 47150 23970 ) L1M1_PR_MR
NEW met1 ( 48530 23970 ) M1M2_PR
NEW li1 ( 48530 28390 ) L1M1_PR_MR
NEW met1 ( 48530 28390 ) M1M2_PR
NEW met1 ( 48530 28390 ) RECT ( -355 -70 0 70 ) ;
- _097_ ( _234_ X ) ( _100_ A ) + USE SIGNAL
+ ROUTED met2 ( 56350 28050 ) ( * 31450 )
NEW met1 ( 56350 31450 ) ( 60030 * )
NEW li1 ( 56350 28050 ) L1M1_PR_MR
NEW met1 ( 56350 28050 ) M1M2_PR
NEW met1 ( 56350 31450 ) M1M2_PR
NEW li1 ( 60030 31450 ) L1M1_PR_MR
NEW met1 ( 56350 28050 ) RECT ( -355 -70 0 70 ) ;
- _098_ ( _102_ B1 ) ( _101_ Y ) + USE SIGNAL
+ ROUTED met2 ( 156630 207910 ) ( * 215390 )
NEW met1 ( 156630 215390 ) ( 157550 * )
NEW li1 ( 156630 207910 ) L1M1_PR_MR
NEW met1 ( 156630 207910 ) M1M2_PR
NEW met1 ( 156630 215390 ) M1M2_PR
NEW li1 ( 157550 215390 ) L1M1_PR_MR
NEW met1 ( 156630 207910 ) RECT ( -355 -70 0 70 ) ;
- _099_ ( _103_ B ) ( _102_ X ) + USE SIGNAL
+ ROUTED met2 ( 155710 208590 ) ( * 213350 )
NEW met1 ( 153870 213350 ) ( 155710 * )
NEW li1 ( 155710 208590 ) L1M1_PR_MR
NEW met1 ( 155710 208590 ) M1M2_PR
NEW met1 ( 155710 213350 ) M1M2_PR
NEW li1 ( 153870 213350 ) L1M1_PR_MR
NEW met1 ( 155710 208590 ) RECT ( -355 -70 0 70 ) ;
- clk ( ANTENNA_clkbuf_0_clk_A DIODE ) ( clkbuf_0_clk A ) ( _440_ X ) + USE CLOCK
+ ROUTED met1 ( 108330 125630 ) ( * 125970 )
NEW met1 ( 108330 125630 ) ( 111090 * )
NEW met1 ( 111090 125630 ) ( 142830 * )
NEW met2 ( 142830 15810 ) ( * 125630 )
NEW li1 ( 111090 125630 ) L1M1_PR_MR
NEW li1 ( 108330 125970 ) L1M1_PR_MR
NEW met1 ( 142830 125630 ) M1M2_PR
NEW li1 ( 142830 15810 ) L1M1_PR_MR
NEW met1 ( 142830 15810 ) M1M2_PR
NEW met1 ( 142830 15810 ) RECT ( -355 -70 0 70 ) ;
- clknet_0_clk ( clkbuf_1_1_0_clk A ) ( clkbuf_1_0_0_clk A ) ( clkbuf_0_clk X ) + USE CLOCK
+ ROUTED met2 ( 99590 158950 ) ( * 162010 )
NEW met1 ( 99590 162010 ) ( 116150 * )
NEW met2 ( 99590 158780 ) ( 100050 * )
NEW met2 ( 99590 158780 ) ( * 158950 )
NEW met2 ( 100050 126650 ) ( * 158780 )
NEW met1 ( 80730 158950 ) ( 99590 * )
NEW li1 ( 100050 126650 ) L1M1_PR_MR
NEW met1 ( 100050 126650 ) M1M2_PR
NEW met1 ( 99590 158950 ) M1M2_PR
NEW met1 ( 99590 162010 ) M1M2_PR
NEW li1 ( 116150 162010 ) L1M1_PR_MR
NEW li1 ( 80730 158950 ) L1M1_PR_MR
NEW met1 ( 100050 126650 ) RECT ( -355 -70 0 70 ) ;
- clknet_1_0_0_clk ( clkbuf_2_1_0_clk A ) ( clkbuf_2_0_0_clk A ) ( clkbuf_1_0_0_clk X ) + USE CLOCK
+ ROUTED met1 ( 117070 191250 ) ( 139150 * )
NEW met2 ( 117070 162350 ) ( * 213010 )
NEW met1 ( 117070 191250 ) M1M2_PR
NEW li1 ( 117070 162350 ) L1M1_PR_MR
NEW met1 ( 117070 162350 ) M1M2_PR
NEW li1 ( 139150 191250 ) L1M1_PR_MR
NEW li1 ( 117070 213010 ) L1M1_PR_MR
NEW met1 ( 117070 213010 ) M1M2_PR
NEW met2 ( 117070 191250 ) RECT ( -70 -485 70 0 )
NEW met1 ( 117070 162350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117070 213010 ) RECT ( -355 -70 0 70 ) ;
- clknet_1_1_0_clk ( clkbuf_2_3_0_clk A ) ( clkbuf_2_2_0_clk A ) ( clkbuf_1_1_0_clk X ) + USE CLOCK
+ ROUTED met1 ( 50370 180370 ) ( 76130 * )
NEW met1 ( 69230 200430 ) ( 76130 * )
NEW met2 ( 76130 180370 ) ( * 200430 )
NEW met1 ( 76130 159630 ) ( 79810 * )
NEW met2 ( 76130 159630 ) ( * 180370 )
NEW met1 ( 76130 180370 ) M1M2_PR
NEW li1 ( 50370 180370 ) L1M1_PR_MR
NEW li1 ( 69230 200430 ) L1M1_PR_MR
NEW met1 ( 76130 200430 ) M1M2_PR
NEW li1 ( 79810 159630 ) L1M1_PR_MR
NEW met1 ( 76130 159630 ) M1M2_PR ;
- clknet_2_0_0_clk ( _457_ CLK ) ( _459_ CLK ) ( _460_ CLK ) ( _461_ CLK ) ( _462_ CLK ) ( _463_ CLK ) ( _464_ CLK )
( _465_ CLK ) ( clkbuf_2_0_0_clk X ) + USE CLOCK
+ ROUTED met1 ( 99590 215730 ) ( * 216070 )
NEW met2 ( 98210 213690 ) ( * 215390 )
NEW met1 ( 98210 215390 ) ( 99590 * )
NEW met1 ( 99590 215390 ) ( * 215730 )
NEW met2 ( 101890 215730 ) ( * 224230 )
NEW met1 ( 99590 215730 ) ( 110400 * )
NEW met1 ( 112010 229670 ) ( 124430 * )
NEW met1 ( 124430 221850 ) ( 125350 * )
NEW met2 ( 124430 221850 ) ( * 229670 )
NEW met1 ( 114770 216410 ) ( * 216750 )
NEW met1 ( 114770 216750 ) ( 124430 * )
NEW met2 ( 124430 216750 ) ( * 221850 )
NEW met1 ( 124430 216410 ) ( 124890 * )
NEW met1 ( 124430 216410 ) ( * 216750 )
NEW met2 ( 116610 214370 ) ( * 216750 )
NEW met1 ( 110400 215730 ) ( * 216410 )
NEW met1 ( 110400 216410 ) ( 114770 * )
NEW li1 ( 99590 216070 ) L1M1_PR_MR
NEW li1 ( 98210 213690 ) L1M1_PR_MR
NEW met1 ( 98210 213690 ) M1M2_PR
NEW met1 ( 98210 215390 ) M1M2_PR
NEW li1 ( 101890 224230 ) L1M1_PR_MR
NEW met1 ( 101890 224230 ) M1M2_PR
NEW met1 ( 101890 215730 ) M1M2_PR
NEW li1 ( 124430 229670 ) L1M1_PR_MR
NEW li1 ( 112010 229670 ) L1M1_PR_MR
NEW li1 ( 125350 221850 ) L1M1_PR_MR
NEW met1 ( 124430 221850 ) M1M2_PR
NEW met1 ( 124430 229670 ) M1M2_PR
NEW li1 ( 114770 216410 ) L1M1_PR_MR
NEW met1 ( 124430 216750 ) M1M2_PR
NEW li1 ( 124890 216410 ) L1M1_PR_MR
NEW li1 ( 116610 214370 ) L1M1_PR_MR
NEW met1 ( 116610 214370 ) M1M2_PR
NEW met1 ( 116610 216750 ) M1M2_PR
NEW met1 ( 98210 213690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 101890 224230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 101890 215730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 124430 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 116610 214370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 116610 216750 ) RECT ( -595 -70 0 70 ) ;
- clknet_2_1_0_clk ( _466_ CLK ) ( _467_ CLK ) ( _468_ CLK ) ( _469_ CLK ) ( _470_ CLK ) ( _471_ CLK ) ( _472_ CLK )
( _473_ CLK ) ( _474_ CLK ) ( _475_ CLK ) ( _476_ CLK ) ( clkbuf_2_1_0_clk X ) + USE CLOCK
+ ROUTED met2 ( 180090 219130 ) ( * 229670 )
NEW met1 ( 180090 219130 ) ( 181930 * )
NEW met1 ( 186530 226610 ) ( * 226950 )
NEW met1 ( 180090 226610 ) ( 186530 * )
NEW met1 ( 166290 227290 ) ( 167210 * )
NEW met1 ( 167210 227290 ) ( * 227630 )
NEW met1 ( 167210 227630 ) ( 180090 * )
NEW met1 ( 159390 229670 ) ( 166290 * )
NEW met2 ( 166290 227290 ) ( * 229670 )
NEW met2 ( 166290 225250 ) ( * 227290 )
NEW met1 ( 163530 213350 ) ( 174570 * )
NEW met2 ( 163530 211310 ) ( * 213350 )
NEW met1 ( 140070 216070 ) ( 140990 * )
NEW met1 ( 140070 210970 ) ( 151110 * )
NEW met1 ( 151110 210970 ) ( * 211310 )
NEW met1 ( 147890 224570 ) ( 152030 * )
NEW met1 ( 147890 223890 ) ( * 224570 )
NEW met1 ( 144670 223890 ) ( 147890 * )
NEW met2 ( 144670 216410 ) ( * 223890 )
NEW met1 ( 140990 216410 ) ( 144670 * )
NEW met1 ( 140990 216070 ) ( * 216410 )
NEW met1 ( 152030 224570 ) ( * 225250 )
NEW met1 ( 140070 226950 ) ( 140990 * )
NEW met2 ( 140070 216070 ) ( * 226950 )
NEW met2 ( 140070 192270 ) ( * 216070 )
NEW met1 ( 151110 211310 ) ( 163530 * )
NEW met1 ( 152030 225250 ) ( 166290 * )
NEW li1 ( 140070 192270 ) L1M1_PR_MR
NEW met1 ( 140070 192270 ) M1M2_PR
NEW li1 ( 180090 229670 ) L1M1_PR_MR
NEW met1 ( 180090 229670 ) M1M2_PR
NEW met1 ( 180090 219130 ) M1M2_PR
NEW li1 ( 181930 219130 ) L1M1_PR_MR
NEW li1 ( 186530 226950 ) L1M1_PR_MR
NEW met1 ( 180090 226610 ) M1M2_PR
NEW li1 ( 166290 227290 ) L1M1_PR_MR
NEW met1 ( 180090 227630 ) M1M2_PR
NEW li1 ( 159390 229670 ) L1M1_PR_MR
NEW met1 ( 166290 229670 ) M1M2_PR
NEW met1 ( 166290 227290 ) M1M2_PR
NEW met1 ( 166290 225250 ) M1M2_PR
NEW li1 ( 163530 213350 ) L1M1_PR_MR
NEW li1 ( 174570 213350 ) L1M1_PR_MR
NEW met1 ( 163530 211310 ) M1M2_PR
NEW met1 ( 163530 213350 ) M1M2_PR
NEW li1 ( 140990 216070 ) L1M1_PR_MR
NEW met1 ( 140070 216070 ) M1M2_PR
NEW li1 ( 151110 210970 ) L1M1_PR_MR
NEW met1 ( 140070 210970 ) M1M2_PR
NEW li1 ( 152030 224570 ) L1M1_PR_MR
NEW met1 ( 144670 223890 ) M1M2_PR
NEW met1 ( 144670 216410 ) M1M2_PR
NEW li1 ( 140990 226950 ) L1M1_PR_MR
NEW met1 ( 140070 226950 ) M1M2_PR
NEW met1 ( 140070 192270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 180090 229670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 180090 226610 ) RECT ( -70 -485 70 0 )
NEW met2 ( 180090 227630 ) RECT ( -70 -485 70 0 )
NEW met1 ( 166290 227290 ) RECT ( 0 -70 595 70 )
NEW met1 ( 163530 213350 ) RECT ( -595 -70 0 70 )
NEW met2 ( 140070 210970 ) RECT ( -70 -485 70 0 ) ;
- clknet_2_2_0_clk ( ANTENNA__450__CLK DIODE ) ( ANTENNA__449__CLK DIODE ) ( ANTENNA__448__CLK DIODE ) ( ANTENNA__446__CLK DIODE ) ( ANTENNA__444__CLK DIODE ) ( ANTENNA__443__CLK DIODE ) ( ANTENNA__442__CLK DIODE )
( _442_ CLK ) ( _443_ CLK ) ( _444_ CLK ) ( _446_ CLK ) ( _448_ CLK ) ( _449_ CLK ) ( _450_ CLK ) ( clkbuf_2_2_0_clk X ) + USE CLOCK
+ ROUTED met2 ( 44850 230010 ) ( * 234770 )
NEW met1 ( 44850 234770 ) ( 51290 * )
NEW met1 ( 31970 229670 ) ( 37030 * )
NEW met1 ( 37030 229670 ) ( * 230010 )
NEW met1 ( 37030 230010 ) ( 44850 * )
NEW met2 ( 34730 225250 ) ( * 229670 )
NEW met1 ( 33810 216410 ) ( 34270 * )
NEW met2 ( 34270 216410 ) ( 34730 * )
NEW met2 ( 34730 216410 ) ( * 225250 )
NEW met1 ( 34730 211650 ) ( 41630 * )
NEW met2 ( 34730 211650 ) ( * 216410 )
NEW met1 ( 41630 211650 ) ( 49910 * )
NEW met1 ( 24610 220830 ) ( 25070 * )
NEW met2 ( 25070 216410 ) ( * 220830 )
NEW met1 ( 25070 216410 ) ( 33810 * )
NEW met1 ( 24150 211650 ) ( 25070 * )
NEW met2 ( 25070 211650 ) ( * 216410 )
NEW met1 ( 22310 229670 ) ( 31970 * )
NEW met1 ( 18630 210970 ) ( 24150 * )
NEW met1 ( 24150 210970 ) ( * 211650 )
NEW met1 ( 16330 219130 ) ( 25070 * )
NEW met2 ( 49910 181730 ) ( * 211650 )
NEW met1 ( 51290 234770 ) ( 63250 * )
NEW met2 ( 48070 21250 ) ( * 22270 )
NEW met1 ( 45310 22270 ) ( 48070 * )
NEW met2 ( 45310 22100 ) ( * 22270 )
NEW met2 ( 44390 22100 ) ( 45310 * )
NEW met2 ( 44390 21250 ) ( * 22100 )
NEW met1 ( 44390 20910 ) ( * 21250 )
NEW met1 ( 35650 20910 ) ( 44390 * )
NEW met1 ( 35650 20570 ) ( * 20910 )
NEW met1 ( 35190 20570 ) ( 35650 * )
NEW met1 ( 35190 19550 ) ( * 20570 )
NEW met1 ( 21390 19550 ) ( 35190 * )
NEW met1 ( 21390 19550 ) ( * 20230 )
NEW met1 ( 39330 15810 ) ( 48070 * )
NEW met2 ( 48070 15810 ) ( * 18700 )
NEW met2 ( 48530 18700 ) ( * 19380 )
NEW met2 ( 48530 19380 ) ( 48990 * )
NEW met2 ( 48990 19380 ) ( * 20740 )
NEW met2 ( 48990 20740 ) ( 49910 * )
NEW met2 ( 48990 20740 ) ( * 21250 )
NEW met2 ( 48070 18700 ) ( 48530 * )
NEW met1 ( 48070 21250 ) ( 48990 * )
NEW met2 ( 49910 20740 ) ( * 181730 )
NEW li1 ( 49910 181730 ) L1M1_PR_MR
NEW met1 ( 49910 181730 ) M1M2_PR
NEW li1 ( 63250 234770 ) L1M1_PR_MR
NEW li1 ( 51290 234770 ) L1M1_PR_MR
NEW li1 ( 44850 230010 ) L1M1_PR_MR
NEW met1 ( 44850 230010 ) M1M2_PR
NEW met1 ( 44850 234770 ) M1M2_PR
NEW li1 ( 31970 229670 ) L1M1_PR_MR
NEW li1 ( 34730 225250 ) L1M1_PR_MR
NEW met1 ( 34730 225250 ) M1M2_PR
NEW met1 ( 34730 229670 ) M1M2_PR
NEW li1 ( 33810 216410 ) L1M1_PR_MR
NEW met1 ( 34270 216410 ) M1M2_PR
NEW li1 ( 41630 211650 ) L1M1_PR_MR
NEW met1 ( 34730 211650 ) M1M2_PR
NEW met1 ( 49910 211650 ) M1M2_PR
NEW li1 ( 24610 220830 ) L1M1_PR_MR
NEW met1 ( 25070 220830 ) M1M2_PR
NEW met1 ( 25070 216410 ) M1M2_PR
NEW li1 ( 24150 211650 ) L1M1_PR_MR
NEW met1 ( 25070 211650 ) M1M2_PR
NEW li1 ( 22310 229670 ) L1M1_PR_MR
NEW li1 ( 18630 210970 ) L1M1_PR_MR
NEW li1 ( 16330 219130 ) L1M1_PR_MR
NEW met1 ( 25070 219130 ) M1M2_PR
NEW met1 ( 48070 21250 ) M1M2_PR
NEW met1 ( 48070 22270 ) M1M2_PR
NEW met1 ( 45310 22270 ) M1M2_PR
NEW met1 ( 44390 21250 ) M1M2_PR
NEW li1 ( 21390 20230 ) L1M1_PR_MR
NEW li1 ( 39330 15810 ) L1M1_PR_MR
NEW met1 ( 48070 15810 ) M1M2_PR
NEW met1 ( 48990 21250 ) M1M2_PR
NEW met1 ( 49910 181730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 44850 230010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 34730 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 34730 229670 ) RECT ( -595 -70 0 70 )
NEW met2 ( 25070 219130 ) RECT ( -70 -485 70 0 ) ;
- clknet_2_3_0_clk ( ANTENNA__458__CLK DIODE ) ( ANTENNA__456__CLK DIODE ) ( ANTENNA__455__CLK DIODE ) ( ANTENNA__454__CLK DIODE ) ( ANTENNA__453__CLK DIODE ) ( ANTENNA__452__CLK DIODE ) ( ANTENNA__451__CLK DIODE )
( ANTENNA__447__CLK DIODE ) ( ANTENNA__445__CLK DIODE ) ( _445_ CLK ) ( _447_ CLK ) ( _451_ CLK ) ( _452_ CLK ) ( _453_ CLK ) ( _454_ CLK )
( _455_ CLK ) ( _456_ CLK ) ( _458_ CLK ) ( clkbuf_2_3_0_clk X ) + USE CLOCK
+ ROUTED met1 ( 60030 200090 ) ( 68310 * )
NEW met2 ( 91770 225250 ) ( * 226950 )
NEW met1 ( 91770 225250 ) ( 99130 * )
NEW met1 ( 86710 229670 ) ( 87170 * )
NEW met2 ( 87170 226950 ) ( * 229670 )
NEW met1 ( 87170 226950 ) ( 91770 * )
NEW met2 ( 79350 222530 ) ( * 229670 )
NEW met1 ( 79350 229670 ) ( 86710 * )
NEW met1 ( 79350 217090 ) ( 80270 * )
NEW met2 ( 79350 217090 ) ( * 222530 )
NEW met1 ( 80270 216410 ) ( 83490 * )
NEW met1 ( 80270 216410 ) ( * 217090 )
NEW met1 ( 75210 217090 ) ( 79350 * )
NEW met1 ( 71070 218110 ) ( 76130 * )
NEW met2 ( 76130 217090 ) ( * 218110 )
NEW met1 ( 70610 229670 ) ( 79350 * )
NEW met2 ( 76130 229670 ) ( * 234770 )
NEW met1 ( 65550 216410 ) ( * 216750 )
NEW met1 ( 65550 216750 ) ( 68310 * )
NEW met2 ( 68310 216750 ) ( * 218110 )
NEW met1 ( 68310 218110 ) ( 71070 * )
NEW met1 ( 23230 225250 ) ( 27830 * )
NEW met2 ( 23230 225250 ) ( * 226950 )
NEW met1 ( 19090 226950 ) ( 23230 * )
NEW met1 ( 27370 219810 ) ( 29670 * )
NEW met2 ( 27370 219810 ) ( * 225250 )
NEW met1 ( 22310 216410 ) ( * 216750 )
NEW met1 ( 22310 216750 ) ( 27370 * )
NEW met2 ( 27370 216750 ) ( * 219810 )
NEW met1 ( 55430 229670 ) ( 55890 * )
NEW met2 ( 55890 219810 ) ( * 229670 )
NEW met1 ( 29670 219810 ) ( 55890 * )
NEW met1 ( 59570 219130 ) ( * 219810 )
NEW met1 ( 55890 219810 ) ( 59570 * )
NEW met2 ( 60030 214370 ) ( * 219130 )
NEW met1 ( 59570 219130 ) ( 60030 * )
NEW met2 ( 60030 216410 ) ( 60490 * )
NEW met2 ( 60030 200090 ) ( * 214370 )
NEW met1 ( 60490 216410 ) ( 65550 * )
NEW li1 ( 68310 200090 ) L1M1_PR_MR
NEW met1 ( 60030 200090 ) M1M2_PR
NEW li1 ( 91770 226950 ) L1M1_PR_MR
NEW met1 ( 91770 226950 ) M1M2_PR
NEW met1 ( 91770 225250 ) M1M2_PR
NEW li1 ( 99130 225250 ) L1M1_PR_MR
NEW li1 ( 86710 229670 ) L1M1_PR_MR
NEW met1 ( 87170 229670 ) M1M2_PR
NEW met1 ( 87170 226950 ) M1M2_PR
NEW li1 ( 79350 222530 ) L1M1_PR_MR
NEW met1 ( 79350 222530 ) M1M2_PR
NEW met1 ( 79350 229670 ) M1M2_PR
NEW li1 ( 80270 217090 ) L1M1_PR_MR
NEW met1 ( 79350 217090 ) M1M2_PR
NEW li1 ( 83490 216410 ) L1M1_PR_MR
NEW li1 ( 75210 217090 ) L1M1_PR_MR
NEW li1 ( 71070 218110 ) L1M1_PR_MR
NEW met1 ( 76130 218110 ) M1M2_PR
NEW met1 ( 76130 217090 ) M1M2_PR
NEW li1 ( 70610 229670 ) L1M1_PR_MR
NEW li1 ( 76130 234770 ) L1M1_PR_MR
NEW met1 ( 76130 234770 ) M1M2_PR
NEW met1 ( 76130 229670 ) M1M2_PR
NEW li1 ( 65550 216410 ) L1M1_PR_MR
NEW met1 ( 68310 216750 ) M1M2_PR
NEW met1 ( 68310 218110 ) M1M2_PR
NEW li1 ( 27830 225250 ) L1M1_PR_MR
NEW met1 ( 23230 225250 ) M1M2_PR
NEW met1 ( 23230 226950 ) M1M2_PR
NEW li1 ( 19090 226950 ) L1M1_PR_MR
NEW li1 ( 29670 219810 ) L1M1_PR_MR
NEW met1 ( 27370 219810 ) M1M2_PR
NEW met1 ( 27370 225250 ) M1M2_PR
NEW li1 ( 22310 216410 ) L1M1_PR_MR
NEW met1 ( 27370 216750 ) M1M2_PR
NEW li1 ( 55430 229670 ) L1M1_PR_MR
NEW met1 ( 55890 229670 ) M1M2_PR
NEW met1 ( 55890 219810 ) M1M2_PR
NEW li1 ( 59570 219130 ) L1M1_PR_MR
NEW li1 ( 60030 214370 ) L1M1_PR_MR
NEW met1 ( 60030 214370 ) M1M2_PR
NEW met1 ( 60030 219130 ) M1M2_PR
NEW met1 ( 60490 216410 ) M1M2_PR
NEW met1 ( 91770 226950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 79350 222530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 76130 217090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 76130 234770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 76130 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 27370 225250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 60030 214370 ) RECT ( -355 -70 0 70 ) ;
- csb0 ( PIN csb0 ) ( output137 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 209100 ) ( * 209950 )
NEW met3 ( 240350 209100 ) ( 246100 * 0 )
NEW li1 ( 240350 209950 ) L1M1_PR_MR
NEW met1 ( 240350 209950 ) M1M2_PR
NEW met2 ( 240350 209100 ) M2M3_PR_M
NEW met1 ( 240350 209950 ) RECT ( -355 -70 0 70 ) ;
- csb1 ( PIN csb1 ) ( output138 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 215730 ) ( * 215900 )
NEW met3 ( 240350 215900 ) ( 246100 * 0 )
NEW li1 ( 240350 215730 ) L1M1_PR_MR
NEW met1 ( 240350 215730 ) M1M2_PR
NEW met2 ( 240350 215900 ) M2M3_PR_M
NEW met1 ( 240350 215730 ) RECT ( -355 -70 0 70 ) ;
- din0[0] ( PIN din0[0] ) ( output139 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 219300 ) ( * 220830 )
NEW met3 ( 240350 219300 ) ( 246100 * 0 )
NEW li1 ( 240350 220830 ) L1M1_PR_MR
NEW met1 ( 240350 220830 ) M1M2_PR
NEW met2 ( 240350 219300 ) M2M3_PR_M
NEW met1 ( 240350 220830 ) RECT ( -355 -70 0 70 ) ;
- din0[10] ( PIN din0[10] ) ( output140 X ) + USE SIGNAL
+ ROUTED met2 ( 246330 3740 0 ) ( * 11390 )
NEW met1 ( 240350 11390 ) ( 246330 * )
NEW met1 ( 246330 11390 ) M1M2_PR
NEW li1 ( 240350 11390 ) L1M1_PR_MR ;
- din0[11] ( PIN din0[11] ) ( output141 X ) + USE SIGNAL
+ ROUTED met1 ( 240350 236130 ) ( 242190 * )
NEW met2 ( 242190 236130 ) ( * 246500 0 )
NEW li1 ( 240350 236130 ) L1M1_PR_MR
NEW met1 ( 242190 236130 ) M1M2_PR ;
- din0[12] ( PIN din0[12] ) ( output142 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 228820 ) ( * 228990 )
NEW met3 ( 240350 228820 ) ( 246100 * 0 )
NEW li1 ( 240350 228990 ) L1M1_PR_MR
NEW met1 ( 240350 228990 ) M1M2_PR
NEW met2 ( 240350 228820 ) M2M3_PR_M
NEW met1 ( 240350 228990 ) RECT ( -355 -70 0 70 ) ;
- din0[13] ( PIN din0[13] ) ( output143 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 152660 0 ) ( 7590 * )
NEW met2 ( 7590 152660 ) ( * 152830 )
NEW met2 ( 7590 152660 ) M2M3_PR_M
NEW li1 ( 7590 152830 ) L1M1_PR_MR
NEW met1 ( 7590 152830 ) M1M2_PR
NEW met1 ( 7590 152830 ) RECT ( -355 -70 0 70 ) ;
- din0[14] ( PIN din0[14] ) ( output144 X ) + USE SIGNAL
+ ROUTED met1 ( 240350 14110 ) ( 246790 * )
NEW met2 ( 246790 3740 0 ) ( * 14110 )
NEW met1 ( 246790 14110 ) M1M2_PR
NEW li1 ( 240350 14110 ) L1M1_PR_MR ;
- din0[15] ( PIN din0[15] ) ( output145 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 232050 ) ( * 232220 )
NEW met3 ( 240350 232220 ) ( 246100 * 0 )
NEW li1 ( 240350 232050 ) L1M1_PR_MR
NEW met1 ( 240350 232050 ) M1M2_PR
NEW met2 ( 240350 232220 ) M2M3_PR_M
NEW met1 ( 240350 232050 ) RECT ( -355 -70 0 70 ) ;
- din0[16] ( PIN din0[16] ) ( output146 X ) + USE SIGNAL
+ ROUTED met2 ( 247250 3740 0 ) ( * 12750 )
NEW met1 ( 234830 12750 ) ( 247250 * )
NEW met1 ( 247250 12750 ) M1M2_PR
NEW li1 ( 234830 12750 ) L1M1_PR_MR ;
- din0[17] ( PIN din0[17] ) ( output147 X ) + USE SIGNAL
+ ROUTED met1 ( 240350 16830 ) ( 247710 * )
NEW met2 ( 247710 3740 0 ) ( * 16830 )
NEW met1 ( 247710 16830 ) M1M2_PR
NEW li1 ( 240350 16830 ) L1M1_PR_MR ;
- din0[18] ( PIN din0[18] ) ( output148 X ) + USE SIGNAL
+ ROUTED met1 ( 234370 235790 ) ( 234830 * )
NEW met2 ( 234370 235620 ) ( * 235790 )
NEW met3 ( 234370 235620 ) ( 246100 * 0 )
NEW li1 ( 234830 235790 ) L1M1_PR_MR
NEW met1 ( 234370 235790 ) M1M2_PR
NEW met2 ( 234370 235620 ) M2M3_PR_M ;
- din0[19] ( PIN din0[19] ) ( output149 X ) + USE SIGNAL
+ ROUTED met1 ( 239890 14450 ) ( 248170 * )
NEW met1 ( 239890 14110 ) ( * 14450 )
NEW met1 ( 234830 14110 ) ( 239890 * )
NEW met2 ( 248170 3740 0 ) ( * 14450 )
NEW met1 ( 248170 14450 ) M1M2_PR
NEW li1 ( 234830 14110 ) L1M1_PR_MR ;
- din0[1] ( PIN din0[1] ) ( output150 X ) + USE SIGNAL
+ ROUTED met3 ( 17940 13940 ) ( * 15980 )
NEW met3 ( 17940 15980 ) ( 22310 * )
NEW met2 ( 22310 15810 ) ( * 15980 )
NEW met1 ( 22310 15810 ) ( 24150 * )
NEW met3 ( 3220 13940 0 ) ( 17940 * )
NEW met2 ( 22310 15980 ) M2M3_PR_M
NEW met1 ( 22310 15810 ) M1M2_PR
NEW li1 ( 24150 15810 ) L1M1_PR_MR ;
- din0[20] ( PIN din0[20] ) ( output151 X ) + USE SIGNAL
+ ROUTED met1 ( 234830 233410 ) ( 244490 * )
NEW met2 ( 244490 233410 ) ( * 246500 0 )
NEW li1 ( 234830 233410 ) L1M1_PR_MR
NEW met1 ( 244490 233410 ) M1M2_PR ;
- din0[21] ( PIN din0[21] ) ( output152 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 180540 0 ) ( 7590 * )
NEW met2 ( 7590 180030 ) ( * 180540 )
NEW met2 ( 7590 180540 ) M2M3_PR_M
NEW li1 ( 7590 180030 ) L1M1_PR_MR
NEW met1 ( 7590 180030 ) M1M2_PR
NEW met1 ( 7590 180030 ) RECT ( -355 -70 0 70 ) ;
- din0[22] ( PIN din0[22] ) ( output153 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 208420 0 ) ( 7590 * )
NEW met2 ( 7590 208420 ) ( * 209950 )
NEW met2 ( 7590 208420 ) M2M3_PR_M
NEW li1 ( 7590 209950 ) L1M1_PR_MR
NEW met1 ( 7590 209950 ) M1M2_PR
NEW met1 ( 7590 209950 ) RECT ( -355 -70 0 70 ) ;
- din0[23] ( PIN din0[23] ) ( output154 X ) + USE SIGNAL
+ ROUTED met2 ( 248630 3740 0 ) ( * 11730 )
NEW met1 ( 231150 11730 ) ( 248630 * )
NEW met1 ( 231150 11390 ) ( * 11730 )
NEW met1 ( 248630 11730 ) M1M2_PR
NEW li1 ( 231150 11390 ) L1M1_PR_MR ;
- din0[24] ( PIN din0[24] ) ( output155 X ) + USE SIGNAL
+ ROUTED met1 ( 231150 236130 ) ( 238510 * )
NEW met1 ( 238510 235790 ) ( * 236130 )
NEW met1 ( 238510 235790 ) ( 246330 * )
NEW met2 ( 246330 235790 ) ( * 246500 0 )
NEW li1 ( 231150 236130 ) L1M1_PR_MR
NEW met1 ( 246330 235790 ) M1M2_PR ;
- din0[25] ( PIN din0[25] ) ( output156 X ) + USE SIGNAL
+ ROUTED met1 ( 240350 227970 ) ( 248630 * )
NEW met2 ( 248630 227970 ) ( * 246500 0 )
NEW li1 ( 240350 227970 ) L1M1_PR_MR
NEW met1 ( 248630 227970 ) M1M2_PR ;
- din0[26] ( PIN din0[26] ) ( output157 X ) + USE SIGNAL
+ ROUTED met2 ( 236670 230690 ) ( * 238340 )
NEW met3 ( 236670 238340 ) ( 246100 * 0 )
NEW li1 ( 236670 230690 ) L1M1_PR_MR
NEW met1 ( 236670 230690 ) M1M2_PR
NEW met2 ( 236670 238340 ) M2M3_PR_M
NEW met1 ( 236670 230690 ) RECT ( -355 -70 0 70 ) ;
- din0[27] ( PIN din0[27] ) ( output158 X ) + USE SIGNAL
+ ROUTED met2 ( 231150 233410 ) ( * 241740 )
NEW met3 ( 231150 241740 ) ( 246100 * 0 )
NEW li1 ( 231150 233410 ) L1M1_PR_MR
NEW met1 ( 231150 233410 ) M1M2_PR
NEW met2 ( 231150 241740 ) M2M3_PR_M
NEW met1 ( 231150 233410 ) RECT ( -355 -70 0 70 ) ;
- din0[28] ( PIN din0[28] ) ( output159 X ) + USE SIGNAL
+ ROUTED met1 ( 240350 19550 ) ( 249090 * )
NEW met2 ( 249090 3740 0 ) ( * 19550 )
NEW met1 ( 249090 19550 ) M1M2_PR
NEW li1 ( 240350 19550 ) L1M1_PR_MR ;
- din0[29] ( PIN din0[29] ) ( output160 X ) + USE SIGNAL
+ ROUTED met1 ( 227470 236130 ) ( 228390 * )
NEW met2 ( 228390 236130 ) ( * 245140 )
NEW met3 ( 228390 245140 ) ( 246100 * 0 )
NEW li1 ( 227470 236130 ) L1M1_PR_MR
NEW met1 ( 228390 236130 ) M1M2_PR
NEW met2 ( 228390 245140 ) M2M3_PR_M ;
- din0[2] ( PIN din0[2] ) ( output161 X ) + USE SIGNAL
+ ROUTED met1 ( 238050 225250 ) ( 239430 * )
NEW met2 ( 238050 225250 ) ( * 246500 0 )
NEW li1 ( 239430 225250 ) L1M1_PR_MR
NEW met1 ( 238050 225250 ) M1M2_PR ;
- din0[30] ( PIN din0[30] ) ( output162 X ) + USE SIGNAL
+ ROUTED met1 ( 232530 230690 ) ( 232990 * )
NEW met2 ( 232530 230690 ) ( * 248540 )
NEW met3 ( 232530 248540 ) ( 246100 * 0 )
NEW li1 ( 232990 230690 ) L1M1_PR_MR
NEW met1 ( 232530 230690 ) M1M2_PR
NEW met2 ( 232530 248540 ) M2M3_PR_M ;
- din0[31] ( PIN din0[31] ) ( output163 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 236130 ) ( * 236300 )
NEW met3 ( 3220 236300 0 ) ( 7590 * )
NEW li1 ( 7590 236130 ) L1M1_PR_MR
NEW met1 ( 7590 236130 ) M1M2_PR
NEW met2 ( 7590 236300 ) M2M3_PR_M
NEW met1 ( 7590 236130 ) RECT ( -355 -70 0 70 ) ;
- din0[3] ( PIN din0[3] ) ( output164 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 41140 0 ) ( 7590 * )
NEW met2 ( 7590 41140 ) ( * 41650 )
NEW met2 ( 7590 41140 ) M2M3_PR_M
NEW li1 ( 7590 41650 ) L1M1_PR_MR
NEW met1 ( 7590 41650 ) M1M2_PR
NEW met1 ( 7590 41650 ) RECT ( -355 -70 0 70 ) ;
- din0[4] ( PIN din0[4] ) ( output165 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 69020 0 ) ( 7590 * )
NEW met2 ( 7590 68850 ) ( * 69020 )
NEW met2 ( 7590 69020 ) M2M3_PR_M
NEW li1 ( 7590 68850 ) L1M1_PR_MR
NEW met1 ( 7590 68850 ) M1M2_PR
NEW met1 ( 7590 68850 ) RECT ( -355 -70 0 70 ) ;
- din0[5] ( PIN din0[5] ) ( output166 X ) + USE SIGNAL
+ ROUTED met1 ( 234370 223550 ) ( 235750 * )
NEW met2 ( 234370 222020 ) ( * 223550 )
NEW met3 ( 234370 222020 ) ( 246100 * 0 )
NEW li1 ( 235750 223550 ) L1M1_PR_MR
NEW met1 ( 234370 223550 ) M1M2_PR
NEW met2 ( 234370 222020 ) M2M3_PR_M ;
- din0[6] ( PIN din0[6] ) ( output167 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 96900 0 ) ( 7590 * )
NEW met2 ( 7590 96900 ) ( * 98430 )
NEW met2 ( 7590 96900 ) M2M3_PR_M
NEW li1 ( 7590 98430 ) L1M1_PR_MR
NEW met1 ( 7590 98430 ) M1M2_PR
NEW met1 ( 7590 98430 ) RECT ( -355 -70 0 70 ) ;
- din0[7] ( PIN din0[7] ) ( output168 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 124780 0 ) ( 7590 * )
NEW met2 ( 7590 124780 ) ( * 125630 )
NEW met2 ( 7590 124780 ) M2M3_PR_M
NEW li1 ( 7590 125630 ) L1M1_PR_MR
NEW met1 ( 7590 125630 ) M1M2_PR
NEW met1 ( 7590 125630 ) RECT ( -355 -70 0 70 ) ;
- din0[8] ( PIN din0[8] ) ( output169 X ) + USE SIGNAL
+ ROUTED met1 ( 234370 226270 ) ( 234830 * )
NEW met2 ( 234370 225420 ) ( * 226270 )
NEW met3 ( 234370 225420 ) ( 246100 * 0 )
NEW li1 ( 234830 226270 ) L1M1_PR_MR
NEW met1 ( 234370 226270 ) M1M2_PR
NEW met2 ( 234370 225420 ) M2M3_PR_M ;
- din0[9] ( PIN din0[9] ) ( output170 X ) + USE SIGNAL
+ ROUTED met1 ( 227470 233070 ) ( * 233410 )
NEW met1 ( 227470 233070 ) ( 240350 * )
NEW met2 ( 240350 233070 ) ( * 246500 0 )
NEW li1 ( 227470 233410 ) L1M1_PR_MR
NEW met1 ( 240350 233070 ) M1M2_PR ;
- dout0[0] ( PIN dout0[0] ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met2 ( 232530 1700 ) ( * 6630 )
NEW met3 ( 232530 1700 ) ( 246100 * 0 )
NEW met1 ( 222410 6630 ) ( 232530 * )
NEW met1 ( 219650 26010 ) ( 222410 * )
NEW met1 ( 209530 25670 ) ( 219650 * )
NEW met1 ( 219650 25670 ) ( * 26010 )
NEW met2 ( 222410 6630 ) ( * 26010 )
NEW met1 ( 222410 6630 ) M1M2_PR
NEW met1 ( 232530 6630 ) M1M2_PR
NEW met2 ( 232530 1700 ) M2M3_PR_M
NEW li1 ( 219650 26010 ) L1M1_PR_MR
NEW met1 ( 222410 26010 ) M1M2_PR
NEW li1 ( 209530 25670 ) L1M1_PR_MR ;
- dout0[10] ( PIN dout0[10] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met2 ( 228390 33660 ) ( * 33830 )
NEW met3 ( 228390 33660 ) ( 246100 * 0 )
NEW met1 ( 213670 33150 ) ( 221950 * )
NEW met1 ( 221950 33150 ) ( * 33490 )
NEW met1 ( 221950 33490 ) ( 228390 * )
NEW met1 ( 228390 33490 ) ( * 33830 )
NEW li1 ( 228390 33830 ) L1M1_PR_MR
NEW met1 ( 228390 33830 ) M1M2_PR
NEW met2 ( 228390 33660 ) M2M3_PR_M
NEW li1 ( 213670 33150 ) L1M1_PR_MR
NEW met1 ( 228390 33830 ) RECT ( -355 -70 0 70 ) ;
- dout0[11] ( PIN dout0[11] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 37060 ) ( * 47770 )
NEW met3 ( 240810 37060 ) ( 246100 * 0 )
NEW met1 ( 230690 52190 ) ( 234830 * )
NEW met2 ( 234830 48110 ) ( * 52190 )
NEW met1 ( 234830 48110 ) ( 240810 * )
NEW met1 ( 240810 47770 ) ( * 48110 )
NEW li1 ( 240810 47770 ) L1M1_PR_MR
NEW met1 ( 240810 47770 ) M1M2_PR
NEW met2 ( 240810 37060 ) M2M3_PR_M
NEW li1 ( 230690 52190 ) L1M1_PR_MR
NEW met1 ( 234830 52190 ) M1M2_PR
NEW met1 ( 234830 48110 ) M1M2_PR
NEW met1 ( 240810 47770 ) RECT ( 0 -70 355 70 ) ;
- dout0[12] ( PIN dout0[12] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met1 ( 226090 42330 ) ( 226550 * )
NEW met1 ( 226550 41310 ) ( * 42330 )
NEW met1 ( 226550 41310 ) ( 227470 * )
NEW met1 ( 227470 41310 ) ( * 41650 )
NEW met1 ( 227470 41650 ) ( 227930 * )
NEW met2 ( 227930 40460 ) ( * 41650 )
NEW met3 ( 227930 40460 ) ( 246100 * 0 )
NEW met1 ( 221030 42330 ) ( 226090 * )
NEW li1 ( 226090 42330 ) L1M1_PR_MR
NEW met1 ( 227930 41650 ) M1M2_PR
NEW met2 ( 227930 40460 ) M2M3_PR_M
NEW li1 ( 221030 42330 ) L1M1_PR_MR ;
- dout0[13] ( PIN dout0[13] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 53210 ) ( 241270 * )
NEW met2 ( 241270 43860 ) ( * 53210 )
NEW met3 ( 241270 43860 ) ( 246100 * 0 )
NEW met1 ( 227930 52870 ) ( 240810 * )
NEW met1 ( 240810 52870 ) ( * 53210 )
NEW li1 ( 240810 53210 ) L1M1_PR_MR
NEW met1 ( 241270 53210 ) M1M2_PR
NEW met2 ( 241270 43860 ) M2M3_PR_M
NEW li1 ( 227930 52870 ) L1M1_PR_MR ;
- dout0[14] ( PIN dout0[14] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 46580 ) ( * 50150 )
NEW met3 ( 231610 46580 ) ( 246100 * 0 )
NEW met1 ( 226550 50150 ) ( 231610 * )
NEW li1 ( 231610 50150 ) L1M1_PR_MR
NEW met1 ( 231610 50150 ) M1M2_PR
NEW met2 ( 231610 46580 ) M2M3_PR_M
NEW li1 ( 226550 50150 ) L1M1_PR_MR
NEW met1 ( 231610 50150 ) RECT ( -355 -70 0 70 ) ;
- dout0[15] ( PIN dout0[15] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 49980 ) ( * 58650 )
NEW met3 ( 240810 49980 ) ( 246100 * 0 )
NEW met1 ( 224250 57630 ) ( 236670 * )
NEW met1 ( 236670 57630 ) ( * 57970 )
NEW met1 ( 236670 57970 ) ( 240810 * )
NEW li1 ( 240810 58650 ) L1M1_PR_MR
NEW met1 ( 240810 58650 ) M1M2_PR
NEW met2 ( 240810 49980 ) M2M3_PR_M
NEW li1 ( 224250 57630 ) L1M1_PR_MR
NEW met1 ( 240810 57970 ) M1M2_PR
NEW met1 ( 240810 58650 ) RECT ( -355 -70 0 70 )
NEW met2 ( 240810 57970 ) RECT ( -70 -485 70 0 ) ;
- dout0[16] ( PIN dout0[16] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met1 ( 232530 63070 ) ( 233910 * )
NEW met1 ( 232530 63070 ) ( * 63410 )
NEW met1 ( 224250 63410 ) ( 232530 * )
NEW met1 ( 240810 63750 ) ( * 64090 )
NEW met1 ( 237590 63750 ) ( 240810 * )
NEW met1 ( 237590 63070 ) ( * 63750 )
NEW met1 ( 233910 63070 ) ( 237590 * )
NEW met3 ( 233910 53380 ) ( 246100 * 0 )
NEW met2 ( 233910 53380 ) ( * 63070 )
NEW met1 ( 233910 63070 ) M1M2_PR
NEW li1 ( 224250 63410 ) L1M1_PR_MR
NEW li1 ( 240810 64090 ) L1M1_PR_MR
NEW met2 ( 233910 53380 ) M2M3_PR_M ;
- dout0[17] ( PIN dout0[17] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 56780 ) ( * 61030 )
NEW met3 ( 231610 56780 ) ( 246100 * 0 )
NEW met1 ( 226550 61030 ) ( 231610 * )
NEW li1 ( 231610 61030 ) L1M1_PR_MR
NEW met1 ( 231610 61030 ) M1M2_PR
NEW met2 ( 231610 56780 ) M2M3_PR_M
NEW li1 ( 226550 61030 ) L1M1_PR_MR
NEW met1 ( 231610 61030 ) RECT ( -355 -70 0 70 ) ;
- dout0[18] ( PIN dout0[18] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 240350 69530 ) ( 240810 * )
NEW met2 ( 240350 67490 ) ( * 69530 )
NEW met1 ( 226550 67490 ) ( 240350 * )
NEW met1 ( 240350 67490 ) ( 241270 * )
NEW met3 ( 241270 60180 ) ( 246100 * 0 )
NEW met2 ( 241270 60180 ) ( * 67490 )
NEW li1 ( 240810 69530 ) L1M1_PR_MR
NEW met1 ( 240350 69530 ) M1M2_PR
NEW met1 ( 240350 67490 ) M1M2_PR
NEW li1 ( 226550 67490 ) L1M1_PR_MR
NEW met1 ( 241270 67490 ) M1M2_PR
NEW met2 ( 241270 60180 ) M2M3_PR_M ;
- dout0[19] ( PIN dout0[19] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 62900 ) ( * 66470 )
NEW met3 ( 231610 62900 ) ( 246100 * 0 )
NEW met1 ( 222410 66470 ) ( 231610 * )
NEW li1 ( 231610 66470 ) L1M1_PR_MR
NEW met1 ( 231610 66470 ) M1M2_PR
NEW met2 ( 231610 62900 ) M2M3_PR_M
NEW li1 ( 222410 66470 ) L1M1_PR_MR
NEW met1 ( 231610 66470 ) RECT ( -355 -70 0 70 ) ;
- dout0[1] ( PIN dout0[1] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met2 ( 213210 4420 ) ( * 27710 )
NEW met1 ( 220570 28390 ) ( 221490 * )
NEW met2 ( 220570 26350 ) ( * 28390 )
NEW met1 ( 213210 26350 ) ( 220570 * )
NEW met3 ( 213210 4420 ) ( 246100 * 0 )
NEW li1 ( 213210 27710 ) L1M1_PR_MR
NEW met1 ( 213210 27710 ) M1M2_PR
NEW met2 ( 213210 4420 ) M2M3_PR_M
NEW li1 ( 221490 28390 ) L1M1_PR_MR
NEW met1 ( 220570 28390 ) M1M2_PR
NEW met1 ( 220570 26350 ) M1M2_PR
NEW met1 ( 213210 26350 ) M1M2_PR
NEW met1 ( 213210 27710 ) RECT ( -355 -70 0 70 )
NEW met2 ( 213210 26350 ) RECT ( -70 -485 70 0 ) ;
- dout0[20] ( PIN dout0[20] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 66300 ) ( * 74970 )
NEW met3 ( 240810 66300 ) ( 246100 * 0 )
NEW met1 ( 226550 78370 ) ( 240810 * )
NEW met2 ( 240810 74970 ) ( * 78370 )
NEW li1 ( 240810 74970 ) L1M1_PR_MR
NEW met1 ( 240810 74970 ) M1M2_PR
NEW met2 ( 240810 66300 ) M2M3_PR_M
NEW li1 ( 226550 78370 ) L1M1_PR_MR
NEW met1 ( 240810 78370 ) M1M2_PR
NEW met1 ( 240810 74970 ) RECT ( 0 -70 355 70 ) ;
- dout0[21] ( PIN dout0[21] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 69700 ) ( * 77350 )
NEW met3 ( 231610 69700 ) ( 246100 * 0 )
NEW met1 ( 227470 79390 ) ( 231610 * )
NEW met2 ( 231610 77350 ) ( * 79390 )
NEW li1 ( 231610 77350 ) L1M1_PR_MR
NEW met1 ( 231610 77350 ) M1M2_PR
NEW met2 ( 231610 69700 ) M2M3_PR_M
NEW li1 ( 227470 79390 ) L1M1_PR_MR
NEW met1 ( 231610 79390 ) M1M2_PR
NEW met1 ( 231610 77350 ) RECT ( -355 -70 0 70 ) ;
- dout0[22] ( PIN dout0[22] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met1 ( 226090 74970 ) ( 227930 * )
NEW met2 ( 227930 73100 ) ( * 74970 )
NEW met3 ( 227930 73100 ) ( 246100 * 0 )
NEW met1 ( 221030 74970 ) ( 226090 * )
NEW li1 ( 226090 74970 ) L1M1_PR_MR
NEW met1 ( 227930 74970 ) M1M2_PR
NEW met2 ( 227930 73100 ) M2M3_PR_M
NEW li1 ( 221030 74970 ) L1M1_PR_MR ;
- dout0[23] ( PIN dout0[23] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 85850 ) ( 241270 * )
NEW met2 ( 241270 75820 ) ( * 85850 )
NEW met3 ( 241270 75820 ) ( 246100 * 0 )
NEW met2 ( 229770 86530 ) ( * 87550 )
NEW met1 ( 229770 86530 ) ( 240810 * )
NEW met1 ( 240810 85850 ) ( * 86530 )
NEW li1 ( 240810 85850 ) L1M1_PR_MR
NEW met1 ( 241270 85850 ) M1M2_PR
NEW met2 ( 241270 75820 ) M2M3_PR_M
NEW li1 ( 229770 87550 ) L1M1_PR_MR
NEW met1 ( 229770 87550 ) M1M2_PR
NEW met1 ( 229770 86530 ) M1M2_PR
NEW met1 ( 229770 87550 ) RECT ( -355 -70 0 70 ) ;
- dout0[24] ( PIN dout0[24] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met2 ( 229770 79220 ) ( * 80410 )
NEW met3 ( 229770 79220 ) ( 246100 * 0 )
NEW met1 ( 224710 80410 ) ( 229770 * )
NEW li1 ( 229770 80410 ) L1M1_PR_MR
NEW met1 ( 229770 80410 ) M1M2_PR
NEW met2 ( 229770 79220 ) M2M3_PR_M
NEW li1 ( 224710 80410 ) L1M1_PR_MR
NEW met1 ( 229770 80410 ) RECT ( -355 -70 0 70 ) ;
- dout0[25] ( PIN dout0[25] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 82620 ) ( * 82790 )
NEW met3 ( 231610 82620 ) ( 246100 * 0 )
NEW met1 ( 226550 83130 ) ( 231610 * )
NEW met1 ( 231610 82790 ) ( * 83130 )
NEW li1 ( 231610 82790 ) L1M1_PR_MR
NEW met1 ( 231610 82790 ) M1M2_PR
NEW met2 ( 231610 82620 ) M2M3_PR_M
NEW li1 ( 226550 83130 ) L1M1_PR_MR
NEW met1 ( 231610 82790 ) RECT ( -355 -70 0 70 ) ;
- dout0[26] ( PIN dout0[26] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 86020 ) ( * 91290 )
NEW met3 ( 240810 86020 ) ( 246100 * 0 )
NEW met1 ( 224250 91630 ) ( 240810 * )
NEW met1 ( 240810 91290 ) ( * 91630 )
NEW li1 ( 240810 91290 ) L1M1_PR_MR
NEW met1 ( 240810 91290 ) M1M2_PR
NEW met2 ( 240810 86020 ) M2M3_PR_M
NEW li1 ( 224250 91630 ) L1M1_PR_MR
NEW met1 ( 240810 91290 ) RECT ( -355 -70 0 70 ) ;
- dout0[27] ( PIN dout0[27] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met1 ( 240350 96730 ) ( 240810 * )
NEW met2 ( 240350 89420 ) ( * 96730 )
NEW met3 ( 240350 89420 ) ( 246100 * 0 )
NEW met1 ( 224250 95710 ) ( 235290 * )
NEW met1 ( 235290 95710 ) ( * 96730 )
NEW met1 ( 235290 96730 ) ( 240350 * )
NEW li1 ( 240810 96730 ) L1M1_PR_MR
NEW met1 ( 240350 96730 ) M1M2_PR
NEW met2 ( 240350 89420 ) M2M3_PR_M
NEW li1 ( 224250 95710 ) L1M1_PR_MR ;
- dout0[28] ( PIN dout0[28] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 231610 92140 ) ( * 93670 )
NEW met3 ( 231610 92140 ) ( 246100 * 0 )
NEW met1 ( 226550 93670 ) ( 231610 * )
NEW li1 ( 231610 93670 ) L1M1_PR_MR
NEW met1 ( 231610 93670 ) M1M2_PR
NEW met2 ( 231610 92140 ) M2M3_PR_M
NEW li1 ( 226550 93670 ) L1M1_PR_MR
NEW met1 ( 231610 93670 ) RECT ( -355 -70 0 70 ) ;
- dout0[29] ( PIN dout0[29] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 102170 ) ( 241270 * )
NEW met2 ( 241270 95540 ) ( * 102170 )
NEW met3 ( 241270 95540 ) ( 246100 * 0 )
NEW met2 ( 234370 102170 ) ( * 103870 )
NEW met1 ( 234370 102170 ) ( 240810 * )
NEW li1 ( 240810 102170 ) L1M1_PR_MR
NEW met1 ( 241270 102170 ) M1M2_PR
NEW met2 ( 241270 95540 ) M2M3_PR_M
NEW li1 ( 234370 103870 ) L1M1_PR_MR
NEW met1 ( 234370 103870 ) M1M2_PR
NEW met1 ( 234370 102170 ) M1M2_PR
NEW met1 ( 234370 103870 ) RECT ( -355 -70 0 70 ) ;
- dout0[2] ( PIN dout0[2] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met2 ( 233910 7820 ) ( * 12750 )
NEW met3 ( 233910 7820 ) ( 246100 * 0 )
NEW met1 ( 216430 12750 ) ( 233910 * )
NEW met1 ( 213670 30770 ) ( 216430 * )
NEW met2 ( 216430 26010 ) ( * 30770 )
NEW met2 ( 216430 12750 ) ( * 26010 )
NEW met1 ( 216430 12750 ) M1M2_PR
NEW met1 ( 233910 12750 ) M1M2_PR
NEW met2 ( 233910 7820 ) M2M3_PR_M
NEW li1 ( 216430 26010 ) L1M1_PR_MR
NEW met1 ( 216430 26010 ) M1M2_PR
NEW li1 ( 213670 30770 ) L1M1_PR_MR
NEW met1 ( 216430 30770 ) M1M2_PR
NEW met1 ( 216430 26010 ) RECT ( -355 -70 0 70 ) ;
- dout0[30] ( PIN dout0[30] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 98940 ) ( * 104550 )
NEW met3 ( 240810 98940 ) ( 246100 * 0 )
NEW met1 ( 235290 106930 ) ( 240810 * )
NEW met2 ( 240810 104550 ) ( * 106930 )
NEW li1 ( 240810 104550 ) L1M1_PR_MR
NEW met1 ( 240810 104550 ) M1M2_PR
NEW met2 ( 240810 98940 ) M2M3_PR_M
NEW li1 ( 235290 106930 ) L1M1_PR_MR
NEW met1 ( 240810 106930 ) M1M2_PR
NEW met1 ( 240810 104550 ) RECT ( -355 -70 0 70 ) ;
- dout0[31] ( PIN dout0[31] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met2 ( 237590 102340 ) ( * 104550 )
NEW met3 ( 237590 102340 ) ( 246100 * 0 )
NEW met1 ( 231610 104550 ) ( 237590 * )
NEW li1 ( 237590 104550 ) L1M1_PR_MR
NEW met1 ( 237590 104550 ) M1M2_PR
NEW met2 ( 237590 102340 ) M2M3_PR_M
NEW li1 ( 231610 104550 ) L1M1_PR_MR
NEW met1 ( 237590 104550 ) RECT ( -355 -70 0 70 ) ;
- dout0[3] ( PIN dout0[3] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 234370 11220 ) ( * 13090 )
NEW met3 ( 234370 11220 ) ( 246100 * 0 )
NEW met1 ( 212750 13090 ) ( 234370 * )
NEW met1 ( 210450 22950 ) ( 212750 * )
NEW met2 ( 210450 22950 ) ( * 27710 )
NEW met2 ( 212750 13090 ) ( * 22950 )
NEW met1 ( 212750 13090 ) M1M2_PR
NEW met1 ( 234370 13090 ) M1M2_PR
NEW met2 ( 234370 11220 ) M2M3_PR_M
NEW li1 ( 212750 22950 ) L1M1_PR_MR
NEW met1 ( 210450 22950 ) M1M2_PR
NEW li1 ( 210450 27710 ) L1M1_PR_MR
NEW met1 ( 210450 27710 ) M1M2_PR
NEW met1 ( 212750 22950 ) M1M2_PR
NEW met1 ( 210450 27710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 212750 22950 ) RECT ( -595 -70 0 70 ) ;
- dout0[4] ( PIN dout0[4] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met2 ( 208610 15470 ) ( * 20570 )
NEW met1 ( 208610 15470 ) ( 227930 * )
NEW met2 ( 227930 14620 ) ( * 15470 )
NEW met3 ( 227930 14620 ) ( 246100 * 0 )
NEW met1 ( 206310 20570 ) ( 208610 * )
NEW li1 ( 206310 20570 ) L1M1_PR_MR
NEW li1 ( 208610 20570 ) L1M1_PR_MR
NEW met1 ( 208610 20570 ) M1M2_PR
NEW met1 ( 208610 15470 ) M1M2_PR
NEW met1 ( 227930 15470 ) M1M2_PR
NEW met2 ( 227930 14620 ) M2M3_PR_M
NEW met1 ( 208610 20570 ) RECT ( -355 -70 0 70 ) ;
- dout0[5] ( PIN dout0[5] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met1 ( 224250 33830 ) ( 225170 * )
NEW met2 ( 224250 17340 ) ( * 33830 )
NEW met2 ( 216430 33490 ) ( * 33660 )
NEW met3 ( 216430 33660 ) ( 224250 * )
NEW met3 ( 224250 17340 ) ( 246100 * 0 )
NEW li1 ( 225170 33830 ) L1M1_PR_MR
NEW met1 ( 224250 33830 ) M1M2_PR
NEW met2 ( 224250 17340 ) M2M3_PR_M
NEW li1 ( 216430 33490 ) L1M1_PR_MR
NEW met1 ( 216430 33490 ) M1M2_PR
NEW met2 ( 216430 33660 ) M2M3_PR_M
NEW met2 ( 224250 33660 ) M2M3_PR_M
NEW met1 ( 216430 33490 ) RECT ( -355 -70 0 70 )
NEW met2 ( 224250 33660 ) RECT ( -70 -485 70 0 ) ;
- dout0[6] ( PIN dout0[6] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 221950 31450 ) ( 224710 * )
NEW met2 ( 224710 21420 ) ( * 31450 )
NEW met3 ( 224710 21420 ) ( 235980 * )
NEW met3 ( 235980 20740 ) ( * 21420 )
NEW met3 ( 235980 20740 ) ( 246100 * 0 )
NEW met1 ( 219190 38930 ) ( 222870 * )
NEW met2 ( 222870 31450 ) ( * 38930 )
NEW li1 ( 221950 31450 ) L1M1_PR_MR
NEW met1 ( 224710 31450 ) M1M2_PR
NEW met2 ( 224710 21420 ) M2M3_PR_M
NEW li1 ( 219190 38930 ) L1M1_PR_MR
NEW met1 ( 222870 38930 ) M1M2_PR
NEW met1 ( 222870 31450 ) M1M2_PR
NEW met1 ( 222870 31450 ) RECT ( -595 -70 0 70 ) ;
- dout0[7] ( PIN dout0[7] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met2 ( 218270 24140 ) ( * 28390 )
NEW met1 ( 207690 28390 ) ( 218270 * )
NEW met3 ( 218270 24140 ) ( 246100 * 0 )
NEW li1 ( 218270 28390 ) L1M1_PR_MR
NEW met1 ( 218270 28390 ) M1M2_PR
NEW met2 ( 218270 24140 ) M2M3_PR_M
NEW li1 ( 207690 28390 ) L1M1_PR_MR
NEW met1 ( 218270 28390 ) RECT ( 0 -70 355 70 ) ;
- dout0[8] ( PIN dout0[8] ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 221490 33810 ) ( * 33830 )
NEW met1 ( 221490 33810 ) ( 221950 * )
NEW met1 ( 221950 33810 ) ( * 33830 )
NEW met1 ( 221950 33830 ) ( 223790 * )
NEW met1 ( 223790 33830 ) ( * 34170 )
NEW met1 ( 223790 34170 ) ( 227010 * )
NEW met2 ( 227010 27540 ) ( * 34170 )
NEW met2 ( 214130 33830 ) ( * 35870 )
NEW met1 ( 214130 33830 ) ( 221450 * )
NEW met1 ( 221450 33810 ) ( * 33830 )
NEW met1 ( 221450 33810 ) ( 221490 * )
NEW met3 ( 227010 27540 ) ( 246100 * 0 )
NEW li1 ( 221490 33830 ) L1M1_PR_MR
NEW met1 ( 227010 34170 ) M1M2_PR
NEW met2 ( 227010 27540 ) M2M3_PR_M
NEW li1 ( 214130 35870 ) L1M1_PR_MR
NEW met1 ( 214130 35870 ) M1M2_PR
NEW met1 ( 214130 33830 ) M1M2_PR
NEW met1 ( 214130 35870 ) RECT ( -355 -70 0 70 ) ;
- dout0[9] ( PIN dout0[9] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met1 ( 218730 31110 ) ( * 31450 )
NEW met1 ( 218730 31110 ) ( 227930 * )
NEW met2 ( 227930 30940 ) ( * 31110 )
NEW met3 ( 227930 30940 ) ( 246100 * 0 )
NEW met1 ( 209530 31450 ) ( 218730 * )
NEW li1 ( 218730 31450 ) L1M1_PR_MR
NEW met1 ( 227930 31110 ) M1M2_PR
NEW met2 ( 227930 30940 ) M2M3_PR_M
NEW li1 ( 209530 31450 ) L1M1_PR_MR ;
- dout1[0] ( PIN dout1[0] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 105060 ) ( * 107610 )
NEW met3 ( 240350 105060 ) ( 246100 * 0 )
NEW met1 ( 237130 109990 ) ( 239890 * )
NEW met2 ( 239890 107780 ) ( * 109990 )
NEW met2 ( 239890 107780 ) ( 240350 * )
NEW met2 ( 240350 107610 ) ( * 107780 )
NEW li1 ( 240350 107610 ) L1M1_PR_MR
NEW met1 ( 240350 107610 ) M1M2_PR
NEW met2 ( 240350 105060 ) M2M3_PR_M
NEW li1 ( 237130 109990 ) L1M1_PR_MR
NEW met1 ( 239890 109990 ) M1M2_PR
NEW met1 ( 240350 107610 ) RECT ( -355 -70 0 70 ) ;
- dout1[10] ( PIN dout1[10] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 137870 ) ( 241270 * )
NEW met2 ( 241270 137700 ) ( * 137870 )
NEW met3 ( 241270 137700 ) ( 246100 * 0 )
NEW met1 ( 240350 140250 ) ( 241270 * )
NEW met2 ( 241270 137870 ) ( * 140250 )
NEW li1 ( 240810 137870 ) L1M1_PR_MR
NEW met1 ( 241270 137870 ) M1M2_PR
NEW met2 ( 241270 137700 ) M2M3_PR_M
NEW li1 ( 240350 140250 ) L1M1_PR_MR
NEW met1 ( 241270 140250 ) M1M2_PR ;
- dout1[11] ( PIN dout1[11] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 141100 ) ( * 142290 )
NEW met3 ( 240350 141100 ) ( 246100 * 0 )
NEW met1 ( 237130 142290 ) ( 240350 * )
NEW li1 ( 240350 142290 ) L1M1_PR_MR
NEW met1 ( 240350 142290 ) M1M2_PR
NEW met2 ( 240350 141100 ) M2M3_PR_M
NEW li1 ( 237130 142290 ) L1M1_PR_MR
NEW met1 ( 240350 142290 ) RECT ( -355 -70 0 70 ) ;
- dout1[12] ( PIN dout1[12] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 144500 ) ( * 145690 )
NEW met3 ( 240350 144500 ) ( 246100 * 0 )
NEW met1 ( 235290 145690 ) ( 240350 * )
NEW li1 ( 240350 145690 ) L1M1_PR_MR
NEW met1 ( 240350 145690 ) M1M2_PR
NEW met2 ( 240350 144500 ) M2M3_PR_M
NEW li1 ( 235290 145690 ) L1M1_PR_MR
NEW met1 ( 240350 145690 ) RECT ( -355 -70 0 70 ) ;
- dout1[13] ( PIN dout1[13] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 147730 ) ( * 147900 )
NEW met3 ( 240350 147900 ) ( 246100 * 0 )
NEW met1 ( 237130 147730 ) ( 240350 * )
NEW li1 ( 240350 147730 ) L1M1_PR_MR
NEW met1 ( 240350 147730 ) M1M2_PR
NEW met2 ( 240350 147900 ) M2M3_PR_M
NEW li1 ( 237130 147730 ) L1M1_PR_MR
NEW met1 ( 240350 147730 ) RECT ( -355 -70 0 70 ) ;
- dout1[14] ( PIN dout1[14] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 150620 ) ( * 151130 )
NEW met3 ( 240350 150620 ) ( 246100 * 0 )
NEW met2 ( 240350 151130 ) ( * 152830 )
NEW li1 ( 240350 151130 ) L1M1_PR_MR
NEW met1 ( 240350 151130 ) M1M2_PR
NEW met2 ( 240350 150620 ) M2M3_PR_M
NEW li1 ( 240350 152830 ) L1M1_PR_MR
NEW met1 ( 240350 152830 ) M1M2_PR
NEW met1 ( 240350 151130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240350 152830 ) RECT ( -355 -70 0 70 ) ;
- dout1[15] ( PIN dout1[15] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 154020 ) ( * 156570 )
NEW met3 ( 240350 154020 ) ( 246100 * 0 )
NEW met1 ( 238050 154530 ) ( 240350 * )
NEW li1 ( 240350 156570 ) L1M1_PR_MR
NEW met1 ( 240350 156570 ) M1M2_PR
NEW met2 ( 240350 154020 ) M2M3_PR_M
NEW li1 ( 238050 154530 ) L1M1_PR_MR
NEW met1 ( 240350 154530 ) M1M2_PR
NEW met1 ( 240350 156570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 240350 154530 ) RECT ( -70 -485 70 0 ) ;
- dout1[16] ( PIN dout1[16] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met1 ( 237130 158950 ) ( 240350 * )
NEW met3 ( 240350 157420 ) ( 246100 * 0 )
NEW met2 ( 240350 157420 ) ( * 158950 )
NEW li1 ( 240350 158950 ) L1M1_PR_MR
NEW met1 ( 240350 158950 ) M1M2_PR
NEW li1 ( 237130 158950 ) L1M1_PR_MR
NEW met2 ( 240350 157420 ) M2M3_PR_M
NEW met1 ( 240350 158950 ) RECT ( -355 -70 0 70 ) ;
- dout1[17] ( PIN dout1[17] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 160820 ) ( * 162010 )
NEW met3 ( 240350 160820 ) ( 246100 * 0 )
NEW met1 ( 235290 162010 ) ( 240350 * )
NEW li1 ( 240350 162010 ) L1M1_PR_MR
NEW met1 ( 240350 162010 ) M1M2_PR
NEW met2 ( 240350 160820 ) M2M3_PR_M
NEW li1 ( 235290 162010 ) L1M1_PR_MR
NEW met1 ( 240350 162010 ) RECT ( -355 -70 0 70 ) ;
- dout1[18] ( PIN dout1[18] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 163540 ) ( * 164050 )
NEW met3 ( 240350 163540 ) ( 246100 * 0 )
NEW met1 ( 237130 164050 ) ( 240350 * )
NEW li1 ( 240350 164050 ) L1M1_PR_MR
NEW met1 ( 240350 164050 ) M1M2_PR
NEW met2 ( 240350 163540 ) M2M3_PR_M
NEW li1 ( 237130 164050 ) L1M1_PR_MR
NEW met1 ( 240350 164050 ) RECT ( -355 -70 0 70 ) ;
- dout1[19] ( PIN dout1[19] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 166940 ) ( * 167450 )
NEW met3 ( 240350 166940 ) ( 246100 * 0 )
NEW met2 ( 240350 167450 ) ( * 169150 )
NEW li1 ( 240350 167450 ) L1M1_PR_MR
NEW met1 ( 240350 167450 ) M1M2_PR
NEW met2 ( 240350 166940 ) M2M3_PR_M
NEW li1 ( 240350 169150 ) L1M1_PR_MR
NEW met1 ( 240350 169150 ) M1M2_PR
NEW met1 ( 240350 167450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240350 169150 ) RECT ( -355 -70 0 70 ) ;
- dout1[1] ( PIN dout1[1] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 108460 ) ( * 109650 )
NEW met3 ( 240350 108460 ) ( 246100 * 0 )
NEW met1 ( 234370 109650 ) ( 240350 * )
NEW li1 ( 240350 109650 ) L1M1_PR_MR
NEW met1 ( 240350 109650 ) M1M2_PR
NEW met2 ( 240350 108460 ) M2M3_PR_M
NEW li1 ( 234370 109650 ) L1M1_PR_MR
NEW met1 ( 240350 109650 ) RECT ( -355 -70 0 70 ) ;
- dout1[20] ( PIN dout1[20] ) ( ANTENNA_input45_A DIODE ) ( input45 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 170340 ) ( * 172890 )
NEW met3 ( 240350 170340 ) ( 246100 * 0 )
NEW met1 ( 238050 170850 ) ( 240350 * )
NEW li1 ( 240350 172890 ) L1M1_PR_MR
NEW met1 ( 240350 172890 ) M1M2_PR
NEW met2 ( 240350 170340 ) M2M3_PR_M
NEW li1 ( 238050 170850 ) L1M1_PR_MR
NEW met1 ( 240350 170850 ) M1M2_PR
NEW met1 ( 240350 172890 ) RECT ( -355 -70 0 70 )
NEW met2 ( 240350 170850 ) RECT ( -70 -485 70 0 ) ;
- dout1[21] ( PIN dout1[21] ) ( ANTENNA_input46_A DIODE ) ( input46 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 173740 ) ( * 174930 )
NEW met3 ( 240350 173740 ) ( 246100 * 0 )
NEW met1 ( 237130 174930 ) ( 240350 * )
NEW li1 ( 240350 174930 ) L1M1_PR_MR
NEW met1 ( 240350 174930 ) M1M2_PR
NEW met2 ( 240350 173740 ) M2M3_PR_M
NEW li1 ( 237130 174930 ) L1M1_PR_MR
NEW met1 ( 240350 174930 ) RECT ( -355 -70 0 70 ) ;
- dout1[22] ( PIN dout1[22] ) ( ANTENNA_input47_A DIODE ) ( input47 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 177140 ) ( * 178330 )
NEW met3 ( 240350 177140 ) ( 246100 * 0 )
NEW met1 ( 235290 178330 ) ( 240350 * )
NEW li1 ( 240350 178330 ) L1M1_PR_MR
NEW met1 ( 240350 178330 ) M1M2_PR
NEW met2 ( 240350 177140 ) M2M3_PR_M
NEW li1 ( 235290 178330 ) L1M1_PR_MR
NEW met1 ( 240350 178330 ) RECT ( -355 -70 0 70 ) ;
- dout1[23] ( PIN dout1[23] ) ( ANTENNA_input48_A DIODE ) ( input48 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 179860 ) ( * 180370 )
NEW met3 ( 240350 179860 ) ( 246100 * 0 )
NEW met1 ( 237130 180370 ) ( 240350 * )
NEW li1 ( 240350 180370 ) L1M1_PR_MR
NEW met1 ( 240350 180370 ) M1M2_PR
NEW met2 ( 240350 179860 ) M2M3_PR_M
NEW li1 ( 237130 180370 ) L1M1_PR_MR
NEW met1 ( 240350 180370 ) RECT ( -355 -70 0 70 ) ;
- dout1[24] ( PIN dout1[24] ) ( ANTENNA_input49_A DIODE ) ( input49 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 183260 ) ( * 183770 )
NEW met3 ( 240350 183260 ) ( 246100 * 0 )
NEW met2 ( 240350 183770 ) ( * 185470 )
NEW li1 ( 240350 183770 ) L1M1_PR_MR
NEW met1 ( 240350 183770 ) M1M2_PR
NEW met2 ( 240350 183260 ) M2M3_PR_M
NEW li1 ( 240350 185470 ) L1M1_PR_MR
NEW met1 ( 240350 185470 ) M1M2_PR
NEW met1 ( 240350 183770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240350 185470 ) RECT ( -355 -70 0 70 ) ;
- dout1[25] ( PIN dout1[25] ) ( ANTENNA_input50_A DIODE ) ( input50 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 186660 ) ( * 189210 )
NEW met3 ( 240350 186660 ) ( 246100 * 0 )
NEW met1 ( 238050 187170 ) ( 240350 * )
NEW li1 ( 240350 189210 ) L1M1_PR_MR
NEW met1 ( 240350 189210 ) M1M2_PR
NEW met2 ( 240350 186660 ) M2M3_PR_M
NEW li1 ( 238050 187170 ) L1M1_PR_MR
NEW met1 ( 240350 187170 ) M1M2_PR
NEW met1 ( 240350 189210 ) RECT ( -355 -70 0 70 )
NEW met2 ( 240350 187170 ) RECT ( -70 -485 70 0 ) ;
- dout1[26] ( PIN dout1[26] ) ( ANTENNA_input51_A DIODE ) ( input51 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 190060 ) ( * 191250 )
NEW met3 ( 240350 190060 ) ( 246100 * 0 )
NEW met1 ( 237130 191250 ) ( 240350 * )
NEW li1 ( 240350 191250 ) L1M1_PR_MR
NEW met1 ( 240350 191250 ) M1M2_PR
NEW met2 ( 240350 190060 ) M2M3_PR_M
NEW li1 ( 237130 191250 ) L1M1_PR_MR
NEW met1 ( 240350 191250 ) RECT ( -355 -70 0 70 ) ;
- dout1[27] ( PIN dout1[27] ) ( ANTENNA_input52_A DIODE ) ( input52 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 192780 ) ( * 194650 )
NEW met3 ( 240350 192780 ) ( 246100 * 0 )
NEW met1 ( 235290 194650 ) ( 240350 * )
NEW li1 ( 240350 194650 ) L1M1_PR_MR
NEW met1 ( 240350 194650 ) M1M2_PR
NEW met2 ( 240350 192780 ) M2M3_PR_M
NEW li1 ( 235290 194650 ) L1M1_PR_MR
NEW met1 ( 240350 194650 ) RECT ( -355 -70 0 70 ) ;
- dout1[28] ( PIN dout1[28] ) ( ANTENNA_input53_A DIODE ) ( input53 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 196180 ) ( * 196690 )
NEW met3 ( 240350 196180 ) ( 246100 * 0 )
NEW met1 ( 237130 196690 ) ( 240350 * )
NEW li1 ( 240350 196690 ) L1M1_PR_MR
NEW met1 ( 240350 196690 ) M1M2_PR
NEW met2 ( 240350 196180 ) M2M3_PR_M
NEW li1 ( 237130 196690 ) L1M1_PR_MR
NEW met1 ( 240350 196690 ) RECT ( -355 -70 0 70 ) ;
- dout1[29] ( PIN dout1[29] ) ( ANTENNA_input54_A DIODE ) ( input54 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 199580 ) ( * 200430 )
NEW met3 ( 240350 199580 ) ( 246100 * 0 )
NEW met2 ( 240350 200430 ) ( * 201790 )
NEW li1 ( 240350 200430 ) L1M1_PR_MR
NEW met1 ( 240350 200430 ) M1M2_PR
NEW met2 ( 240350 199580 ) M2M3_PR_M
NEW li1 ( 240350 201790 ) L1M1_PR_MR
NEW met1 ( 240350 201790 ) M1M2_PR
NEW met1 ( 240350 200430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240350 201790 ) RECT ( -355 -70 0 70 ) ;
- dout1[2] ( PIN dout1[2] ) ( ANTENNA_input55_A DIODE ) ( input55 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 111860 ) ( * 113050 )
NEW met3 ( 240350 111860 ) ( 246100 * 0 )
NEW met1 ( 235290 113050 ) ( 240350 * )
NEW li1 ( 240350 113050 ) L1M1_PR_MR
NEW met1 ( 240350 113050 ) M1M2_PR
NEW met2 ( 240350 111860 ) M2M3_PR_M
NEW li1 ( 235290 113050 ) L1M1_PR_MR
NEW met1 ( 240350 113050 ) RECT ( -355 -70 0 70 ) ;
- dout1[30] ( PIN dout1[30] ) ( ANTENNA_input56_A DIODE ) ( input56 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 202980 ) ( * 205530 )
NEW met3 ( 240350 202980 ) ( 246100 * 0 )
NEW met1 ( 238050 203490 ) ( 240350 * )
NEW li1 ( 240350 205530 ) L1M1_PR_MR
NEW met1 ( 240350 205530 ) M1M2_PR
NEW met2 ( 240350 202980 ) M2M3_PR_M
NEW li1 ( 238050 203490 ) L1M1_PR_MR
NEW met1 ( 240350 203490 ) M1M2_PR
NEW met1 ( 240350 205530 ) RECT ( -355 -70 0 70 )
NEW met2 ( 240350 203490 ) RECT ( -70 -485 70 0 ) ;
- dout1[31] ( PIN dout1[31] ) ( ANTENNA_input57_A DIODE ) ( input57 A ) + USE SIGNAL
+ ROUTED met3 ( 240350 206380 ) ( 246100 * 0 )
NEW met1 ( 237130 207910 ) ( 240350 * )
NEW met2 ( 240350 206380 ) ( * 207910 )
NEW met2 ( 240350 206380 ) M2M3_PR_M
NEW li1 ( 240350 207910 ) L1M1_PR_MR
NEW li1 ( 237130 207910 ) L1M1_PR_MR
NEW met1 ( 240350 207910 ) M1M2_PR
NEW met1 ( 240350 207910 ) RECT ( -595 -70 0 70 ) ;
- dout1[3] ( PIN dout1[3] ) ( ANTENNA_input58_A DIODE ) ( input58 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 115090 ) ( * 115260 )
NEW met3 ( 240350 115260 ) ( 246100 * 0 )
NEW met1 ( 237130 115090 ) ( 240350 * )
NEW li1 ( 240350 115090 ) L1M1_PR_MR
NEW met1 ( 240350 115090 ) M1M2_PR
NEW met2 ( 240350 115260 ) M2M3_PR_M
NEW li1 ( 237130 115090 ) L1M1_PR_MR
NEW met1 ( 240350 115090 ) RECT ( -355 -70 0 70 ) ;
- dout1[4] ( PIN dout1[4] ) ( ANTENNA_input59_A DIODE ) ( input59 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 118660 ) ( * 120530 )
NEW met3 ( 240350 118660 ) ( 246100 * 0 )
NEW met1 ( 237130 120530 ) ( 240350 * )
NEW li1 ( 240350 120530 ) L1M1_PR_MR
NEW met1 ( 240350 120530 ) M1M2_PR
NEW met2 ( 240350 118660 ) M2M3_PR_M
NEW li1 ( 237130 120530 ) L1M1_PR_MR
NEW met1 ( 240350 120530 ) RECT ( -355 -70 0 70 ) ;
- dout1[5] ( PIN dout1[5] ) ( ANTENNA_input60_A DIODE ) ( input60 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 121380 ) ( * 123930 )
NEW met3 ( 240350 121380 ) ( 246100 * 0 )
NEW met1 ( 235290 123930 ) ( 240350 * )
NEW li1 ( 240350 123930 ) L1M1_PR_MR
NEW met1 ( 240350 123930 ) M1M2_PR
NEW met2 ( 240350 121380 ) M2M3_PR_M
NEW li1 ( 235290 123930 ) L1M1_PR_MR
NEW met1 ( 240350 123930 ) RECT ( -355 -70 0 70 ) ;
- dout1[6] ( PIN dout1[6] ) ( ANTENNA_input61_A DIODE ) ( input61 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 124780 ) ( * 125970 )
NEW met3 ( 240350 124780 ) ( 246100 * 0 )
NEW met1 ( 237130 125970 ) ( 240350 * )
NEW li1 ( 240350 125970 ) L1M1_PR_MR
NEW met1 ( 240350 125970 ) M1M2_PR
NEW met2 ( 240350 124780 ) M2M3_PR_M
NEW li1 ( 237130 125970 ) L1M1_PR_MR
NEW met1 ( 240350 125970 ) RECT ( -355 -70 0 70 ) ;
- dout1[7] ( PIN dout1[7] ) ( ANTENNA_input62_A DIODE ) ( input62 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 128180 ) ( * 129370 )
NEW met3 ( 240350 128180 ) ( 246100 * 0 )
NEW met1 ( 235290 129370 ) ( 240350 * )
NEW li1 ( 240350 129370 ) L1M1_PR_MR
NEW met1 ( 240350 129370 ) M1M2_PR
NEW met2 ( 240350 128180 ) M2M3_PR_M
NEW li1 ( 235290 129370 ) L1M1_PR_MR
NEW met1 ( 240350 129370 ) RECT ( -355 -70 0 70 ) ;
- dout1[8] ( PIN dout1[8] ) ( ANTENNA_input63_A DIODE ) ( input63 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 131410 ) ( * 131580 )
NEW met3 ( 240350 131580 ) ( 246100 * 0 )
NEW met1 ( 237130 131410 ) ( 240350 * )
NEW li1 ( 240350 131410 ) L1M1_PR_MR
NEW met1 ( 240350 131410 ) M1M2_PR
NEW met2 ( 240350 131580 ) M2M3_PR_M
NEW li1 ( 237130 131410 ) L1M1_PR_MR
NEW met1 ( 240350 131410 ) RECT ( -355 -70 0 70 ) ;
- dout1[9] ( PIN dout1[9] ) ( ANTENNA_input64_A DIODE ) ( input64 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 134300 ) ( * 134810 )
NEW met3 ( 240350 134300 ) ( 246100 * 0 )
NEW met1 ( 238050 136510 ) ( 240350 * )
NEW met2 ( 240350 134810 ) ( * 136510 )
NEW li1 ( 240350 134810 ) L1M1_PR_MR
NEW met1 ( 240350 134810 ) M1M2_PR
NEW met2 ( 240350 134300 ) M2M3_PR_M
NEW li1 ( 238050 136510 ) L1M1_PR_MR
NEW met1 ( 240350 136510 ) M1M2_PR
NEW met1 ( 240350 134810 ) RECT ( -355 -70 0 70 ) ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_index ( _476_ Q ) ( _127_ A1 ) ( _102_ A1 ) ( _101_ A ) + USE SIGNAL
+ ROUTED met2 ( 158010 216410 ) ( * 218790 )
NEW met2 ( 158010 209950 ) ( * 216410 )
NEW met2 ( 158470 207910 ) ( * 209780 )
NEW met2 ( 158010 209780 ) ( 158470 * )
NEW met2 ( 158010 209780 ) ( * 209950 )
NEW met1 ( 158010 218790 ) ( 163530 * )
NEW li1 ( 163530 218790 ) L1M1_PR_MR
NEW li1 ( 158010 216410 ) L1M1_PR_MR
NEW met1 ( 158010 216410 ) M1M2_PR
NEW met1 ( 158010 218790 ) M1M2_PR
NEW li1 ( 158010 209950 ) L1M1_PR_MR
NEW met1 ( 158010 209950 ) M1M2_PR
NEW li1 ( 158470 207910 ) L1M1_PR_MR
NEW met1 ( 158470 207910 ) M1M2_PR
NEW met1 ( 158010 216410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 158010 209950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 158470 207910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[0] ( PIN io_oeb[0] ) ( output171 X ) + USE SIGNAL
+ ROUTED met1 ( 2990 233410 ) ( 7590 * )
NEW met2 ( 2990 233410 ) ( * 246500 0 )
NEW li1 ( 7590 233410 ) L1M1_PR_MR
NEW met1 ( 2990 233410 ) M1M2_PR ;
- io_oeb[10] ( PIN io_oeb[10] ) ( output172 X ) + USE SIGNAL
+ ROUTED met1 ( 65550 236130 ) ( 66930 * )
NEW met2 ( 65550 236130 ) ( * 246500 0 )
NEW li1 ( 66930 236130 ) L1M1_PR_MR
NEW met1 ( 65550 236130 ) M1M2_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( output173 X ) + USE SIGNAL
+ ROUTED met1 ( 71530 236130 ) ( 72910 * )
NEW met2 ( 71530 236130 ) ( * 246500 0 )
NEW li1 ( 72910 236130 ) L1M1_PR_MR
NEW met1 ( 71530 236130 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( output174 X ) + USE SIGNAL
+ ROUTED met1 ( 77970 236130 ) ( 79350 * )
NEW met2 ( 77970 236130 ) ( * 246500 0 )
NEW li1 ( 79350 236130 ) L1M1_PR_MR
NEW met1 ( 77970 236130 ) M1M2_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( output175 X ) + USE SIGNAL
+ ROUTED met1 ( 84410 236130 ) ( 85330 * )
NEW met2 ( 84410 236130 ) ( * 246500 0 )
NEW li1 ( 85330 236130 ) L1M1_PR_MR
NEW met1 ( 84410 236130 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( output176 X ) + USE SIGNAL
+ ROUTED met1 ( 90390 236130 ) ( 91310 * )
NEW met2 ( 90390 236130 ) ( * 246500 0 )
NEW li1 ( 91310 236130 ) L1M1_PR_MR
NEW met1 ( 90390 236130 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( output177 X ) + USE SIGNAL
+ ROUTED met1 ( 96830 236130 ) ( 97750 * )
NEW met2 ( 96830 236130 ) ( * 246500 0 )
NEW li1 ( 97750 236130 ) L1M1_PR_MR
NEW met1 ( 96830 236130 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( output178 X ) + USE SIGNAL
+ ROUTED met1 ( 103730 236130 ) ( 104190 * )
NEW met2 ( 102810 236130 ) ( 103730 * )
NEW met2 ( 102810 236130 ) ( * 246500 0 )
NEW li1 ( 104190 236130 ) L1M1_PR_MR
NEW met1 ( 103730 236130 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( output179 X ) + USE SIGNAL
+ ROUTED met2 ( 109250 240380 ) ( * 246500 0 )
NEW met2 ( 110630 236130 ) ( * 240380 )
NEW met2 ( 109250 240380 ) ( 110630 * )
NEW li1 ( 110630 236130 ) L1M1_PR_MR
NEW met1 ( 110630 236130 ) M1M2_PR
NEW met1 ( 110630 236130 ) RECT ( 0 -70 355 70 ) ;
- io_oeb[18] ( PIN io_oeb[18] ) ( output180 X ) + USE SIGNAL
+ ROUTED met1 ( 115230 236130 ) ( 116610 * )
NEW met2 ( 115230 236130 ) ( * 246500 0 )
NEW li1 ( 116610 236130 ) L1M1_PR_MR
NEW met1 ( 115230 236130 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( output181 X ) + USE SIGNAL
+ ROUTED met1 ( 121670 236130 ) ( 123050 * )
NEW met2 ( 121670 236130 ) ( * 246500 0 )
NEW li1 ( 123050 236130 ) L1M1_PR_MR
NEW met1 ( 121670 236130 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( output182 X ) + USE SIGNAL
+ ROUTED met1 ( 9430 236130 ) ( 11730 * )
NEW met2 ( 9430 236130 ) ( * 246500 0 )
NEW li1 ( 11730 236130 ) L1M1_PR_MR
NEW met1 ( 9430 236130 ) M1M2_PR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( output183 X ) + USE SIGNAL
+ ROUTED met1 ( 128110 236130 ) ( 129490 * )
NEW met2 ( 128110 236130 ) ( * 246500 0 )
NEW li1 ( 129490 236130 ) L1M1_PR_MR
NEW met1 ( 128110 236130 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( output184 X ) + USE SIGNAL
+ ROUTED met1 ( 134090 236130 ) ( 135930 * )
NEW met2 ( 134090 236130 ) ( * 246500 0 )
NEW li1 ( 135930 236130 ) L1M1_PR_MR
NEW met1 ( 134090 236130 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( output185 X ) + USE SIGNAL
+ ROUTED met1 ( 140530 236130 ) ( 141910 * )
NEW met2 ( 140530 236130 ) ( * 246500 0 )
NEW li1 ( 141910 236130 ) L1M1_PR_MR
NEW met1 ( 140530 236130 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( output186 X ) + USE SIGNAL
+ ROUTED met1 ( 146510 236130 ) ( 148810 * )
NEW met2 ( 146510 236130 ) ( * 246500 0 )
NEW li1 ( 148810 236130 ) L1M1_PR_MR
NEW met1 ( 146510 236130 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( output187 X ) + USE SIGNAL
+ ROUTED met1 ( 152950 236130 ) ( 154330 * )
NEW met2 ( 152950 236130 ) ( * 246500 0 )
NEW li1 ( 154330 236130 ) L1M1_PR_MR
NEW met1 ( 152950 236130 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( output188 X ) + USE SIGNAL
+ ROUTED met1 ( 158930 236130 ) ( 161230 * )
NEW met2 ( 158930 236130 ) ( * 246500 0 )
NEW li1 ( 161230 236130 ) L1M1_PR_MR
NEW met1 ( 158930 236130 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( output189 X ) + USE SIGNAL
+ ROUTED met1 ( 165830 236130 ) ( 166290 * )
NEW met2 ( 165370 236130 ) ( 165830 * )
NEW met2 ( 165370 236130 ) ( * 246500 0 )
NEW li1 ( 166290 236130 ) L1M1_PR_MR
NEW met1 ( 165830 236130 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( output190 X ) + USE SIGNAL
+ ROUTED met1 ( 172730 236130 ) ( 174570 * )
NEW met2 ( 171810 236130 ) ( 172730 * )
NEW met2 ( 171810 236130 ) ( * 246500 0 )
NEW li1 ( 174570 236130 ) L1M1_PR_MR
NEW met1 ( 172730 236130 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( output191 X ) + USE SIGNAL
+ ROUTED met1 ( 177790 236130 ) ( 179170 * )
NEW met2 ( 177790 236130 ) ( * 246500 0 )
NEW li1 ( 179170 236130 ) L1M1_PR_MR
NEW met1 ( 177790 236130 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( output192 X ) + USE SIGNAL
+ ROUTED met1 ( 184230 234430 ) ( 186990 * )
NEW met2 ( 184230 234430 ) ( * 246500 0 )
NEW li1 ( 186990 234430 ) L1M1_PR_MR
NEW met1 ( 184230 234430 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( output193 X ) + USE SIGNAL
+ ROUTED met1 ( 15410 236130 ) ( 20010 * )
NEW met2 ( 15410 236130 ) ( * 246500 0 )
NEW li1 ( 20010 236130 ) L1M1_PR_MR
NEW met1 ( 15410 236130 ) M1M2_PR ;
- io_oeb[30] ( PIN io_oeb[30] ) ( output194 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 236130 ) ( 191130 * )
NEW met2 ( 190210 236130 ) ( * 246500 0 )
NEW li1 ( 191130 236130 ) L1M1_PR_MR
NEW met1 ( 190210 236130 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( output195 X ) + USE SIGNAL
+ ROUTED met1 ( 196650 236130 ) ( 200330 * )
NEW met2 ( 196650 236130 ) ( * 246500 0 )
NEW li1 ( 200330 236130 ) L1M1_PR_MR
NEW met1 ( 196650 236130 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( output196 X ) + USE SIGNAL
+ ROUTED met1 ( 202630 236130 ) ( 203550 * )
NEW met2 ( 202630 236130 ) ( * 246500 0 )
NEW li1 ( 203550 236130 ) L1M1_PR_MR
NEW met1 ( 202630 236130 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( output197 X ) + USE SIGNAL
+ ROUTED met1 ( 209070 236130 ) ( 213210 * )
NEW met2 ( 209070 236130 ) ( * 246500 0 )
NEW li1 ( 213210 236130 ) L1M1_PR_MR
NEW met1 ( 209070 236130 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( output198 X ) + USE SIGNAL
+ ROUTED met1 ( 215510 236130 ) ( 216890 * )
NEW met2 ( 215510 236130 ) ( * 246500 0 )
NEW li1 ( 216890 236130 ) L1M1_PR_MR
NEW met1 ( 215510 236130 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( output199 X ) + USE SIGNAL
+ ROUTED met1 ( 221490 236130 ) ( 221950 * )
NEW met2 ( 221490 236130 ) ( * 246500 0 )
NEW li1 ( 221950 236130 ) L1M1_PR_MR
NEW met1 ( 221490 236130 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( output200 X ) + USE SIGNAL
+ ROUTED met1 ( 227930 230690 ) ( 229310 * )
NEW met2 ( 227930 230690 ) ( * 246500 0 )
NEW li1 ( 229310 230690 ) L1M1_PR_MR
NEW met1 ( 227930 230690 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( _235_ LO ) + USE SIGNAL
+ ROUTED met1 ( 226090 230350 ) ( 233910 * )
NEW met2 ( 233910 230350 ) ( * 246500 0 )
NEW li1 ( 226090 230350 ) L1M1_PR_MR
NEW met1 ( 233910 230350 ) M1M2_PR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( output201 X ) + USE SIGNAL
+ ROUTED met2 ( 23230 236130 ) ( * 240380 )
NEW met2 ( 21850 240380 ) ( 23230 * )
NEW met2 ( 21850 240380 ) ( * 246500 0 )
NEW li1 ( 23230 236130 ) L1M1_PR_MR
NEW met1 ( 23230 236130 ) M1M2_PR
NEW met1 ( 23230 236130 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[4] ( PIN io_oeb[4] ) ( output202 X ) + USE SIGNAL
+ ROUTED met1 ( 27830 236130 ) ( 32890 * )
NEW met2 ( 27830 236130 ) ( * 246500 0 )
NEW li1 ( 32890 236130 ) L1M1_PR_MR
NEW met1 ( 27830 236130 ) M1M2_PR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( output203 X ) + USE SIGNAL
+ ROUTED met1 ( 34730 236130 ) ( 36110 * )
NEW met2 ( 34730 236130 ) ( * 236300 )
NEW met2 ( 34270 236300 ) ( 34730 * )
NEW met2 ( 34270 236300 ) ( * 246500 0 )
NEW li1 ( 36110 236130 ) L1M1_PR_MR
NEW met1 ( 34730 236130 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( output204 X ) + USE SIGNAL
+ ROUTED met2 ( 41630 236130 ) ( * 240380 )
NEW met2 ( 40250 240380 ) ( 41630 * )
NEW met2 ( 40250 240380 ) ( * 246500 0 )
NEW li1 ( 41630 236130 ) L1M1_PR_MR
NEW met1 ( 41630 236130 ) M1M2_PR
NEW met1 ( 41630 236130 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[7] ( PIN io_oeb[7] ) ( output205 X ) + USE SIGNAL
+ ROUTED met1 ( 46690 236130 ) ( 47610 * )
NEW met2 ( 46690 236130 ) ( * 246500 0 )
NEW li1 ( 47610 236130 ) L1M1_PR_MR
NEW met1 ( 46690 236130 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( output206 X ) + USE SIGNAL
+ ROUTED met1 ( 53130 236130 ) ( 54510 * )
NEW met2 ( 53130 236130 ) ( * 246500 0 )
NEW li1 ( 54510 236130 ) L1M1_PR_MR
NEW met1 ( 53130 236130 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( output207 X ) + USE SIGNAL
+ ROUTED met1 ( 59110 236130 ) ( 60030 * )
NEW met2 ( 59110 236130 ) ( * 246500 0 )
NEW li1 ( 60030 236130 ) L1M1_PR_MR
NEW met1 ( 59110 236130 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( output208 X ) + USE SIGNAL
+ ROUTED met2 ( 5290 234430 ) ( * 246500 0 )
NEW met1 ( 5290 234430 ) ( 15410 * )
NEW met1 ( 5290 234430 ) M1M2_PR
NEW li1 ( 15410 234430 ) L1M1_PR_MR ;
- io_out[10] ( PIN io_out[10] ) ( output209 X ) + USE SIGNAL
+ ROUTED met1 ( 67390 233410 ) ( 68310 * )
NEW met2 ( 67390 233410 ) ( * 246500 0 )
NEW li1 ( 68310 233410 ) L1M1_PR_MR
NEW met1 ( 67390 233410 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( output210 X ) + USE SIGNAL
+ ROUTED met1 ( 73830 233410 ) ( 74750 * )
NEW met2 ( 73830 233410 ) ( * 246500 0 )
NEW li1 ( 74750 233410 ) L1M1_PR_MR
NEW met1 ( 73830 233410 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( output211 X ) + USE SIGNAL
+ ROUTED met2 ( 79810 233410 ) ( * 246500 0 )
NEW li1 ( 79810 233410 ) L1M1_PR_MR
NEW met1 ( 79810 233410 ) M1M2_PR
NEW met1 ( 79810 233410 ) RECT ( -355 -70 0 70 ) ;
- io_out[13] ( PIN io_out[13] ) ( output212 X ) + USE SIGNAL
+ ROUTED met1 ( 86250 233410 ) ( 90850 * )
NEW met2 ( 86250 233410 ) ( * 246500 0 )
NEW li1 ( 90850 233410 ) L1M1_PR_MR
NEW met1 ( 86250 233410 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( output213 X ) + USE SIGNAL
+ ROUTED met1 ( 92690 233410 ) ( 94530 * )
NEW met2 ( 92690 233410 ) ( * 246500 0 )
NEW li1 ( 94530 233410 ) L1M1_PR_MR
NEW met1 ( 92690 233410 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( output214 X ) + USE SIGNAL
+ ROUTED met2 ( 100050 233410 ) ( * 239020 )
NEW met2 ( 98670 239020 ) ( 100050 * )
NEW met2 ( 98670 239020 ) ( * 246500 0 )
NEW li1 ( 100050 233410 ) L1M1_PR_MR
NEW met1 ( 100050 233410 ) M1M2_PR
NEW met1 ( 100050 233410 ) RECT ( -355 -70 0 70 ) ;
- io_out[16] ( PIN io_out[16] ) ( output215 X ) + USE SIGNAL
+ ROUTED met1 ( 105110 233410 ) ( 110170 * )
NEW met2 ( 105110 233410 ) ( * 246500 0 )
NEW li1 ( 110170 233410 ) L1M1_PR_MR
NEW met1 ( 105110 233410 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( output216 X ) + USE SIGNAL
+ ROUTED met1 ( 111090 233410 ) ( 113850 * )
NEW met2 ( 111090 233410 ) ( * 246500 0 )
NEW li1 ( 113850 233410 ) L1M1_PR_MR
NEW met1 ( 111090 233410 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( output217 X ) + USE SIGNAL
+ ROUTED met1 ( 117530 233410 ) ( 121670 * )
NEW met2 ( 117530 233410 ) ( * 246500 0 )
NEW li1 ( 121670 233410 ) L1M1_PR_MR
NEW met1 ( 117530 233410 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( output218 X ) + USE SIGNAL
+ ROUTED met1 ( 123510 233410 ) ( 125350 * )
NEW met2 ( 123510 233410 ) ( * 246500 0 )
NEW li1 ( 125350 233410 ) L1M1_PR_MR
NEW met1 ( 123510 233410 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( output219 X ) + USE SIGNAL
+ ROUTED met1 ( 11270 233410 ) ( 12190 * )
NEW met2 ( 11270 233410 ) ( * 246500 0 )
NEW li1 ( 12190 233410 ) L1M1_PR_MR
NEW met1 ( 11270 233410 ) M1M2_PR ;
- io_out[20] ( PIN io_out[20] ) ( output220 X ) + USE SIGNAL
+ ROUTED met1 ( 129950 233410 ) ( 130870 * )
NEW met2 ( 129950 233410 ) ( * 246500 0 )
NEW li1 ( 130870 233410 ) L1M1_PR_MR
NEW met1 ( 129950 233410 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( output221 X ) + USE SIGNAL
+ ROUTED met1 ( 136390 233410 ) ( 141450 * )
NEW met2 ( 136390 233410 ) ( * 246500 0 )
NEW li1 ( 141450 233410 ) L1M1_PR_MR
NEW met1 ( 136390 233410 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( output222 X ) + USE SIGNAL
+ ROUTED met1 ( 142370 230690 ) ( 143750 * )
NEW met2 ( 142370 230690 ) ( * 246500 0 )
NEW li1 ( 143750 230690 ) L1M1_PR_MR
NEW met1 ( 142370 230690 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( output223 X ) + USE SIGNAL
+ ROUTED met1 ( 148810 233410 ) ( 150190 * )
NEW met2 ( 148810 233410 ) ( * 246500 0 )
NEW li1 ( 150190 233410 ) L1M1_PR_MR
NEW met1 ( 148810 233410 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( output224 X ) + USE SIGNAL
+ ROUTED met1 ( 154790 230690 ) ( 156170 * )
NEW met2 ( 154790 230690 ) ( * 246500 0 )
NEW li1 ( 156170 230690 ) L1M1_PR_MR
NEW met1 ( 154790 230690 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( output225 X ) + USE SIGNAL
+ ROUTED met1 ( 161230 232050 ) ( 162610 * )
NEW met2 ( 161230 232050 ) ( * 246500 0 )
NEW li1 ( 162610 232050 ) L1M1_PR_MR
NEW met1 ( 161230 232050 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( output226 X ) + USE SIGNAL
+ ROUTED met1 ( 167670 236130 ) ( 170430 * )
NEW met2 ( 167670 236130 ) ( * 246500 0 )
NEW li1 ( 170430 236130 ) L1M1_PR_MR
NEW met1 ( 167670 236130 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( output227 X ) + USE SIGNAL
+ ROUTED met1 ( 174110 234430 ) ( 182850 * )
NEW met2 ( 174110 234430 ) ( * 235620 )
NEW met2 ( 173650 235620 ) ( 174110 * )
NEW met2 ( 173650 235620 ) ( * 246500 0 )
NEW li1 ( 182850 234430 ) L1M1_PR_MR
NEW met1 ( 174110 234430 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( output228 X ) + USE SIGNAL
+ ROUTED met1 ( 180090 233410 ) ( 181010 * )
NEW met2 ( 180090 233410 ) ( * 246500 0 )
NEW li1 ( 181010 233410 ) L1M1_PR_MR
NEW met1 ( 180090 233410 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( output229 X ) + USE SIGNAL
+ ROUTED met1 ( 186070 233410 ) ( 187450 * )
NEW met2 ( 186070 233410 ) ( * 246500 0 )
NEW li1 ( 187450 233410 ) L1M1_PR_MR
NEW met1 ( 186070 233410 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( output230 X ) + USE SIGNAL
+ ROUTED met1 ( 17710 233410 ) ( 21850 * )
NEW met2 ( 17710 233410 ) ( * 246500 0 )
NEW li1 ( 21850 233410 ) L1M1_PR_MR
NEW met1 ( 17710 233410 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( output231 X ) + USE SIGNAL
+ ROUTED met1 ( 193430 236130 ) ( 195270 * )
NEW met2 ( 192510 236130 ) ( 193430 * )
NEW met2 ( 192510 236130 ) ( * 246500 0 )
NEW li1 ( 195270 236130 ) L1M1_PR_MR
NEW met1 ( 193430 236130 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( output232 X ) + USE SIGNAL
+ ROUTED met1 ( 198490 233410 ) ( 199870 * )
NEW met2 ( 198490 233410 ) ( * 246500 0 )
NEW li1 ( 199870 233410 ) L1M1_PR_MR
NEW met1 ( 198490 233410 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( output233 X ) + USE SIGNAL
+ ROUTED met2 ( 204930 234430 ) ( * 246500 0 )
NEW met1 ( 204930 234430 ) ( 207690 * )
NEW met1 ( 204930 234430 ) M1M2_PR
NEW li1 ( 207690 234430 ) L1M1_PR_MR ;
- io_out[33] ( PIN io_out[33] ) ( output234 X ) + USE SIGNAL
+ ROUTED met1 ( 211370 233410 ) ( 213210 * )
NEW met2 ( 211370 233410 ) ( * 246500 0 )
NEW li1 ( 213210 233410 ) L1M1_PR_MR
NEW met1 ( 211370 233410 ) M1M2_PR ;
- io_out[34] ( PIN io_out[34] ) ( output235 X ) + USE SIGNAL
+ ROUTED met1 ( 217350 233410 ) ( 218730 * )
NEW met2 ( 217350 233410 ) ( * 246500 0 )
NEW li1 ( 218730 233410 ) L1M1_PR_MR
NEW met1 ( 217350 233410 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( output236 X ) + USE SIGNAL
+ ROUTED met2 ( 223790 233410 ) ( * 246500 0 )
NEW li1 ( 223790 233410 ) L1M1_PR_MR
NEW met1 ( 223790 233410 ) M1M2_PR
NEW met1 ( 223790 233410 ) RECT ( -355 -70 0 70 ) ;
- io_out[36] ( PIN io_out[36] ) ( output237 X ) + USE SIGNAL
+ ROUTED met1 ( 229770 226610 ) ( 231150 * )
NEW met2 ( 229770 226610 ) ( * 246500 0 )
NEW li1 ( 231150 226610 ) L1M1_PR_MR
NEW met1 ( 229770 226610 ) M1M2_PR ;
- io_out[37] ( PIN io_out[37] ) ( output238 X ) + USE SIGNAL
+ ROUTED met1 ( 236210 219810 ) ( 237590 * )
NEW met2 ( 236210 219810 ) ( * 246500 0 )
NEW li1 ( 237590 219810 ) L1M1_PR_MR
NEW met1 ( 236210 219810 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( output239 X ) + USE SIGNAL
+ ROUTED met1 ( 23690 236130 ) ( 26910 * )
NEW met2 ( 23690 236130 ) ( * 246500 0 )
NEW li1 ( 26910 236130 ) L1M1_PR_MR
NEW met1 ( 23690 236130 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( output240 X ) + USE SIGNAL
+ ROUTED met1 ( 30130 232050 ) ( 32890 * )
NEW met2 ( 30130 232050 ) ( * 246500 0 )
NEW li1 ( 32890 232050 ) L1M1_PR_MR
NEW met1 ( 30130 232050 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( output241 X ) + USE SIGNAL
+ ROUTED met1 ( 36110 233410 ) ( 37030 * )
NEW met2 ( 36110 233410 ) ( * 246500 0 )
NEW li1 ( 37030 233410 ) L1M1_PR_MR
NEW met1 ( 36110 233410 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( output242 X ) + USE SIGNAL
+ ROUTED met1 ( 42550 233410 ) ( 43930 * )
NEW met2 ( 42550 233410 ) ( * 246500 0 )
NEW li1 ( 43930 233410 ) L1M1_PR_MR
NEW met1 ( 42550 233410 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( output243 X ) + USE SIGNAL
+ ROUTED met1 ( 49450 233410 ) ( 49910 * )
NEW met2 ( 49450 233410 ) ( * 235620 )
NEW met2 ( 48990 235620 ) ( 49450 * )
NEW met2 ( 48990 235620 ) ( * 246500 0 )
NEW li1 ( 49910 233410 ) L1M1_PR_MR
NEW met1 ( 49450 233410 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( output244 X ) + USE SIGNAL
+ ROUTED met1 ( 54050 233410 ) ( 54970 * )
NEW met2 ( 54970 233410 ) ( * 246500 0 )
NEW li1 ( 54050 233410 ) L1M1_PR_MR
NEW met1 ( 54970 233410 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( output245 X ) + USE SIGNAL
+ ROUTED met2 ( 61870 233410 ) ( * 233580 )
NEW met2 ( 61410 233580 ) ( 61870 * )
NEW met2 ( 61410 233580 ) ( * 246500 0 )
NEW met1 ( 61870 233410 ) ( 65090 * )
NEW li1 ( 65090 233410 ) L1M1_PR_MR
NEW met1 ( 61870 233410 ) M1M2_PR ;
- irq[0] ( PIN irq[0] ) ( _236_ LO ) + USE SIGNAL
+ ROUTED met1 ( 237130 17850 ) ( 244950 * )
NEW met2 ( 244950 3740 0 ) ( * 17850 )
NEW met1 ( 244950 17850 ) M1M2_PR
NEW li1 ( 237130 17850 ) L1M1_PR_MR ;
- irq[1] ( PIN irq[1] ) ( _237_ LO ) + USE SIGNAL
+ ROUTED met1 ( 231610 15470 ) ( 245410 * )
NEW met1 ( 231610 15130 ) ( * 15470 )
NEW met2 ( 245410 3740 0 ) ( * 15470 )
NEW met1 ( 245410 15470 ) M1M2_PR
NEW li1 ( 231610 15130 ) L1M1_PR_MR ;
- irq[2] ( PIN irq[2] ) ( _238_ LO ) + USE SIGNAL
+ ROUTED met1 ( 233910 18190 ) ( 245870 * )
NEW met2 ( 245870 3740 0 ) ( * 18190 )
NEW met1 ( 245870 18190 ) M1M2_PR
NEW li1 ( 233910 18190 ) L1M1_PR_MR ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( ANTENNA_input65_A DIODE ) ( input65 A ) + USE SIGNAL
+ ROUTED met2 ( 153870 19890 ) ( * 20570 )
NEW met1 ( 148810 19890 ) ( 153870 * )
NEW met2 ( 153870 20570 ) ( * 22270 )
NEW met2 ( 148810 3740 0 ) ( * 19890 )
NEW li1 ( 153870 20570 ) L1M1_PR_MR
NEW met1 ( 153870 20570 ) M1M2_PR
NEW met1 ( 153870 19890 ) M1M2_PR
NEW met1 ( 148810 19890 ) M1M2_PR
NEW li1 ( 153870 22270 ) L1M1_PR_MR
NEW met1 ( 153870 22270 ) M1M2_PR
NEW met1 ( 153870 20570 ) RECT ( 0 -70 355 70 )
NEW met1 ( 153870 22270 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[65] ( PIN la_data_in[65] ) ( ANTENNA_input66_A DIODE ) ( input66 A ) + USE SIGNAL
+ ROUTED met1 ( 150190 22950 ) ( 150650 * )
NEW met2 ( 150190 22950 ) ( * 24990 )
NEW met2 ( 150190 3740 0 ) ( * 22950 )
NEW li1 ( 150650 22950 ) L1M1_PR_MR
NEW met1 ( 150190 22950 ) M1M2_PR
NEW li1 ( 150190 24990 ) L1M1_PR_MR
NEW met1 ( 150190 24990 ) M1M2_PR
NEW met1 ( 150190 24990 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _239_ LO ) + USE SIGNAL
+ ROUTED met2 ( 53590 3740 0 ) ( * 4930 )
NEW met1 ( 53590 4930 ) ( 63250 * )
NEW met2 ( 63250 4930 ) ( * 17850 )
NEW met1 ( 63250 17850 ) ( 66930 * )
NEW met1 ( 53590 4930 ) M1M2_PR
NEW met1 ( 63250 4930 ) M1M2_PR
NEW met1 ( 63250 17850 ) M1M2_PR
NEW li1 ( 66930 17850 ) L1M1_PR_MR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( _339_ LO ) + USE SIGNAL
+ ROUTED met2 ( 203550 3740 0 ) ( * 14110 )
NEW met1 ( 203550 14110 ) ( 205850 * )
NEW met1 ( 203550 14110 ) M1M2_PR
NEW li1 ( 205850 14110 ) L1M1_PR_MR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( _340_ LO ) + USE SIGNAL
+ ROUTED met2 ( 204930 3740 0 ) ( * 12070 )
NEW li1 ( 204930 12070 ) L1M1_PR_MR
NEW met1 ( 204930 12070 ) M1M2_PR
NEW met1 ( 204930 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[102] ( PIN la_data_out[102] ) ( _341_ LO ) + USE SIGNAL
+ ROUTED met2 ( 206310 3740 0 ) ( * 17510 )
NEW li1 ( 206310 17510 ) L1M1_PR_MR
NEW met1 ( 206310 17510 ) M1M2_PR
NEW met1 ( 206310 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[103] ( PIN la_data_out[103] ) ( _342_ LO ) + USE SIGNAL
+ ROUTED met2 ( 207690 3740 0 ) ( * 12070 )
NEW met1 ( 207230 12070 ) ( 207690 * )
NEW met1 ( 207690 12070 ) M1M2_PR
NEW li1 ( 207230 12070 ) L1M1_PR_MR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( _343_ LO ) + USE SIGNAL
+ ROUTED met1 ( 209530 14110 ) ( 212290 * )
NEW met2 ( 209530 3740 0 ) ( * 14110 )
NEW met1 ( 209530 14110 ) M1M2_PR
NEW li1 ( 212290 14110 ) L1M1_PR_MR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( _344_ LO ) + USE SIGNAL
+ ROUTED met2 ( 210910 3740 0 ) ( * 17510 )
NEW li1 ( 210910 17510 ) L1M1_PR_MR
NEW met1 ( 210910 17510 ) M1M2_PR
NEW met1 ( 210910 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[106] ( PIN la_data_out[106] ) ( _345_ LO ) + USE SIGNAL
+ ROUTED met2 ( 212290 3740 0 ) ( * 12070 )
NEW li1 ( 212290 12070 ) L1M1_PR_MR
NEW met1 ( 212290 12070 ) M1M2_PR
NEW met1 ( 212290 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[107] ( PIN la_data_out[107] ) ( _346_ LO ) + USE SIGNAL
+ ROUTED met1 ( 213670 14110 ) ( 215510 * )
NEW met2 ( 213670 3740 0 ) ( * 14110 )
NEW met1 ( 213670 14110 ) M1M2_PR
NEW li1 ( 215510 14110 ) L1M1_PR_MR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( _347_ LO ) + USE SIGNAL
+ ROUTED met1 ( 215050 17510 ) ( 215510 * )
NEW met2 ( 215510 3740 0 ) ( * 17510 )
NEW met1 ( 215510 17510 ) M1M2_PR
NEW li1 ( 215050 17510 ) L1M1_PR_MR ;
- la_data_out[109] ( PIN la_data_out[109] ) ( _348_ LO ) + USE SIGNAL
+ ROUTED met1 ( 216890 14110 ) ( 218730 * )
NEW met2 ( 216890 3740 0 ) ( * 14110 )
NEW met1 ( 216890 14110 ) M1M2_PR
NEW li1 ( 218730 14110 ) L1M1_PR_MR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( _249_ LO ) + USE SIGNAL
+ ROUTED met2 ( 68310 3740 0 ) ( * 13800 )
NEW met2 ( 68310 13800 ) ( 69230 * )
NEW met2 ( 69230 13800 ) ( * 22950 )
NEW met1 ( 69230 22950 ) ( 70610 * )
NEW met1 ( 69230 22950 ) M1M2_PR
NEW li1 ( 70610 22950 ) L1M1_PR_MR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( _349_ LO ) + USE SIGNAL
+ ROUTED met2 ( 218270 3740 0 ) ( * 12070 )
NEW met1 ( 217350 12070 ) ( 218270 * )
NEW met1 ( 218270 12070 ) M1M2_PR
NEW li1 ( 217350 12070 ) L1M1_PR_MR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( _350_ LO ) + USE SIGNAL
+ ROUTED met1 ( 219650 14110 ) ( 221950 * )
NEW met2 ( 219650 3740 0 ) ( * 14110 )
NEW met1 ( 219650 14110 ) M1M2_PR
NEW li1 ( 221950 14110 ) L1M1_PR_MR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( _351_ LO ) + USE SIGNAL
+ ROUTED met2 ( 221490 3740 0 ) ( * 12070 )
NEW met1 ( 220570 12070 ) ( 221490 * )
NEW met1 ( 221490 12070 ) M1M2_PR
NEW li1 ( 220570 12070 ) L1M1_PR_MR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( _352_ LO ) + USE SIGNAL
+ ROUTED met1 ( 222870 14110 ) ( 225170 * )
NEW met2 ( 222870 3740 0 ) ( * 14110 )
NEW met1 ( 222870 14110 ) M1M2_PR
NEW li1 ( 225170 14110 ) L1M1_PR_MR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( _353_ LO ) + USE SIGNAL
+ ROUTED met2 ( 224250 3740 0 ) ( * 12070 )
NEW met1 ( 224250 12070 ) ( 225170 * )
NEW met1 ( 224250 12070 ) M1M2_PR
NEW li1 ( 225170 12070 ) L1M1_PR_MR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( _354_ LO ) + USE SIGNAL
+ ROUTED met2 ( 225170 13940 ) ( 225630 * )
NEW met2 ( 225170 13940 ) ( * 17510 )
NEW met1 ( 222410 17510 ) ( 225170 * )
NEW met2 ( 225630 3740 0 ) ( * 13940 )
NEW met1 ( 225170 17510 ) M1M2_PR
NEW li1 ( 222410 17510 ) L1M1_PR_MR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( _355_ LO ) + USE SIGNAL
+ ROUTED met2 ( 227470 3740 0 ) ( * 9180 )
NEW met2 ( 227010 9180 ) ( 227470 * )
NEW met2 ( 227010 9180 ) ( * 16830 )
NEW met1 ( 219190 16830 ) ( 227010 * )
NEW met1 ( 219190 16830 ) ( * 17510 )
NEW met1 ( 227010 16830 ) M1M2_PR
NEW li1 ( 219190 17510 ) L1M1_PR_MR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( _356_ LO ) + USE SIGNAL
+ ROUTED met1 ( 228850 19550 ) ( 231150 * )
NEW met2 ( 228850 3740 0 ) ( * 19550 )
NEW met1 ( 228850 19550 ) M1M2_PR
NEW li1 ( 231150 19550 ) L1M1_PR_MR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( _357_ LO ) + USE SIGNAL
+ ROUTED met1 ( 230230 19890 ) ( 234370 * )
NEW met2 ( 230230 3740 0 ) ( * 19890 )
NEW met1 ( 230230 19890 ) M1M2_PR
NEW li1 ( 234370 19890 ) L1M1_PR_MR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( _358_ LO ) + USE SIGNAL
+ ROUTED met1 ( 231150 24990 ) ( 231610 * )
NEW met2 ( 231610 3740 0 ) ( * 24990 )
NEW met1 ( 231610 24990 ) M1M2_PR
NEW li1 ( 231150 24990 ) L1M1_PR_MR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _250_ LO ) + USE SIGNAL
+ ROUTED met2 ( 70150 3740 0 ) ( * 12750 )
NEW met1 ( 70150 12750 ) ( 77970 * )
NEW met1 ( 70150 12750 ) M1M2_PR
NEW li1 ( 77970 12750 ) L1M1_PR_MR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( _359_ LO ) + USE SIGNAL
+ ROUTED met1 ( 233450 24990 ) ( 234370 * )
NEW met2 ( 233450 3740 0 ) ( * 24990 )
NEW met1 ( 233450 24990 ) M1M2_PR
NEW li1 ( 234370 24990 ) L1M1_PR_MR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( _360_ LO ) + USE SIGNAL
+ ROUTED met2 ( 234830 3740 0 ) ( * 12580 )
NEW met2 ( 234830 12580 ) ( 235290 * )
NEW met1 ( 235290 24990 ) ( 238050 * )
NEW met2 ( 235290 12580 ) ( * 24990 )
NEW met1 ( 235290 24990 ) M1M2_PR
NEW li1 ( 238050 24990 ) L1M1_PR_MR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( _361_ LO ) + USE SIGNAL
+ ROUTED met1 ( 228390 20230 ) ( 236210 * )
NEW met1 ( 228390 19550 ) ( * 20230 )
NEW met1 ( 220570 19550 ) ( 228390 * )
NEW met2 ( 236210 3740 0 ) ( * 20230 )
NEW met1 ( 236210 20230 ) M1M2_PR
NEW li1 ( 220570 19550 ) L1M1_PR_MR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( _362_ LO ) + USE SIGNAL
+ ROUTED met1 ( 229310 18530 ) ( 237590 * )
NEW met2 ( 229310 18530 ) ( * 20910 )
NEW met1 ( 225170 20910 ) ( 229310 * )
NEW met1 ( 225170 20570 ) ( * 20910 )
NEW met1 ( 217350 20570 ) ( 225170 * )
NEW met2 ( 237590 3740 0 ) ( * 18530 )
NEW met1 ( 237590 18530 ) M1M2_PR
NEW met1 ( 229310 18530 ) M1M2_PR
NEW met1 ( 229310 20910 ) M1M2_PR
NEW li1 ( 217350 20570 ) L1M1_PR_MR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( _363_ LO ) + USE SIGNAL
+ ROUTED met1 ( 238970 35870 ) ( 239430 * )
NEW met2 ( 239430 3740 0 ) ( * 35870 )
NEW met1 ( 239430 35870 ) M1M2_PR
NEW li1 ( 238970 35870 ) L1M1_PR_MR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( _364_ LO ) + USE SIGNAL
+ ROUTED met1 ( 239890 19890 ) ( 240810 * )
NEW met1 ( 239890 19550 ) ( * 19890 )
NEW met1 ( 232070 19550 ) ( 239890 * )
NEW met2 ( 232070 19550 ) ( * 23970 )
NEW met1 ( 216890 23970 ) ( 232070 * )
NEW met2 ( 240810 3740 0 ) ( * 19890 )
NEW met1 ( 240810 19890 ) M1M2_PR
NEW met1 ( 232070 19550 ) M1M2_PR
NEW met1 ( 232070 23970 ) M1M2_PR
NEW li1 ( 216890 23970 ) L1M1_PR_MR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( _365_ LO ) + USE SIGNAL
+ ROUTED met2 ( 242190 3740 0 ) ( * 15810 )
NEW met2 ( 236670 15810 ) ( * 16660 )
NEW met3 ( 214130 16660 ) ( 236670 * )
NEW met2 ( 214130 16660 ) ( * 19550 )
NEW met1 ( 236670 15810 ) ( 242190 * )
NEW met1 ( 242190 15810 ) M1M2_PR
NEW met1 ( 236670 15810 ) M1M2_PR
NEW met2 ( 236670 16660 ) M2M3_PR_M
NEW met2 ( 214130 16660 ) M2M3_PR_M
NEW li1 ( 214130 19550 ) L1M1_PR_MR
NEW met1 ( 214130 19550 ) M1M2_PR
NEW met1 ( 214130 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[127] ( PIN la_data_out[127] ) ( _366_ LO ) + USE SIGNAL
+ ROUTED met1 ( 243570 20570 ) ( 244950 * )
NEW li1 ( 244950 20570 ) ( * 33150 )
NEW met1 ( 232530 33150 ) ( 244950 * )
NEW met1 ( 232530 33150 ) ( * 33830 )
NEW met2 ( 243570 3740 0 ) ( * 20570 )
NEW met1 ( 243570 20570 ) M1M2_PR
NEW li1 ( 244950 20570 ) L1M1_PR_MR
NEW li1 ( 244950 33150 ) L1M1_PR_MR
NEW li1 ( 232530 33830 ) L1M1_PR_MR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _251_ LO ) + USE SIGNAL
+ ROUTED met1 ( 71530 14110 ) ( 74290 * )
NEW met1 ( 74290 14110 ) ( * 14450 )
NEW met1 ( 74290 14450 ) ( 77510 * )
NEW met1 ( 77510 14110 ) ( * 14450 )
NEW met1 ( 77510 14110 ) ( 83490 * )
NEW met2 ( 71530 3740 0 ) ( * 14110 )
NEW met1 ( 71530 14110 ) M1M2_PR
NEW li1 ( 83490 14110 ) L1M1_PR_MR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _252_ LO ) + USE SIGNAL
+ ROUTED met1 ( 72910 19890 ) ( 78430 * )
NEW met2 ( 72910 3740 0 ) ( * 19890 )
NEW met1 ( 72910 19890 ) M1M2_PR
NEW li1 ( 78430 19890 ) L1M1_PR_MR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _253_ LO ) + USE SIGNAL
+ ROUTED met1 ( 74290 18190 ) ( 80730 * )
NEW met2 ( 74290 3740 0 ) ( * 18190 )
NEW met1 ( 74290 18190 ) M1M2_PR
NEW li1 ( 80730 18190 ) L1M1_PR_MR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( _254_ LO ) + USE SIGNAL
+ ROUTED met1 ( 76130 22950 ) ( 78430 * )
NEW met2 ( 76130 3740 0 ) ( * 22950 )
NEW met1 ( 76130 22950 ) M1M2_PR
NEW li1 ( 78430 22950 ) L1M1_PR_MR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _255_ LO ) + USE SIGNAL
+ ROUTED met2 ( 77510 3740 0 ) ( * 6460 )
NEW met2 ( 77510 6460 ) ( 77970 * )
NEW met2 ( 77970 6460 ) ( * 12070 )
NEW met2 ( 77970 12070 ) ( 78430 * )
NEW met1 ( 78430 12070 ) ( 83490 * )
NEW met1 ( 78430 12070 ) M1M2_PR
NEW li1 ( 83490 12070 ) L1M1_PR_MR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _256_ LO ) + USE SIGNAL
+ ROUTED met1 ( 78890 14450 ) ( 86710 * )
NEW met2 ( 78890 3740 0 ) ( * 14450 )
NEW met1 ( 78890 14450 ) M1M2_PR
NEW li1 ( 86710 14450 ) L1M1_PR_MR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( _257_ LO ) + USE SIGNAL
+ ROUTED met1 ( 80270 17850 ) ( 83950 * )
NEW met2 ( 80270 3740 0 ) ( * 17850 )
NEW met1 ( 80270 17850 ) M1M2_PR
NEW li1 ( 83950 17850 ) L1M1_PR_MR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _258_ LO ) + USE SIGNAL
+ ROUTED met1 ( 82110 19550 ) ( 83490 * )
NEW met2 ( 82110 3740 0 ) ( * 19550 )
NEW met1 ( 82110 19550 ) M1M2_PR
NEW li1 ( 83490 19550 ) L1M1_PR_MR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _240_ LO ) + USE SIGNAL
+ ROUTED met2 ( 54970 3740 0 ) ( * 19890 )
NEW met1 ( 54970 19890 ) ( 68770 * )
NEW met1 ( 54970 19890 ) M1M2_PR
NEW li1 ( 68770 19890 ) L1M1_PR_MR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _259_ LO ) + USE SIGNAL
+ ROUTED met2 ( 83490 3740 0 ) ( * 12750 )
NEW met1 ( 83490 12750 ) ( 86710 * )
NEW met1 ( 83490 12750 ) M1M2_PR
NEW li1 ( 86710 12750 ) L1M1_PR_MR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( _260_ LO ) + USE SIGNAL
+ ROUTED met1 ( 84870 17510 ) ( 87170 * )
NEW met2 ( 84870 3740 0 ) ( * 17510 )
NEW met1 ( 84870 17510 ) M1M2_PR
NEW li1 ( 87170 17510 ) L1M1_PR_MR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _261_ LO ) + USE SIGNAL
+ ROUTED met1 ( 86250 19550 ) ( 86710 * )
NEW met2 ( 86250 3740 0 ) ( * 19550 )
NEW met1 ( 86250 19550 ) M1M2_PR
NEW li1 ( 86710 19550 ) L1M1_PR_MR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _262_ LO ) + USE SIGNAL
+ ROUTED met2 ( 88090 3740 0 ) ( * 12070 )
NEW met1 ( 88090 12070 ) ( 89930 * )
NEW met1 ( 88090 12070 ) M1M2_PR
NEW li1 ( 89930 12070 ) L1M1_PR_MR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( _263_ LO ) + USE SIGNAL
+ ROUTED met1 ( 89470 17510 ) ( 90390 * )
NEW met2 ( 89470 3740 0 ) ( * 17510 )
NEW met1 ( 89470 17510 ) M1M2_PR
NEW li1 ( 90390 17510 ) L1M1_PR_MR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _264_ LO ) + USE SIGNAL
+ ROUTED met1 ( 90850 14450 ) ( 95910 * )
NEW met2 ( 90850 3740 0 ) ( * 14450 )
NEW met1 ( 90850 14450 ) M1M2_PR
NEW li1 ( 95910 14450 ) L1M1_PR_MR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( _265_ LO ) + USE SIGNAL
+ ROUTED met2 ( 92230 3740 0 ) ( * 19550 )
NEW li1 ( 92230 19550 ) L1M1_PR_MR
NEW met1 ( 92230 19550 ) M1M2_PR
NEW met1 ( 92230 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[27] ( PIN la_data_out[27] ) ( _266_ LO ) + USE SIGNAL
+ ROUTED met2 ( 94070 3740 0 ) ( * 12070 )
NEW met1 ( 94070 12070 ) ( 96370 * )
NEW met1 ( 94070 12070 ) M1M2_PR
NEW li1 ( 96370 12070 ) L1M1_PR_MR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( _267_ LO ) + USE SIGNAL
+ ROUTED met1 ( 95450 17510 ) ( 96370 * )
NEW met2 ( 95450 3740 0 ) ( * 17510 )
NEW met1 ( 95450 17510 ) M1M2_PR
NEW li1 ( 96370 17510 ) L1M1_PR_MR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( _268_ LO ) + USE SIGNAL
+ ROUTED met2 ( 96830 3740 0 ) ( * 12070 )
NEW met1 ( 96830 12070 ) ( 99590 * )
NEW met1 ( 96830 12070 ) M1M2_PR
NEW li1 ( 99590 12070 ) L1M1_PR_MR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _241_ LO ) + USE SIGNAL
+ ROUTED met2 ( 62330 14110 ) ( * 22950 )
NEW met2 ( 56350 3740 0 ) ( * 14110 )
NEW met1 ( 56350 14110 ) ( 62330 * )
NEW met1 ( 62330 14110 ) M1M2_PR
NEW li1 ( 62330 22950 ) L1M1_PR_MR
NEW met1 ( 62330 22950 ) M1M2_PR
NEW met1 ( 56350 14110 ) M1M2_PR
NEW met1 ( 62330 22950 ) RECT ( 0 -70 355 70 ) ;
- la_data_out[30] ( PIN la_data_out[30] ) ( _269_ LO ) + USE SIGNAL
+ ROUTED met2 ( 98210 3740 0 ) ( * 7140 )
NEW met2 ( 97290 7140 ) ( 98210 * )
NEW met1 ( 97290 17510 ) ( 99590 * )
NEW met2 ( 97290 7140 ) ( * 17510 )
NEW met1 ( 97290 17510 ) M1M2_PR
NEW li1 ( 99590 17510 ) L1M1_PR_MR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( _270_ LO ) + USE SIGNAL
+ ROUTED met1 ( 100050 14450 ) ( 104650 * )
NEW met2 ( 100050 3740 0 ) ( * 14450 )
NEW met1 ( 100050 14450 ) M1M2_PR
NEW li1 ( 104650 14450 ) L1M1_PR_MR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _271_ LO ) + USE SIGNAL
+ ROUTED met2 ( 101430 3740 0 ) ( * 12070 )
NEW met1 ( 101430 12070 ) ( 102810 * )
NEW met1 ( 101430 12070 ) M1M2_PR
NEW li1 ( 102810 12070 ) L1M1_PR_MR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _272_ LO ) + USE SIGNAL
+ ROUTED met2 ( 102810 3740 0 ) ( * 17510 )
NEW li1 ( 102810 17510 ) L1M1_PR_MR
NEW met1 ( 102810 17510 ) M1M2_PR
NEW met1 ( 102810 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _273_ LO ) + USE SIGNAL
+ ROUTED met1 ( 104190 17510 ) ( 106030 * )
NEW met2 ( 104190 3740 0 ) ( * 17510 )
NEW met1 ( 104190 17510 ) M1M2_PR
NEW li1 ( 106030 17510 ) L1M1_PR_MR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _274_ LO ) + USE SIGNAL
+ ROUTED met2 ( 106030 3740 0 ) ( * 12070 )
NEW met1 ( 106030 12070 ) ( 109250 * )
NEW met1 ( 106030 12070 ) M1M2_PR
NEW li1 ( 109250 12070 ) L1M1_PR_MR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _275_ LO ) + USE SIGNAL
+ ROUTED met1 ( 107410 17510 ) ( 109250 * )
NEW met2 ( 107410 3740 0 ) ( * 17510 )
NEW met1 ( 107410 17510 ) M1M2_PR
NEW li1 ( 109250 17510 ) L1M1_PR_MR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _276_ LO ) + USE SIGNAL
+ ROUTED met2 ( 108790 3740 0 ) ( * 14450 )
NEW met1 ( 108790 14450 ) ( 114770 * )
NEW met1 ( 108790 14450 ) M1M2_PR
NEW li1 ( 114770 14450 ) L1M1_PR_MR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _277_ LO ) + USE SIGNAL
+ ROUTED met2 ( 110630 3740 0 ) ( * 12070 )
NEW met1 ( 110630 12070 ) ( 112470 * )
NEW met1 ( 110630 12070 ) M1M2_PR
NEW li1 ( 112470 12070 ) L1M1_PR_MR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _278_ LO ) + USE SIGNAL
+ ROUTED met1 ( 112010 17510 ) ( 112470 * )
NEW met2 ( 112010 3740 0 ) ( * 17510 )
NEW met1 ( 112010 17510 ) M1M2_PR
NEW li1 ( 112470 17510 ) L1M1_PR_MR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( _242_ LO ) + USE SIGNAL
+ ROUTED met2 ( 57730 3740 0 ) ( * 13800 )
NEW met2 ( 57730 13800 ) ( 58190 * )
NEW met2 ( 58190 13800 ) ( * 23630 )
NEW met1 ( 58190 23630 ) ( 65550 * )
NEW li1 ( 65550 23630 ) L1M1_PR_MR
NEW met1 ( 58190 23630 ) M1M2_PR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _279_ LO ) + USE SIGNAL
+ ROUTED met2 ( 113390 3740 0 ) ( * 12070 )
NEW met1 ( 113390 12070 ) ( 116150 * )
NEW met1 ( 113390 12070 ) M1M2_PR
NEW li1 ( 116150 12070 ) L1M1_PR_MR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _280_ LO ) + USE SIGNAL
+ ROUTED met2 ( 114770 3740 0 ) ( * 12410 )
NEW met1 ( 114770 12410 ) ( 122130 * )
NEW met1 ( 114770 12410 ) M1M2_PR
NEW li1 ( 122130 12410 ) L1M1_PR_MR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _281_ LO ) + USE SIGNAL
+ ROUTED met2 ( 116610 3740 0 ) ( * 17510 )
NEW li1 ( 116610 17510 ) L1M1_PR_MR
NEW met1 ( 116610 17510 ) M1M2_PR
NEW met1 ( 116610 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _282_ LO ) + USE SIGNAL
+ ROUTED met1 ( 117990 14450 ) ( 123970 * )
NEW met2 ( 117990 3740 0 ) ( * 14450 )
NEW met1 ( 117990 14450 ) M1M2_PR
NEW li1 ( 123970 14450 ) L1M1_PR_MR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _283_ LO ) + USE SIGNAL
+ ROUTED met2 ( 119370 3740 0 ) ( * 12750 )
NEW met1 ( 119370 12750 ) ( 125350 * )
NEW met1 ( 119370 12750 ) M1M2_PR
NEW li1 ( 125350 12750 ) L1M1_PR_MR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _284_ LO ) + USE SIGNAL
+ ROUTED met1 ( 120750 17510 ) ( 122130 * )
NEW met2 ( 120750 3740 0 ) ( * 17510 )
NEW met1 ( 120750 17510 ) M1M2_PR
NEW li1 ( 122130 17510 ) L1M1_PR_MR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _285_ LO ) + USE SIGNAL
+ ROUTED met1 ( 122590 14790 ) ( 127190 * )
NEW met2 ( 122590 3740 0 ) ( * 14790 )
NEW met1 ( 122590 14790 ) M1M2_PR
NEW li1 ( 127190 14790 ) L1M1_PR_MR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _286_ LO ) + USE SIGNAL
+ ROUTED met2 ( 123970 3740 0 ) ( * 12410 )
NEW met1 ( 123970 12410 ) ( 128570 * )
NEW met1 ( 123970 12410 ) M1M2_PR
NEW li1 ( 128570 12410 ) L1M1_PR_MR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _287_ LO ) + USE SIGNAL
+ ROUTED met2 ( 125350 3740 0 ) ( * 17510 )
NEW li1 ( 125350 17510 ) L1M1_PR_MR
NEW met1 ( 125350 17510 ) M1M2_PR
NEW met1 ( 125350 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _288_ LO ) + USE SIGNAL
+ ROUTED met1 ( 126730 14450 ) ( 130410 * )
NEW met2 ( 126730 3740 0 ) ( * 14450 )
NEW met1 ( 126730 14450 ) M1M2_PR
NEW li1 ( 130410 14450 ) L1M1_PR_MR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _243_ LO ) + USE SIGNAL
+ ROUTED met2 ( 59570 3740 0 ) ( * 24990 )
NEW met1 ( 59570 24990 ) ( 61410 * )
NEW met1 ( 59570 24990 ) M1M2_PR
NEW li1 ( 61410 24990 ) L1M1_PR_MR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _289_ LO ) + USE SIGNAL
+ ROUTED met2 ( 128570 3740 0 ) ( * 6460 )
NEW met2 ( 128570 6460 ) ( 129030 * )
NEW met2 ( 129030 6460 ) ( * 12070 )
NEW met1 ( 129030 12070 ) ( 135010 * )
NEW met1 ( 129030 12070 ) M1M2_PR
NEW li1 ( 135010 12070 ) L1M1_PR_MR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _290_ LO ) + USE SIGNAL
+ ROUTED met2 ( 129950 3740 0 ) ( * 17510 )
NEW li1 ( 129950 17510 ) L1M1_PR_MR
NEW met1 ( 129950 17510 ) M1M2_PR
NEW met1 ( 129950 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _291_ LO ) + USE SIGNAL
+ ROUTED met1 ( 131330 17510 ) ( 132250 * )
NEW met2 ( 131330 3740 0 ) ( * 17510 )
NEW met1 ( 131330 17510 ) M1M2_PR
NEW li1 ( 132250 17510 ) L1M1_PR_MR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _292_ LO ) + USE SIGNAL
+ ROUTED met1 ( 132710 14110 ) ( 135010 * )
NEW met2 ( 132710 3740 0 ) ( * 14110 )
NEW met1 ( 132710 14110 ) M1M2_PR
NEW li1 ( 135010 14110 ) L1M1_PR_MR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _293_ LO ) + USE SIGNAL
+ ROUTED met2 ( 134550 3740 0 ) ( * 12410 )
NEW met1 ( 134550 12410 ) ( 138230 * )
NEW met1 ( 134550 12410 ) M1M2_PR
NEW li1 ( 138230 12410 ) L1M1_PR_MR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _294_ LO ) + USE SIGNAL
+ ROUTED met1 ( 135930 14110 ) ( 138230 * )
NEW met2 ( 135930 3740 0 ) ( * 14110 )
NEW met1 ( 135930 14110 ) M1M2_PR
NEW li1 ( 138230 14110 ) L1M1_PR_MR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _295_ LO ) + USE SIGNAL
+ ROUTED met1 ( 136850 17510 ) ( 137310 * )
NEW met2 ( 137310 3740 0 ) ( * 17510 )
NEW met1 ( 137310 17510 ) M1M2_PR
NEW li1 ( 136850 17510 ) L1M1_PR_MR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _296_ LO ) + USE SIGNAL
+ ROUTED met2 ( 138690 3740 0 ) ( * 12070 )
NEW met1 ( 138690 12070 ) ( 141450 * )
NEW met1 ( 138690 12070 ) M1M2_PR
NEW li1 ( 141450 12070 ) L1M1_PR_MR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _297_ LO ) + USE SIGNAL
+ ROUTED met1 ( 140070 17510 ) ( 140530 * )
NEW met2 ( 140530 3740 0 ) ( * 17510 )
NEW met1 ( 140530 17510 ) M1M2_PR
NEW li1 ( 140070 17510 ) L1M1_PR_MR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _298_ LO ) + USE SIGNAL
+ ROUTED met1 ( 141910 17510 ) ( 142370 * )
NEW met2 ( 141910 3740 0 ) ( * 17510 )
NEW met1 ( 141910 17510 ) M1M2_PR
NEW li1 ( 142370 17510 ) L1M1_PR_MR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _244_ LO ) + USE SIGNAL
+ ROUTED met2 ( 71990 18530 ) ( * 19550 )
NEW met2 ( 60950 3740 0 ) ( * 18530 )
NEW met1 ( 60950 18530 ) ( 71990 * )
NEW met1 ( 71990 18530 ) M1M2_PR
NEW li1 ( 71990 19550 ) L1M1_PR_MR
NEW met1 ( 71990 19550 ) M1M2_PR
NEW met1 ( 60950 18530 ) M1M2_PR
NEW met1 ( 71990 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _299_ LO ) + USE SIGNAL
+ ROUTED met2 ( 143290 3740 0 ) ( * 19550 )
NEW li1 ( 143290 19550 ) L1M1_PR_MR
NEW met1 ( 143290 19550 ) M1M2_PR
NEW met1 ( 143290 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _300_ LO ) + USE SIGNAL
+ ROUTED met1 ( 144670 19550 ) ( 145590 * )
NEW met2 ( 144670 3740 0 ) ( * 19550 )
NEW met1 ( 144670 19550 ) M1M2_PR
NEW li1 ( 145590 19550 ) L1M1_PR_MR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _301_ LO ) + USE SIGNAL
+ ROUTED met1 ( 146510 17510 ) ( 147890 * )
NEW met2 ( 146510 3740 0 ) ( * 17510 )
NEW met1 ( 146510 17510 ) M1M2_PR
NEW li1 ( 147890 17510 ) L1M1_PR_MR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _302_ LO ) + USE SIGNAL
+ ROUTED met1 ( 147890 18190 ) ( 151110 * )
NEW met2 ( 147890 3740 0 ) ( * 18190 )
NEW met1 ( 147890 18190 ) M1M2_PR
NEW li1 ( 151110 18190 ) L1M1_PR_MR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( _303_ LO ) + USE SIGNAL
+ ROUTED met2 ( 149270 3740 0 ) ( * 12070 )
NEW met1 ( 149270 12070 ) ( 153410 * )
NEW met1 ( 149270 12070 ) M1M2_PR
NEW li1 ( 153410 12070 ) L1M1_PR_MR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( _304_ LO ) + USE SIGNAL
+ ROUTED met2 ( 150650 3740 0 ) ( * 19550 )
NEW li1 ( 150650 19550 ) L1M1_PR_MR
NEW met1 ( 150650 19550 ) M1M2_PR
NEW met1 ( 150650 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[66] ( PIN la_data_out[66] ) ( _305_ LO ) + USE SIGNAL
+ ROUTED met1 ( 152490 17510 ) ( 154330 * )
NEW met2 ( 152490 3740 0 ) ( * 17510 )
NEW met1 ( 152490 17510 ) M1M2_PR
NEW li1 ( 154330 17510 ) L1M1_PR_MR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( _306_ LO ) + USE SIGNAL
+ ROUTED met2 ( 153870 3740 0 ) ( * 12070 )
NEW met1 ( 153870 12070 ) ( 156630 * )
NEW met1 ( 153870 12070 ) M1M2_PR
NEW li1 ( 156630 12070 ) L1M1_PR_MR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( _307_ LO ) + USE SIGNAL
+ ROUTED met1 ( 155250 17510 ) ( 157550 * )
NEW met2 ( 155250 3740 0 ) ( * 17510 )
NEW met1 ( 155250 17510 ) M1M2_PR
NEW li1 ( 157550 17510 ) L1M1_PR_MR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( _308_ LO ) + USE SIGNAL
+ ROUTED met2 ( 157090 3740 0 ) ( * 14110 )
NEW met1 ( 157090 14110 ) ( 160770 * )
NEW li1 ( 160770 14110 ) L1M1_PR_MR
NEW met1 ( 157090 14110 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( _245_ LO ) + USE SIGNAL
+ ROUTED met2 ( 62330 3740 0 ) ( * 6630 )
NEW met1 ( 62330 6630 ) ( 73370 * )
NEW met2 ( 73370 6630 ) ( * 15130 )
NEW met2 ( 73370 15130 ) ( 73830 * )
NEW met2 ( 73830 15130 ) ( * 17510 )
NEW met1 ( 73830 17510 ) ( 74290 * )
NEW met1 ( 62330 6630 ) M1M2_PR
NEW met1 ( 73370 6630 ) M1M2_PR
NEW met1 ( 73830 17510 ) M1M2_PR
NEW li1 ( 74290 17510 ) L1M1_PR_MR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( _309_ LO ) + USE SIGNAL
+ ROUTED met2 ( 158470 3740 0 ) ( * 12070 )
NEW met1 ( 158470 12070 ) ( 160770 * )
NEW met1 ( 158470 12070 ) M1M2_PR
NEW li1 ( 160770 12070 ) L1M1_PR_MR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( _310_ LO ) + USE SIGNAL
+ ROUTED met2 ( 159850 3740 0 ) ( * 17510 )
NEW met1 ( 159850 17510 ) ( 160770 * )
NEW met1 ( 159850 17510 ) M1M2_PR
NEW li1 ( 160770 17510 ) L1M1_PR_MR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( _311_ LO ) + USE SIGNAL
+ ROUTED met2 ( 161230 3740 0 ) ( * 14110 )
NEW met1 ( 161230 14110 ) ( 163990 * )
NEW met1 ( 161230 14110 ) M1M2_PR
NEW li1 ( 163990 14110 ) L1M1_PR_MR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( _312_ LO ) + USE SIGNAL
+ ROUTED met2 ( 163070 3740 0 ) ( * 12070 )
NEW met1 ( 163070 12070 ) ( 163990 * )
NEW met1 ( 163070 12070 ) M1M2_PR
NEW li1 ( 163990 12070 ) L1M1_PR_MR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( _313_ LO ) + USE SIGNAL
+ ROUTED met2 ( 164450 3740 0 ) ( * 14110 )
NEW met1 ( 164450 14110 ) ( 167210 * )
NEW met1 ( 164450 14110 ) M1M2_PR
NEW li1 ( 167210 14110 ) L1M1_PR_MR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( _314_ LO ) + USE SIGNAL
+ ROUTED met2 ( 165830 3740 0 ) ( * 17510 )
NEW met1 ( 165370 17510 ) ( 165830 * )
NEW met1 ( 165830 17510 ) M1M2_PR
NEW li1 ( 165370 17510 ) L1M1_PR_MR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( _315_ LO ) + USE SIGNAL
+ ROUTED met2 ( 167210 3740 0 ) ( * 14790 )
NEW met1 ( 167210 14790 ) ( 170430 * )
NEW met1 ( 167210 14790 ) M1M2_PR
NEW li1 ( 170430 14790 ) L1M1_PR_MR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( _316_ LO ) + USE SIGNAL
+ ROUTED met2 ( 169050 3740 0 ) ( * 12070 )
NEW li1 ( 169050 12070 ) L1M1_PR_MR
NEW met1 ( 169050 12070 ) M1M2_PR
NEW met1 ( 169050 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[78] ( PIN la_data_out[78] ) ( _317_ LO ) + USE SIGNAL
+ ROUTED met2 ( 170430 3740 0 ) ( * 14110 )
NEW met1 ( 170430 14110 ) ( 173650 * )
NEW met1 ( 170430 14110 ) M1M2_PR
NEW li1 ( 173650 14110 ) L1M1_PR_MR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( _318_ LO ) + USE SIGNAL
+ ROUTED met2 ( 171810 3740 0 ) ( * 12070 )
NEW met1 ( 171810 12070 ) ( 173650 * )
NEW met1 ( 171810 12070 ) M1M2_PR
NEW li1 ( 173650 12070 ) L1M1_PR_MR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _246_ LO ) + USE SIGNAL
+ ROUTED met2 ( 64170 3740 0 ) ( * 5270 )
NEW met1 ( 64170 5270 ) ( 74750 * )
NEW met2 ( 74750 5270 ) ( * 14110 )
NEW met1 ( 74750 14110 ) ( 77050 * )
NEW met1 ( 64170 5270 ) M1M2_PR
NEW met1 ( 74750 5270 ) M1M2_PR
NEW met1 ( 74750 14110 ) M1M2_PR
NEW li1 ( 77050 14110 ) L1M1_PR_MR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( _319_ LO ) + USE SIGNAL
+ ROUTED met2 ( 173190 3740 0 ) ( * 17510 )
NEW met1 ( 173190 17510 ) ( 173650 * )
NEW met1 ( 173190 17510 ) M1M2_PR
NEW li1 ( 173650 17510 ) L1M1_PR_MR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( _320_ LO ) + USE SIGNAL
+ ROUTED met2 ( 175030 3740 0 ) ( * 12070 )
NEW met1 ( 175030 12070 ) ( 176870 * )
NEW met1 ( 175030 12070 ) M1M2_PR
NEW li1 ( 176870 12070 ) L1M1_PR_MR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( _321_ LO ) + USE SIGNAL
+ ROUTED met2 ( 176410 3740 0 ) ( * 9180 )
NEW met2 ( 176410 9180 ) ( 176870 * )
NEW met2 ( 176870 9180 ) ( * 17510 )
NEW li1 ( 176870 17510 ) L1M1_PR_MR
NEW met1 ( 176870 17510 ) M1M2_PR
NEW met1 ( 176870 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[83] ( PIN la_data_out[83] ) ( _322_ LO ) + USE SIGNAL
+ ROUTED met2 ( 177790 3740 0 ) ( * 12070 )
NEW met1 ( 177790 12070 ) ( 180090 * )
NEW li1 ( 180090 12070 ) L1M1_PR_MR
NEW met1 ( 177790 12070 ) M1M2_PR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( _323_ LO ) + USE SIGNAL
+ ROUTED met2 ( 179170 3740 0 ) ( * 17510 )
NEW met1 ( 179170 17510 ) ( 180090 * )
NEW li1 ( 180090 17510 ) L1M1_PR_MR
NEW met1 ( 179170 17510 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( _324_ LO ) + USE SIGNAL
+ ROUTED met2 ( 181010 3740 0 ) ( * 17510 )
NEW met1 ( 181010 17510 ) ( 183310 * )
NEW met1 ( 181010 17510 ) M1M2_PR
NEW li1 ( 183310 17510 ) L1M1_PR_MR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( _325_ LO ) + USE SIGNAL
+ ROUTED met2 ( 182390 3740 0 ) ( * 14110 )
NEW met1 ( 182390 14110 ) ( 186530 * )
NEW met1 ( 182390 14110 ) M1M2_PR
NEW li1 ( 186530 14110 ) L1M1_PR_MR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( _326_ LO ) + USE SIGNAL
+ ROUTED met2 ( 183770 3740 0 ) ( * 12070 )
NEW met1 ( 183770 12070 ) ( 186530 * )
NEW met1 ( 183770 12070 ) M1M2_PR
NEW li1 ( 186530 12070 ) L1M1_PR_MR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( _327_ LO ) + USE SIGNAL
+ ROUTED met2 ( 185150 3740 0 ) ( * 17510 )
NEW met1 ( 185150 17510 ) ( 186530 * )
NEW met1 ( 185150 17510 ) M1M2_PR
NEW li1 ( 186530 17510 ) L1M1_PR_MR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( _328_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 3740 0 ) ( * 14110 )
NEW met1 ( 186990 14110 ) ( 189750 * )
NEW met1 ( 186990 14110 ) M1M2_PR
NEW li1 ( 189750 14110 ) L1M1_PR_MR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _247_ LO ) + USE SIGNAL
+ ROUTED met3 ( 65550 18700 ) ( 67390 * )
NEW met2 ( 67390 18700 ) ( * 19550 )
NEW met1 ( 67390 19550 ) ( 69230 * )
NEW met1 ( 69230 19550 ) ( * 19890 )
NEW met1 ( 69230 19890 ) ( 72450 * )
NEW met1 ( 72450 19550 ) ( * 19890 )
NEW met1 ( 72450 19550 ) ( 75210 * )
NEW met2 ( 65550 3740 0 ) ( * 18700 )
NEW met2 ( 65550 18700 ) M2M3_PR_M
NEW met2 ( 67390 18700 ) M2M3_PR_M
NEW met1 ( 67390 19550 ) M1M2_PR
NEW li1 ( 75210 19550 ) L1M1_PR_MR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( _329_ LO ) + USE SIGNAL
+ ROUTED met2 ( 188370 3740 0 ) ( * 12070 )
NEW met1 ( 188370 12070 ) ( 189750 * )
NEW met1 ( 188370 12070 ) M1M2_PR
NEW li1 ( 189750 12070 ) L1M1_PR_MR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( _330_ LO ) + USE SIGNAL
+ ROUTED met2 ( 189750 3740 0 ) ( * 14790 )
NEW met1 ( 189750 14790 ) ( 192970 * )
NEW met1 ( 189750 14790 ) M1M2_PR
NEW li1 ( 192970 14790 ) L1M1_PR_MR ;
- la_data_out[92] ( PIN la_data_out[92] ) ( _331_ LO ) + USE SIGNAL
+ ROUTED met2 ( 191130 3740 0 ) ( * 17510 )
NEW li1 ( 191130 17510 ) L1M1_PR_MR
NEW met1 ( 191130 17510 ) M1M2_PR
NEW met1 ( 191130 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[93] ( PIN la_data_out[93] ) ( _332_ LO ) + USE SIGNAL
+ ROUTED met2 ( 192970 3740 0 ) ( * 14110 )
NEW met1 ( 192970 14110 ) ( 196190 * )
NEW met1 ( 192970 14110 ) M1M2_PR
NEW li1 ( 196190 14110 ) L1M1_PR_MR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( _333_ LO ) + USE SIGNAL
+ ROUTED met2 ( 194350 3740 0 ) ( * 12070 )
NEW li1 ( 194350 12070 ) L1M1_PR_MR
NEW met1 ( 194350 12070 ) M1M2_PR
NEW met1 ( 194350 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[95] ( PIN la_data_out[95] ) ( _334_ LO ) + USE SIGNAL
+ ROUTED met2 ( 195730 3740 0 ) ( * 17510 )
NEW li1 ( 195730 17510 ) L1M1_PR_MR
NEW met1 ( 195730 17510 ) M1M2_PR
NEW met1 ( 195730 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[96] ( PIN la_data_out[96] ) ( _335_ LO ) + USE SIGNAL
+ ROUTED met2 ( 197110 3740 0 ) ( * 14110 )
NEW met1 ( 197110 14110 ) ( 199410 * )
NEW met1 ( 197110 14110 ) M1M2_PR
NEW li1 ( 199410 14110 ) L1M1_PR_MR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( _336_ LO ) + USE SIGNAL
+ ROUTED met2 ( 198950 3740 0 ) ( * 12070 )
NEW met1 ( 198950 12070 ) ( 199410 * )
NEW met1 ( 198950 12070 ) M1M2_PR
NEW li1 ( 199410 12070 ) L1M1_PR_MR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( _337_ LO ) + USE SIGNAL
+ ROUTED met2 ( 200330 3740 0 ) ( * 14110 )
NEW met1 ( 200330 14110 ) ( 202630 * )
NEW met1 ( 200330 14110 ) M1M2_PR
NEW li1 ( 202630 14110 ) L1M1_PR_MR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( _338_ LO ) + USE SIGNAL
+ ROUTED met2 ( 201710 3740 0 ) ( * 17510 )
NEW li1 ( 201710 17510 ) L1M1_PR_MR
NEW met1 ( 201710 17510 ) M1M2_PR
NEW met1 ( 201710 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _248_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66930 3740 0 ) ( * 7990 )
NEW met1 ( 66930 7990 ) ( 75210 * )
NEW met2 ( 75210 7990 ) ( * 17510 )
NEW met1 ( 75210 17510 ) ( 77510 * )
NEW met1 ( 66930 7990 ) M1M2_PR
NEW met1 ( 75210 7990 ) M1M2_PR
NEW met1 ( 75210 17510 ) M1M2_PR
NEW li1 ( 77510 17510 ) L1M1_PR_MR ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) ( ANTENNA_input67_A DIODE ) ( input67 A ) + USE SIGNAL
+ ROUTED met1 ( 145130 22950 ) ( 149730 * )
NEW met2 ( 145590 22950 ) ( * 24990 )
NEW met2 ( 149730 3740 0 ) ( * 22950 )
NEW li1 ( 145130 22950 ) L1M1_PR_MR
NEW met1 ( 149730 22950 ) M1M2_PR
NEW li1 ( 145590 24990 ) L1M1_PR_MR
NEW met1 ( 145590 24990 ) M1M2_PR
NEW met1 ( 145590 22950 ) M1M2_PR
NEW met1 ( 145590 24990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145590 22950 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[65] ( PIN la_oenb[65] ) ( ANTENNA_input68_A DIODE ) ( input68 A ) + USE SIGNAL
+ ROUTED met2 ( 157090 18190 ) ( * 20570 )
NEW met1 ( 151570 18190 ) ( 157090 * )
NEW met2 ( 157090 20570 ) ( * 22270 )
NEW met2 ( 151570 3740 0 ) ( * 18190 )
NEW li1 ( 157090 20570 ) L1M1_PR_MR
NEW met1 ( 157090 20570 ) M1M2_PR
NEW met1 ( 157090 18190 ) M1M2_PR
NEW met1 ( 151570 18190 ) M1M2_PR
NEW li1 ( 157090 22270 ) L1M1_PR_MR
NEW met1 ( 157090 22270 ) M1M2_PR
NEW met1 ( 157090 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 157090 22270 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( input1 X ) ( _188_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 228390 22950 ) ( * 24990 )
NEW met1 ( 220570 24990 ) ( 228390 * )
NEW li1 ( 228390 22950 ) L1M1_PR_MR
NEW met1 ( 228390 22950 ) M1M2_PR
NEW met1 ( 228390 24990 ) M1M2_PR
NEW li1 ( 220570 24990 ) L1M1_PR_MR
NEW met1 ( 228390 22950 ) RECT ( -355 -70 0 70 ) ;
- net10 ( input10 X ) ( _212_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 66470 ) ( * 68510 )
NEW met1 ( 238050 68510 ) ( 239890 * )
NEW li1 ( 238050 66470 ) L1M1_PR_MR
NEW met1 ( 238050 66470 ) M1M2_PR
NEW met1 ( 238050 68510 ) M1M2_PR
NEW li1 ( 239890 68510 ) L1M1_PR_MR
NEW met1 ( 238050 66470 ) RECT ( -355 -70 0 70 ) ;
- net100 ( input100 X ) ( _112_ A ) + USE SIGNAL
+ ROUTED met1 ( 19465 15130 ) ( 19550 * )
NEW met1 ( 19550 15130 ) ( * 15810 )
NEW met2 ( 19550 15810 ) ( * 41310 )
NEW met1 ( 18170 41310 ) ( 19550 * )
NEW li1 ( 19465 15130 ) L1M1_PR_MR
NEW met1 ( 19550 15810 ) M1M2_PR
NEW met1 ( 19550 41310 ) M1M2_PR
NEW li1 ( 18170 41310 ) L1M1_PR_MR ;
- net101 ( input101 X ) ( _113_ D ) + USE SIGNAL
+ ROUTED met3 ( 16330 14620 ) ( 17020 * )
NEW met4 ( 17020 14620 ) ( * 36380 )
NEW met3 ( 17020 36380 ) ( 22310 * )
NEW met2 ( 22310 36380 ) ( * 38590 )
NEW met2 ( 16330 12070 ) ( * 14620 )
NEW li1 ( 16330 12070 ) L1M1_PR_MR
NEW met1 ( 16330 12070 ) M1M2_PR
NEW met2 ( 16330 14620 ) M2M3_PR_M
NEW met3 ( 17020 14620 ) M3M4_PR_M
NEW met3 ( 17020 36380 ) M3M4_PR_M
NEW met2 ( 22310 36380 ) M2M3_PR_M
NEW li1 ( 22310 38590 ) L1M1_PR_MR
NEW met1 ( 22310 38590 ) M1M2_PR
NEW met1 ( 16330 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22310 38590 ) RECT ( -355 -70 0 70 ) ;
- net102 ( input102 X ) ( _109_ A ) + USE SIGNAL
+ ROUTED met2 ( 10350 33830 ) ( * 38590 )
NEW met1 ( 8050 38590 ) ( 10350 * )
NEW li1 ( 10350 33830 ) L1M1_PR_MR
NEW met1 ( 10350 33830 ) M1M2_PR
NEW met1 ( 10350 38590 ) M1M2_PR
NEW li1 ( 8050 38590 ) L1M1_PR_MR
NEW met1 ( 10350 33830 ) RECT ( -355 -70 0 70 ) ;
- net103 ( ANTENNA__367__A DIODE ) ( ANTENNA__177__B1 DIODE ) ( input103 X ) ( _177_ B1 ) ( _367_ A ) + USE SIGNAL
+ ROUTED met1 ( 4370 18530 ) ( 9430 * )
NEW met1 ( 4370 215390 ) ( 8970 * )
NEW met1 ( 12650 216070 ) ( * 216410 )
NEW met1 ( 8970 216070 ) ( 12650 * )
NEW met1 ( 8970 215390 ) ( * 216070 )
NEW met1 ( 12650 216410 ) ( * 217090 )
NEW met2 ( 4370 18530 ) ( * 215390 )
NEW met1 ( 12650 217090 ) ( 13800 * )
NEW met2 ( 17710 216410 ) ( * 218450 )
NEW met1 ( 17710 218450 ) ( 24610 * )
NEW met1 ( 13800 216750 ) ( * 217090 )
NEW met1 ( 13800 216750 ) ( 17710 * )
NEW met1 ( 17710 216410 ) ( * 216750 )
NEW met1 ( 4370 18530 ) M1M2_PR
NEW li1 ( 9430 18530 ) L1M1_PR_MR
NEW li1 ( 8970 215390 ) L1M1_PR_MR
NEW met1 ( 4370 215390 ) M1M2_PR
NEW li1 ( 12650 216410 ) L1M1_PR_MR
NEW li1 ( 17710 216410 ) L1M1_PR_MR
NEW met1 ( 17710 216410 ) M1M2_PR
NEW met1 ( 17710 218450 ) M1M2_PR
NEW li1 ( 24610 218450 ) L1M1_PR_MR
NEW met1 ( 17710 216410 ) RECT ( -355 -70 0 70 ) ;
- net104 ( ANTENNA__377__A DIODE ) ( ANTENNA__164__B1 DIODE ) ( input104 X ) ( _164_ B1 ) ( _377_ A ) + USE SIGNAL
+ ROUTED met2 ( 66470 179400 ) ( 66930 * )
NEW met2 ( 66470 16660 ) ( 66930 * )
NEW met1 ( 66470 15810 ) ( 66930 * )
NEW met1 ( 66470 15130 ) ( 69690 * )
NEW met2 ( 66470 7650 ) ( * 16660 )
NEW met2 ( 66930 16660 ) ( * 179400 )
NEW met1 ( 65090 218450 ) ( * 218790 )
NEW met1 ( 65090 218450 ) ( 66470 * )
NEW met1 ( 64170 220830 ) ( 66470 * )
NEW met2 ( 66470 218450 ) ( * 220830 )
NEW met2 ( 66470 179400 ) ( * 218450 )
NEW met2 ( 22770 7650 ) ( * 11390 )
NEW met1 ( 22770 7650 ) ( 66470 * )
NEW met1 ( 66470 7650 ) M1M2_PR
NEW li1 ( 66930 15810 ) L1M1_PR_MR
NEW met1 ( 66470 15810 ) M1M2_PR
NEW li1 ( 69690 15130 ) L1M1_PR_MR
NEW met1 ( 66470 15130 ) M1M2_PR
NEW li1 ( 65090 218790 ) L1M1_PR_MR
NEW met1 ( 66470 218450 ) M1M2_PR
NEW li1 ( 64170 220830 ) L1M1_PR_MR
NEW met1 ( 66470 220830 ) M1M2_PR
NEW met1 ( 22770 7650 ) M1M2_PR
NEW li1 ( 22770 11390 ) L1M1_PR_MR
NEW met1 ( 22770 11390 ) M1M2_PR
NEW met2 ( 66470 15810 ) RECT ( -70 -485 70 0 )
NEW met2 ( 66470 15130 ) RECT ( -70 -485 70 0 )
NEW met1 ( 22770 11390 ) RECT ( -355 -70 0 70 ) ;
- net105 ( ANTENNA__378__A DIODE ) ( ANTENNA__163__B1 DIODE ) ( input105 X ) ( _163_ B1 ) ( _378_ A ) + USE SIGNAL
+ ROUTED met2 ( 67850 62100 ) ( 68770 * )
NEW met2 ( 67850 9010 ) ( * 62100 )
NEW met1 ( 67390 223550 ) ( 68770 * )
NEW met2 ( 68770 223550 ) ( * 227290 )
NEW met2 ( 83490 224910 ) ( * 231710 )
NEW met1 ( 68770 224910 ) ( 83490 * )
NEW met1 ( 83490 232730 ) ( 86710 * )
NEW met2 ( 83490 231710 ) ( * 232730 )
NEW met2 ( 68770 62100 ) ( * 223550 )
NEW met2 ( 34730 9010 ) ( * 11390 )
NEW met1 ( 27370 11390 ) ( 34730 * )
NEW met1 ( 34730 9010 ) ( 67850 * )
NEW met1 ( 67850 9010 ) M1M2_PR
NEW li1 ( 67390 223550 ) L1M1_PR_MR
NEW met1 ( 68770 223550 ) M1M2_PR
NEW li1 ( 68770 227290 ) L1M1_PR_MR
NEW met1 ( 68770 227290 ) M1M2_PR
NEW li1 ( 83490 231710 ) L1M1_PR_MR
NEW met1 ( 83490 231710 ) M1M2_PR
NEW met1 ( 83490 224910 ) M1M2_PR
NEW met1 ( 68770 224910 ) M1M2_PR
NEW li1 ( 86710 232730 ) L1M1_PR_MR
NEW met1 ( 83490 232730 ) M1M2_PR
NEW met1 ( 34730 9010 ) M1M2_PR
NEW met1 ( 34730 11390 ) M1M2_PR
NEW li1 ( 27370 11390 ) L1M1_PR_MR
NEW met1 ( 68770 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83490 231710 ) RECT ( -355 -70 0 70 )
NEW met2 ( 68770 224910 ) RECT ( -70 -485 70 0 ) ;
- net106 ( ANTENNA__379__A DIODE ) ( ANTENNA__161__B1 DIODE ) ( input106 X ) ( _161_ B1 ) ( _379_ A ) + USE SIGNAL
+ ROUTED met2 ( 75210 82800 ) ( 75670 * )
NEW met2 ( 75670 8670 ) ( * 82800 )
NEW met1 ( 74750 223550 ) ( 75210 * )
NEW met2 ( 75210 223550 ) ( * 227290 )
NEW met1 ( 76590 226610 ) ( 83490 * )
NEW met1 ( 76590 226610 ) ( * 227290 )
NEW met1 ( 75210 227290 ) ( 76590 * )
NEW met1 ( 86710 226610 ) ( * 227290 )
NEW met1 ( 83490 226610 ) ( 86710 * )
NEW met2 ( 75210 82800 ) ( * 223550 )
NEW met2 ( 35650 8670 ) ( * 11390 )
NEW met1 ( 35650 8670 ) ( 75670 * )
NEW met1 ( 75670 8670 ) M1M2_PR
NEW li1 ( 74750 223550 ) L1M1_PR_MR
NEW met1 ( 75210 223550 ) M1M2_PR
NEW li1 ( 75210 227290 ) L1M1_PR_MR
NEW met1 ( 75210 227290 ) M1M2_PR
NEW li1 ( 83490 226610 ) L1M1_PR_MR
NEW li1 ( 86710 227290 ) L1M1_PR_MR
NEW met1 ( 35650 8670 ) M1M2_PR
NEW li1 ( 35650 11390 ) L1M1_PR_MR
NEW met1 ( 35650 11390 ) M1M2_PR
NEW met1 ( 75210 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35650 11390 ) RECT ( -355 -70 0 70 ) ;
- net107 ( ANTENNA__380__A DIODE ) ( ANTENNA__158__B1 DIODE ) ( input107 X ) ( _158_ B1 ) ( _380_ A ) + USE SIGNAL
+ ROUTED met2 ( 14490 82800 ) ( 14950 * )
NEW met1 ( 11730 153510 ) ( 14490 * )
NEW met1 ( 14490 152830 ) ( * 153510 )
NEW met2 ( 14490 82800 ) ( * 152830 )
NEW met1 ( 14490 152830 ) ( 86250 * )
NEW met1 ( 83950 220830 ) ( 86250 * )
NEW met2 ( 86250 152830 ) ( * 220830 )
NEW met3 ( 14950 44540 ) ( 24380 * )
NEW met4 ( 24380 17340 ) ( * 44540 )
NEW met3 ( 22770 17340 ) ( 24380 * )
NEW met2 ( 22770 16830 ) ( * 17340 )
NEW met1 ( 22770 16830 ) ( 26450 * )
NEW met2 ( 14950 44540 ) ( * 82800 )
NEW li1 ( 14490 152830 ) L1M1_PR_MR
NEW met1 ( 14490 152830 ) M1M2_PR
NEW li1 ( 11730 153510 ) L1M1_PR_MR
NEW met1 ( 86250 152830 ) M1M2_PR
NEW li1 ( 83950 220830 ) L1M1_PR_MR
NEW met1 ( 86250 220830 ) M1M2_PR
NEW li1 ( 86250 218790 ) L1M1_PR_MR
NEW met1 ( 86250 218790 ) M1M2_PR
NEW met2 ( 14950 44540 ) M2M3_PR_M
NEW met3 ( 24380 44540 ) M3M4_PR_M
NEW met3 ( 24380 17340 ) M3M4_PR_M
NEW met2 ( 22770 17340 ) M2M3_PR_M
NEW met1 ( 22770 16830 ) M1M2_PR
NEW li1 ( 26450 16830 ) L1M1_PR_MR
NEW met1 ( 14490 152830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 86250 218790 ) RECT ( 0 -70 355 70 )
NEW met2 ( 86250 218790 ) RECT ( -70 -485 70 0 ) ;
- net108 ( ANTENNA__381__A DIODE ) ( ANTENNA__157__B1 DIODE ) ( input108 X ) ( _157_ B1 ) ( _381_ A ) + USE SIGNAL
+ ROUTED met2 ( 93150 7310 ) ( * 12070 )
NEW met2 ( 93150 12070 ) ( * 13800 )
NEW met2 ( 92690 13800 ) ( 93150 * )
NEW met1 ( 89010 212670 ) ( * 213350 )
NEW met1 ( 89010 212670 ) ( 92690 * )
NEW met1 ( 85790 212670 ) ( 89010 * )
NEW met2 ( 92690 13800 ) ( * 212670 )
NEW met2 ( 46230 7310 ) ( * 11390 )
NEW met1 ( 40250 11390 ) ( 46230 * )
NEW met1 ( 46230 7310 ) ( 93150 * )
NEW li1 ( 93150 12070 ) L1M1_PR_MR
NEW met1 ( 93150 12070 ) M1M2_PR
NEW met1 ( 93150 7310 ) M1M2_PR
NEW li1 ( 92690 15470 ) L1M1_PR_MR
NEW met1 ( 92690 15470 ) M1M2_PR
NEW li1 ( 89010 213350 ) L1M1_PR_MR
NEW met1 ( 92690 212670 ) M1M2_PR
NEW li1 ( 85790 212670 ) L1M1_PR_MR
NEW met1 ( 46230 7310 ) M1M2_PR
NEW met1 ( 46230 11390 ) M1M2_PR
NEW li1 ( 40250 11390 ) L1M1_PR_MR
NEW met1 ( 93150 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 92690 15470 ) RECT ( -355 -70 0 70 )
NEW met2 ( 92690 15470 ) RECT ( -70 -485 70 0 ) ;
- net109 ( ANTENNA__382__A DIODE ) ( ANTENNA__156__B1 DIODE ) ( input109 X ) ( _156_ B1 ) ( _382_ A ) + USE SIGNAL
+ ROUTED met1 ( 86710 223550 ) ( 87170 * )
NEW met1 ( 90850 224240 ) ( * 224570 )
NEW met1 ( 86710 224570 ) ( 90850 * )
NEW met2 ( 86710 223550 ) ( * 224570 )
NEW met1 ( 96370 226610 ) ( 100970 * )
NEW met1 ( 96370 226270 ) ( * 226610 )
NEW met1 ( 89470 226270 ) ( 96370 * )
NEW met2 ( 89470 224570 ) ( * 226270 )
NEW met1 ( 97290 229330 ) ( 98670 * )
NEW met2 ( 97290 226610 ) ( * 229330 )
NEW met2 ( 86710 6970 ) ( * 223550 )
NEW met1 ( 52210 12410 ) ( * 12750 )
NEW met1 ( 52210 12410 ) ( 54970 * )
NEW met1 ( 54970 12070 ) ( * 12410 )
NEW met1 ( 54970 12070 ) ( 56810 * )
NEW met2 ( 56810 6970 ) ( * 12070 )
NEW met1 ( 56810 6970 ) ( 86710 * )
NEW met1 ( 48300 12750 ) ( 52210 * )
NEW met1 ( 48300 12750 ) ( * 13090 )
NEW met1 ( 39790 13090 ) ( 48300 * )
NEW met2 ( 39790 13090 ) ( * 14450 )
NEW met2 ( 39330 14450 ) ( 39790 * )
NEW met2 ( 39330 14450 ) ( * 19550 )
NEW met1 ( 35650 19550 ) ( 39330 * )
NEW met1 ( 86710 6970 ) M1M2_PR
NEW li1 ( 87170 223550 ) L1M1_PR_MR
NEW met1 ( 86710 223550 ) M1M2_PR
NEW li1 ( 90850 224240 ) L1M1_PR_MR
NEW met1 ( 86710 224570 ) M1M2_PR
NEW li1 ( 100970 226610 ) L1M1_PR_MR
NEW met1 ( 89470 226270 ) M1M2_PR
NEW met1 ( 89470 224570 ) M1M2_PR
NEW li1 ( 98670 229330 ) L1M1_PR_MR
NEW met1 ( 97290 229330 ) M1M2_PR
NEW met1 ( 97290 226610 ) M1M2_PR
NEW met1 ( 56810 12070 ) M1M2_PR
NEW met1 ( 56810 6970 ) M1M2_PR
NEW met1 ( 39790 13090 ) M1M2_PR
NEW met1 ( 39330 19550 ) M1M2_PR
NEW li1 ( 35650 19550 ) L1M1_PR_MR
NEW met1 ( 89470 224570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 97290 226610 ) RECT ( -595 -70 0 70 ) ;
- net11 ( input11 X ) ( _213_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 64090 ) ( * 65790 )
NEW li1 ( 232530 64090 ) L1M1_PR_MR
NEW met1 ( 232530 64090 ) M1M2_PR
NEW li1 ( 232530 65790 ) L1M1_PR_MR
NEW met1 ( 232530 65790 ) M1M2_PR
NEW met1 ( 232530 64090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 232530 65790 ) RECT ( -355 -70 0 70 ) ;
- net110 ( ANTENNA__383__A DIODE ) ( ANTENNA__155__B1 DIODE ) ( input110 X ) ( _155_ B1 ) ( _383_ A ) + USE SIGNAL
+ ROUTED met2 ( 100510 82800 ) ( 100970 * )
NEW met1 ( 100510 20570 ) ( 100970 * )
NEW met1 ( 100970 15470 ) ( 101430 * )
NEW met2 ( 100970 15470 ) ( * 20570 )
NEW met2 ( 100970 8330 ) ( * 15470 )
NEW met2 ( 100970 20570 ) ( * 82800 )
NEW met1 ( 101430 211310 ) ( 102810 * )
NEW met2 ( 102810 211310 ) ( * 216410 )
NEW met1 ( 100510 211310 ) ( 101430 * )
NEW met2 ( 100510 82800 ) ( * 211310 )
NEW met2 ( 48530 8330 ) ( * 11390 )
NEW met1 ( 48530 8330 ) ( 100970 * )
NEW met1 ( 100970 8330 ) M1M2_PR
NEW li1 ( 100510 20570 ) L1M1_PR_MR
NEW met1 ( 100970 20570 ) M1M2_PR
NEW li1 ( 101430 15470 ) L1M1_PR_MR
NEW met1 ( 100970 15470 ) M1M2_PR
NEW li1 ( 101430 211310 ) L1M1_PR_MR
NEW met1 ( 102810 211310 ) M1M2_PR
NEW li1 ( 102810 216410 ) L1M1_PR_MR
NEW met1 ( 102810 216410 ) M1M2_PR
NEW met1 ( 100510 211310 ) M1M2_PR
NEW met1 ( 48530 8330 ) M1M2_PR
NEW li1 ( 48530 11390 ) L1M1_PR_MR
NEW met1 ( 48530 11390 ) M1M2_PR
NEW met1 ( 102810 216410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 48530 11390 ) RECT ( -355 -70 0 70 ) ;
- net111 ( ANTENNA__384__A DIODE ) ( ANTENNA__153__B1 DIODE ) ( input111 X ) ( _153_ B1 ) ( _384_ A ) + USE SIGNAL
+ ROUTED met2 ( 111090 82800 ) ( 111550 * )
NEW met2 ( 85790 19890 ) ( * 23970 )
NEW met1 ( 101430 221850 ) ( 101890 * )
NEW met2 ( 101430 218790 ) ( * 221850 )
NEW met1 ( 101430 218790 ) ( 103270 * )
NEW met1 ( 48990 23630 ) ( * 23970 )
NEW met1 ( 48990 23970 ) ( 85790 * )
NEW met1 ( 110630 19890 ) ( 111550 * )
NEW met2 ( 111550 15470 ) ( * 19890 )
NEW met1 ( 85790 19890 ) ( 110630 * )
NEW met2 ( 111550 19890 ) ( * 82800 )
NEW met1 ( 103270 218790 ) ( 111090 * )
NEW met2 ( 111090 82800 ) ( * 218790 )
NEW met2 ( 47610 23630 ) ( * 24990 )
NEW met1 ( 45770 24990 ) ( 47610 * )
NEW met1 ( 45770 24990 ) ( * 25330 )
NEW met1 ( 41630 25330 ) ( 45770 * )
NEW met1 ( 41630 25330 ) ( * 25670 )
NEW met2 ( 41630 25500 ) ( * 25670 )
NEW met2 ( 41170 25500 ) ( 41630 * )
NEW met2 ( 41170 23630 ) ( * 25500 )
NEW met1 ( 32890 23630 ) ( 41170 * )
NEW met1 ( 32890 23290 ) ( * 23630 )
NEW met1 ( 47610 23630 ) ( 48990 * )
NEW met1 ( 85790 23970 ) M1M2_PR
NEW met1 ( 85790 19890 ) M1M2_PR
NEW li1 ( 103270 218790 ) L1M1_PR_MR
NEW li1 ( 101890 221850 ) L1M1_PR_MR
NEW met1 ( 101430 221850 ) M1M2_PR
NEW met1 ( 101430 218790 ) M1M2_PR
NEW li1 ( 110630 19890 ) L1M1_PR_MR
NEW met1 ( 111550 19890 ) M1M2_PR
NEW li1 ( 111550 15470 ) L1M1_PR_MR
NEW met1 ( 111550 15470 ) M1M2_PR
NEW met1 ( 111090 218790 ) M1M2_PR
NEW met1 ( 47610 23630 ) M1M2_PR
NEW met1 ( 47610 24990 ) M1M2_PR
NEW met1 ( 41630 25670 ) M1M2_PR
NEW met1 ( 41170 23630 ) M1M2_PR
NEW li1 ( 32890 23290 ) L1M1_PR_MR
NEW met1 ( 111550 15470 ) RECT ( -355 -70 0 70 ) ;
- net112 ( ANTENNA__385__A DIODE ) ( ANTENNA__150__B1 DIODE ) ( input112 X ) ( _150_ B1 ) ( _385_ A ) + USE SIGNAL
+ ROUTED met1 ( 49910 22610 ) ( * 23630 )
NEW met1 ( 49910 23630 ) ( 57270 * )
NEW met2 ( 57270 23630 ) ( * 32130 )
NEW met1 ( 57270 32130 ) ( 115230 * )
NEW met1 ( 115230 227290 ) ( 116610 * )
NEW met1 ( 111550 226950 ) ( 115230 * )
NEW met1 ( 115230 226950 ) ( * 227290 )
NEW met1 ( 115230 232730 ) ( 117530 * )
NEW met2 ( 115230 227290 ) ( * 232730 )
NEW met1 ( 115230 232390 ) ( * 232730 )
NEW met1 ( 106490 232390 ) ( 115230 * )
NEW met2 ( 115230 32130 ) ( * 227290 )
NEW met1 ( 37490 22610 ) ( 49910 * )
NEW li1 ( 106490 232390 ) L1M1_PR_MR
NEW met1 ( 57270 23630 ) M1M2_PR
NEW met1 ( 57270 32130 ) M1M2_PR
NEW met1 ( 115230 32130 ) M1M2_PR
NEW li1 ( 116610 227290 ) L1M1_PR_MR
NEW met1 ( 115230 227290 ) M1M2_PR
NEW li1 ( 111550 226950 ) L1M1_PR_MR
NEW li1 ( 117530 232730 ) L1M1_PR_MR
NEW met1 ( 115230 232730 ) M1M2_PR
NEW li1 ( 37490 22610 ) L1M1_PR_MR ;
- net113 ( ANTENNA__386__A DIODE ) ( ANTENNA__149__B1 DIODE ) ( input113 X ) ( _149_ B1 ) ( _386_ A ) + USE SIGNAL
+ ROUTED met1 ( 118910 15130 ) ( 120750 * )
NEW met2 ( 118910 15130 ) ( * 18190 )
NEW met1 ( 118450 218790 ) ( 118910 * )
NEW met1 ( 113390 218450 ) ( 118450 * )
NEW met1 ( 118450 218450 ) ( * 218790 )
NEW met2 ( 118910 18190 ) ( * 218790 )
NEW met2 ( 45310 17850 ) ( * 18020 )
NEW met1 ( 45310 17850 ) ( 45770 * )
NEW met3 ( 45310 18020 ) ( 118910 * )
NEW li1 ( 118910 18190 ) L1M1_PR_MR
NEW met1 ( 118910 18190 ) M1M2_PR
NEW li1 ( 120750 15130 ) L1M1_PR_MR
NEW met1 ( 118910 15130 ) M1M2_PR
NEW met2 ( 118910 18020 ) M2M3_PR_M
NEW li1 ( 118450 218790 ) L1M1_PR_MR
NEW met1 ( 118910 218790 ) M1M2_PR
NEW li1 ( 113390 218450 ) L1M1_PR_MR
NEW met2 ( 45310 18020 ) M2M3_PR_M
NEW met1 ( 45310 17850 ) M1M2_PR
NEW li1 ( 45770 17850 ) L1M1_PR_MR
NEW met1 ( 118910 18190 ) RECT ( -355 -70 0 70 )
NEW met2 ( 118910 18020 ) RECT ( -70 -485 70 0 ) ;
- net114 ( ANTENNA__368__A DIODE ) ( ANTENNA__176__B1 DIODE ) ( input114 X ) ( _176_ B1 ) ( _368_ A ) + USE SIGNAL
+ ROUTED met1 ( 12190 212670 ) ( * 213010 )
NEW met1 ( 9430 213010 ) ( 12190 * )
NEW met1 ( 14490 212670 ) ( * 213320 )
NEW met1 ( 12190 212670 ) ( 16330 * )
NEW met1 ( 11730 33830 ) ( 13570 * )
NEW met2 ( 11730 23970 ) ( * 33830 )
NEW met1 ( 8050 23970 ) ( 11730 * )
NEW met1 ( 14490 41310 ) ( 16330 * )
NEW met2 ( 16330 33830 ) ( * 41310 )
NEW met1 ( 14030 33830 ) ( 16330 * )
NEW met1 ( 14030 33830 ) ( * 33840 )
NEW met1 ( 13570 33840 ) ( 14030 * )
NEW met1 ( 13570 33830 ) ( * 33840 )
NEW met2 ( 16330 41310 ) ( * 212670 )
NEW li1 ( 9430 213010 ) L1M1_PR_MR
NEW met1 ( 16330 212670 ) M1M2_PR
NEW li1 ( 14490 213320 ) L1M1_PR_MR
NEW li1 ( 13570 33830 ) L1M1_PR_MR
NEW met1 ( 11730 33830 ) M1M2_PR
NEW met1 ( 11730 23970 ) M1M2_PR
NEW li1 ( 8050 23970 ) L1M1_PR_MR
NEW li1 ( 14490 41310 ) L1M1_PR_MR
NEW met1 ( 16330 41310 ) M1M2_PR
NEW met1 ( 16330 33830 ) M1M2_PR ;
- net115 ( ANTENNA__387__A DIODE ) ( ANTENNA__148__B1 DIODE ) ( input115 X ) ( _148_ B1 ) ( _387_ A ) + USE SIGNAL
+ ROUTED met2 ( 86250 28390 ) ( * 31450 )
NEW met1 ( 50830 17510 ) ( 52670 * )
NEW met1 ( 52670 17510 ) ( * 17850 )
NEW met2 ( 52670 17850 ) ( * 18700 )
NEW met3 ( 52670 18700 ) ( 55430 * )
NEW met2 ( 55430 18700 ) ( * 21420 )
NEW met3 ( 55430 21420 ) ( 60030 * )
NEW met2 ( 60030 21420 ) ( * 28390 )
NEW met1 ( 60030 28390 ) ( 86250 * )
NEW met1 ( 86250 31450 ) ( 118450 * )
NEW met1 ( 119370 223550 ) ( 119830 * )
NEW met2 ( 119830 220830 ) ( * 223550 )
NEW met1 ( 118450 220830 ) ( 119830 * )
NEW met1 ( 122590 227290 ) ( * 227630 )
NEW met1 ( 119830 227630 ) ( 122590 * )
NEW met2 ( 119830 223550 ) ( * 227630 )
NEW met1 ( 123970 226950 ) ( 135930 * )
NEW met1 ( 123970 226950 ) ( * 227290 )
NEW met1 ( 122590 227290 ) ( 123970 * )
NEW met2 ( 137310 226950 ) ( * 232730 )
NEW met1 ( 135930 226950 ) ( 137310 * )
NEW met2 ( 118450 31450 ) ( * 220830 )
NEW met1 ( 86250 28390 ) M1M2_PR
NEW met1 ( 86250 31450 ) M1M2_PR
NEW li1 ( 50830 17510 ) L1M1_PR_MR
NEW met1 ( 52670 17850 ) M1M2_PR
NEW met2 ( 52670 18700 ) M2M3_PR_M
NEW met2 ( 55430 18700 ) M2M3_PR_M
NEW met2 ( 55430 21420 ) M2M3_PR_M
NEW met2 ( 60030 21420 ) M2M3_PR_M
NEW met1 ( 60030 28390 ) M1M2_PR
NEW met1 ( 118450 31450 ) M1M2_PR
NEW li1 ( 119370 223550 ) L1M1_PR_MR
NEW met1 ( 119830 223550 ) M1M2_PR
NEW met1 ( 119830 220830 ) M1M2_PR
NEW met1 ( 118450 220830 ) M1M2_PR
NEW li1 ( 122590 227290 ) L1M1_PR_MR
NEW met1 ( 119830 227630 ) M1M2_PR
NEW li1 ( 135930 226950 ) L1M1_PR_MR
NEW li1 ( 137310 232730 ) L1M1_PR_MR
NEW met1 ( 137310 232730 ) M1M2_PR
NEW met1 ( 137310 226950 ) M1M2_PR
NEW met1 ( 137310 232730 ) RECT ( -355 -70 0 70 ) ;
- net116 ( ANTENNA__388__A DIODE ) ( ANTENNA__147__B1 DIODE ) ( input116 X ) ( _147_ B1 ) ( _388_ A ) + USE SIGNAL
+ ROUTED met1 ( 11730 180710 ) ( 14490 * )
NEW met1 ( 14490 180710 ) ( 55890 * )
NEW met1 ( 55890 182750 ) ( 121210 * )
NEW met1 ( 55890 12410 ) ( 58650 * )
NEW met2 ( 55890 12410 ) ( * 182750 )
NEW met1 ( 123050 213010 ) ( * 213350 )
NEW met1 ( 121210 213010 ) ( 123050 * )
NEW met2 ( 121210 209950 ) ( * 213010 )
NEW met2 ( 121210 182750 ) ( * 209950 )
NEW li1 ( 14490 180710 ) L1M1_PR_MR
NEW li1 ( 11730 180710 ) L1M1_PR_MR
NEW met1 ( 121210 182750 ) M1M2_PR
NEW met1 ( 55890 182750 ) M1M2_PR
NEW met1 ( 55890 180710 ) M1M2_PR
NEW li1 ( 58650 12410 ) L1M1_PR_MR
NEW met1 ( 55890 12410 ) M1M2_PR
NEW li1 ( 121210 209950 ) L1M1_PR_MR
NEW met1 ( 121210 209950 ) M1M2_PR
NEW li1 ( 123050 213350 ) L1M1_PR_MR
NEW met1 ( 121210 213010 ) M1M2_PR
NEW met2 ( 55890 180710 ) RECT ( -70 -485 70 0 )
NEW met1 ( 121210 209950 ) RECT ( -355 -70 0 70 ) ;
- net117 ( ANTENNA__389__A DIODE ) ( ANTENNA__145__B1 DIODE ) ( input117 X ) ( _145_ B1 ) ( _389_ A ) + USE SIGNAL
+ ROUTED met1 ( 6210 102850 ) ( 13110 * )
NEW met1 ( 3910 49470 ) ( 6210 * )
NEW met2 ( 6210 49470 ) ( * 102850 )
NEW met1 ( 10810 207910 ) ( 13570 * )
NEW met1 ( 13570 207570 ) ( * 207910 )
NEW met2 ( 13110 102850 ) ( * 207910 )
NEW met1 ( 62100 207230 ) ( * 207570 )
NEW met1 ( 13570 207570 ) ( 62100 * )
NEW met2 ( 123050 207230 ) ( * 218790 )
NEW met1 ( 121670 220830 ) ( 123050 * )
NEW met2 ( 123050 218790 ) ( * 220830 )
NEW met1 ( 62100 207230 ) ( 123050 * )
NEW met1 ( 3910 39610 ) ( 37950 * )
NEW met2 ( 37950 33150 ) ( * 39610 )
NEW met2 ( 37950 33150 ) ( 38410 * )
NEW met2 ( 38410 26690 ) ( * 33150 )
NEW met1 ( 37950 26690 ) ( 38410 * )
NEW met1 ( 37950 25670 ) ( * 26690 )
NEW met1 ( 37950 25670 ) ( 39790 * )
NEW met2 ( 3910 39610 ) ( * 49470 )
NEW met1 ( 6210 102850 ) M1M2_PR
NEW met1 ( 13110 102850 ) M1M2_PR
NEW met1 ( 6210 49470 ) M1M2_PR
NEW met1 ( 3910 49470 ) M1M2_PR
NEW li1 ( 13570 207910 ) L1M1_PR_MR
NEW li1 ( 10810 207910 ) L1M1_PR_MR
NEW met1 ( 13110 207910 ) M1M2_PR
NEW li1 ( 123050 218790 ) L1M1_PR_MR
NEW met1 ( 123050 218790 ) M1M2_PR
NEW met1 ( 123050 207230 ) M1M2_PR
NEW li1 ( 121670 220830 ) L1M1_PR_MR
NEW met1 ( 123050 220830 ) M1M2_PR
NEW met1 ( 3910 39610 ) M1M2_PR
NEW met1 ( 37950 39610 ) M1M2_PR
NEW met1 ( 38410 26690 ) M1M2_PR
NEW li1 ( 39790 25670 ) L1M1_PR_MR
NEW met1 ( 13110 207910 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 218790 ) RECT ( -355 -70 0 70 ) ;
- net118 ( ANTENNA__390__A DIODE ) ( ANTENNA__142__B1 DIODE ) ( input118 X ) ( _142_ B1 ) ( _390_ A ) + USE SIGNAL
+ ROUTED met1 ( 145130 13090 ) ( 146050 * )
NEW met1 ( 146050 11730 ) ( 150190 * )
NEW met2 ( 146050 11730 ) ( * 13090 )
NEW met1 ( 146050 11390 ) ( * 11730 )
NEW met2 ( 146050 62100 ) ( 146510 * )
NEW met2 ( 146050 13090 ) ( * 62100 )
NEW met2 ( 89010 11390 ) ( * 13260 )
NEW met3 ( 59110 13260 ) ( 89010 * )
NEW met2 ( 59110 13260 ) ( * 14790 )
NEW met1 ( 89010 11390 ) ( 146050 * )
NEW met1 ( 146510 218110 ) ( 147890 * )
NEW met1 ( 144210 218450 ) ( * 218800 )
NEW met1 ( 144210 218450 ) ( 146510 * )
NEW met1 ( 146510 218110 ) ( * 218450 )
NEW met2 ( 146510 62100 ) ( * 218110 )
NEW li1 ( 145130 13090 ) L1M1_PR_MR
NEW met1 ( 146050 13090 ) M1M2_PR
NEW li1 ( 150190 11730 ) L1M1_PR_MR
NEW met1 ( 146050 11730 ) M1M2_PR
NEW met1 ( 89010 11390 ) M1M2_PR
NEW met2 ( 89010 13260 ) M2M3_PR_M
NEW met2 ( 59110 13260 ) M2M3_PR_M
NEW li1 ( 59110 14790 ) L1M1_PR_MR
NEW met1 ( 59110 14790 ) M1M2_PR
NEW li1 ( 147890 218110 ) L1M1_PR_MR
NEW met1 ( 146510 218110 ) M1M2_PR
NEW li1 ( 144210 218800 ) L1M1_PR_MR
NEW met1 ( 59110 14790 ) RECT ( -355 -70 0 70 ) ;
- net119 ( ANTENNA__391__A DIODE ) ( ANTENNA__141__B1 DIODE ) ( input119 X ) ( _141_ B1 ) ( _391_ A ) + USE SIGNAL
+ ROUTED met2 ( 78890 82800 ) ( 79350 * )
NEW met2 ( 79350 82800 ) ( * 207000 )
NEW met2 ( 79350 207000 ) ( 79810 * )
NEW met2 ( 79810 207000 ) ( * 221510 )
NEW met1 ( 79810 221510 ) ( 97290 * )
NEW met2 ( 97290 221510 ) ( * 223890 )
NEW met1 ( 97290 223890 ) ( 102350 * )
NEW met1 ( 102350 223890 ) ( * 224230 )
NEW met1 ( 102350 224230 ) ( 106950 * )
NEW met1 ( 106950 224230 ) ( * 224910 )
NEW met1 ( 122590 224570 ) ( 138690 * )
NEW met1 ( 122590 224570 ) ( * 224910 )
NEW met2 ( 144210 224230 ) ( * 225250 )
NEW met1 ( 141910 225250 ) ( 144210 * )
NEW met2 ( 141910 224570 ) ( * 225250 )
NEW met1 ( 138690 224570 ) ( 141910 * )
NEW met1 ( 144210 232730 ) ( 144670 * )
NEW met2 ( 144210 225250 ) ( * 232730 )
NEW met1 ( 144210 234430 ) ( 144670 * )
NEW met2 ( 144210 232730 ) ( * 234430 )
NEW met1 ( 106950 224910 ) ( 122590 * )
NEW met2 ( 55430 15980 ) ( * 17170 )
NEW met3 ( 55430 15980 ) ( 55660 * )
NEW met4 ( 55660 15980 ) ( * 22100 )
NEW met3 ( 55660 22100 ) ( 78890 * )
NEW met2 ( 78890 22100 ) ( * 82800 )
NEW met1 ( 79810 221510 ) M1M2_PR
NEW met1 ( 97290 221510 ) M1M2_PR
NEW met1 ( 97290 223890 ) M1M2_PR
NEW li1 ( 138690 224570 ) L1M1_PR_MR
NEW li1 ( 144210 224230 ) L1M1_PR_MR
NEW met1 ( 144210 224230 ) M1M2_PR
NEW met1 ( 144210 225250 ) M1M2_PR
NEW met1 ( 141910 225250 ) M1M2_PR
NEW met1 ( 141910 224570 ) M1M2_PR
NEW li1 ( 144670 232730 ) L1M1_PR_MR
NEW met1 ( 144210 232730 ) M1M2_PR
NEW li1 ( 144670 234430 ) L1M1_PR_MR
NEW met1 ( 144210 234430 ) M1M2_PR
NEW li1 ( 55430 17170 ) L1M1_PR_MR
NEW met1 ( 55430 17170 ) M1M2_PR
NEW met2 ( 55430 15980 ) M2M3_PR_M
NEW met3 ( 55660 15980 ) M3M4_PR_M
NEW met3 ( 55660 22100 ) M3M4_PR_M
NEW met2 ( 78890 22100 ) M2M3_PR_M
NEW met1 ( 144210 224230 ) RECT ( 0 -70 355 70 )
NEW met1 ( 55430 17170 ) RECT ( -355 -70 0 70 )
NEW met3 ( 55430 15980 ) RECT ( -390 -150 0 150 ) ;
- net12 ( input12 X ) ( _189_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 226090 20570 ) ( * 27710 )
NEW met1 ( 222410 27710 ) ( 226090 * )
NEW li1 ( 226090 20570 ) L1M1_PR_MR
NEW met1 ( 226090 20570 ) M1M2_PR
NEW met1 ( 226090 27710 ) M1M2_PR
NEW li1 ( 222410 27710 ) L1M1_PR_MR
NEW met1 ( 226090 20570 ) RECT ( -355 -70 0 70 ) ;
- net120 ( ANTENNA__392__A DIODE ) ( ANTENNA__140__B1 DIODE ) ( input120 X ) ( _140_ B1 ) ( _392_ A ) + USE SIGNAL
+ ROUTED met2 ( 74290 20060 ) ( * 39610 )
NEW met2 ( 53590 20060 ) ( * 20230 )
NEW met3 ( 53590 20060 ) ( 74290 * )
NEW met1 ( 74290 39610 ) ( 152030 * )
NEW met1 ( 155310 221860 ) ( * 221880 )
NEW met1 ( 155310 221880 ) ( 155710 * )
NEW met1 ( 155710 221510 ) ( * 221880 )
NEW met2 ( 155710 218110 ) ( * 221510 )
NEW met1 ( 152030 218110 ) ( 155710 * )
NEW met1 ( 155710 232730 ) ( 156630 * )
NEW met2 ( 156630 221510 ) ( * 232730 )
NEW met1 ( 155710 221510 ) ( 156630 * )
NEW met1 ( 156630 234430 ) ( 157090 * )
NEW met2 ( 156630 232730 ) ( * 234430 )
NEW met2 ( 152030 39610 ) ( * 218110 )
NEW met2 ( 74290 20060 ) M2M3_PR_M
NEW met1 ( 74290 39610 ) M1M2_PR
NEW met2 ( 53590 20060 ) M2M3_PR_M
NEW li1 ( 53590 20230 ) L1M1_PR_MR
NEW met1 ( 53590 20230 ) M1M2_PR
NEW met1 ( 152030 39610 ) M1M2_PR
NEW li1 ( 152030 218110 ) L1M1_PR_MR
NEW met1 ( 152030 218110 ) M1M2_PR
NEW li1 ( 155310 221860 ) L1M1_PR_MR
NEW met1 ( 155710 221510 ) M1M2_PR
NEW met1 ( 155710 218110 ) M1M2_PR
NEW li1 ( 155710 232730 ) L1M1_PR_MR
NEW met1 ( 156630 232730 ) M1M2_PR
NEW met1 ( 156630 221510 ) M1M2_PR
NEW li1 ( 157090 234430 ) L1M1_PR_MR
NEW met1 ( 156630 234430 ) M1M2_PR
NEW met1 ( 53590 20230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 152030 218110 ) RECT ( -355 -70 0 70 ) ;
- net121 ( ANTENNA__393__A DIODE ) ( ANTENNA__139__B1 DIODE ) ( input121 X ) ( _139_ B1 ) ( _393_ A ) + USE SIGNAL
+ ROUTED met1 ( 142830 11730 ) ( * 12410 )
NEW met1 ( 142830 12410 ) ( 154790 * )
NEW met2 ( 154790 131100 ) ( 156170 * )
NEW met2 ( 87170 9350 ) ( * 11730 )
NEW met1 ( 63710 9350 ) ( 87170 * )
NEW met2 ( 63710 9350 ) ( * 11730 )
NEW met1 ( 87170 11730 ) ( 142830 * )
NEW met2 ( 154790 12410 ) ( * 131100 )
NEW met2 ( 156170 131100 ) ( * 207000 )
NEW met1 ( 154790 227290 ) ( 155250 * )
NEW met2 ( 154790 226780 ) ( * 227290 )
NEW met2 ( 154330 226780 ) ( 154790 * )
NEW met2 ( 154330 207000 ) ( * 226780 )
NEW met2 ( 154330 207000 ) ( 156170 * )
NEW met1 ( 152030 226950 ) ( 154790 * )
NEW met1 ( 154790 226950 ) ( * 227290 )
NEW met2 ( 154790 227290 ) ( * 227460 )
NEW met2 ( 225630 227290 ) ( * 227460 )
NEW met2 ( 225630 225250 ) ( * 227290 )
NEW met3 ( 154790 227460 ) ( 225630 * )
NEW met1 ( 154790 12410 ) M1M2_PR
NEW met1 ( 87170 11730 ) M1M2_PR
NEW met1 ( 87170 9350 ) M1M2_PR
NEW met1 ( 63710 9350 ) M1M2_PR
NEW li1 ( 63710 11730 ) L1M1_PR_MR
NEW met1 ( 63710 11730 ) M1M2_PR
NEW li1 ( 155250 227290 ) L1M1_PR_MR
NEW met1 ( 154790 227290 ) M1M2_PR
NEW li1 ( 152030 226950 ) L1M1_PR_MR
NEW met2 ( 154790 227460 ) M2M3_PR_M
NEW li1 ( 225630 227290 ) L1M1_PR_MR
NEW met1 ( 225630 227290 ) M1M2_PR
NEW met2 ( 225630 227460 ) M2M3_PR_M
NEW li1 ( 225630 225250 ) L1M1_PR_MR
NEW met1 ( 225630 225250 ) M1M2_PR
NEW met1 ( 63710 11730 ) RECT ( 0 -70 355 70 )
NEW met1 ( 225630 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 225630 225250 ) RECT ( -355 -70 0 70 ) ;
- net122 ( ANTENNA__394__A DIODE ) ( ANTENNA__136__B1 DIODE ) ( input122 X ) ( _136_ B1 ) ( _394_ A ) + USE SIGNAL
+ ROUTED met1 ( 164910 224230 ) ( * 224570 )
NEW met1 ( 161230 224570 ) ( 164910 * )
NEW met2 ( 161230 223550 ) ( * 224570 )
NEW met2 ( 174110 224910 ) ( * 228990 )
NEW met1 ( 164910 224910 ) ( 174110 * )
NEW met1 ( 164910 224570 ) ( * 224910 )
NEW met1 ( 174110 232730 ) ( 175490 * )
NEW met2 ( 174110 228990 ) ( * 232730 )
NEW met2 ( 161230 30260 ) ( * 223550 )
NEW met1 ( 50830 22610 ) ( 54970 * )
NEW met2 ( 54970 22610 ) ( * 26180 )
NEW met2 ( 54970 26180 ) ( 55430 * )
NEW met2 ( 55430 26180 ) ( * 30260 )
NEW met3 ( 55430 30260 ) ( 161230 * )
NEW met2 ( 161230 30260 ) M2M3_PR_M
NEW li1 ( 161230 223550 ) L1M1_PR_MR
NEW met1 ( 161230 223550 ) M1M2_PR
NEW li1 ( 164910 224230 ) L1M1_PR_MR
NEW met1 ( 161230 224570 ) M1M2_PR
NEW li1 ( 174110 228990 ) L1M1_PR_MR
NEW met1 ( 174110 228990 ) M1M2_PR
NEW met1 ( 174110 224910 ) M1M2_PR
NEW li1 ( 175490 232730 ) L1M1_PR_MR
NEW met1 ( 174110 232730 ) M1M2_PR
NEW li1 ( 50830 22610 ) L1M1_PR_MR
NEW met1 ( 54970 22610 ) M1M2_PR
NEW met2 ( 55430 30260 ) M2M3_PR_M
NEW met1 ( 161230 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 174110 228990 ) RECT ( -355 -70 0 70 ) ;
- net123 ( ANTENNA__395__A DIODE ) ( ANTENNA__132__B1 DIODE ) ( input123 X ) ( _132_ B1 ) ( _395_ A ) + USE SIGNAL
+ ROUTED met1 ( 176410 15470 ) ( 176870 * )
NEW met2 ( 176410 15470 ) ( * 17170 )
NEW met1 ( 176870 15470 ) ( 180090 * )
NEW met2 ( 178250 179400 ) ( 178710 * )
NEW met2 ( 178710 15470 ) ( * 179400 )
NEW met1 ( 177790 218790 ) ( 178250 * )
NEW met1 ( 174570 220830 ) ( 178250 * )
NEW met2 ( 178250 218790 ) ( * 220830 )
NEW met2 ( 178250 179400 ) ( * 218790 )
NEW met1 ( 59570 17170 ) ( * 17850 )
NEW met1 ( 59570 17170 ) ( 176410 * )
NEW li1 ( 180090 15470 ) L1M1_PR_MR
NEW li1 ( 176870 15470 ) L1M1_PR_MR
NEW met1 ( 176410 15470 ) M1M2_PR
NEW met1 ( 176410 17170 ) M1M2_PR
NEW met1 ( 178710 15470 ) M1M2_PR
NEW li1 ( 177790 218790 ) L1M1_PR_MR
NEW met1 ( 178250 218790 ) M1M2_PR
NEW li1 ( 174570 220830 ) L1M1_PR_MR
NEW met1 ( 178250 220830 ) M1M2_PR
NEW li1 ( 59570 17850 ) L1M1_PR_MR
NEW met1 ( 178710 15470 ) RECT ( -595 -70 0 70 ) ;
- net124 ( ANTENNA__396__A DIODE ) ( ANTENNA__131__B1 DIODE ) ( input124 X ) ( _131_ B1 ) ( _396_ A ) + USE SIGNAL
+ ROUTED met1 ( 72450 210970 ) ( 103270 * )
NEW met1 ( 103270 210970 ) ( * 211310 )
NEW met1 ( 173650 223550 ) ( 175950 * )
NEW met2 ( 173650 209950 ) ( * 223550 )
NEW met1 ( 179170 224230 ) ( 179630 * )
NEW met2 ( 179170 223550 ) ( * 224230 )
NEW met1 ( 175950 223550 ) ( 179170 * )
NEW met1 ( 158700 209950 ) ( 173650 * )
NEW li1 ( 120750 210290 ) ( * 211310 )
NEW met1 ( 120750 210290 ) ( 121670 * )
NEW met1 ( 121670 209950 ) ( * 210290 )
NEW met1 ( 121670 209950 ) ( 155710 * )
NEW met1 ( 155710 209950 ) ( * 210290 )
NEW met1 ( 155710 210290 ) ( 158700 * )
NEW met1 ( 158700 209950 ) ( * 210290 )
NEW met1 ( 103270 211310 ) ( 120750 * )
NEW met2 ( 202630 223550 ) ( * 232730 )
NEW met2 ( 209070 232730 ) ( * 232900 )
NEW met3 ( 202630 232900 ) ( 209070 * )
NEW met2 ( 202630 232730 ) ( * 232900 )
NEW met1 ( 179170 223550 ) ( 202630 * )
NEW met1 ( 63710 15470 ) ( 72450 * )
NEW met2 ( 72450 15470 ) ( * 210970 )
NEW met1 ( 72450 210970 ) M1M2_PR
NEW li1 ( 175950 223550 ) L1M1_PR_MR
NEW met1 ( 173650 223550 ) M1M2_PR
NEW met1 ( 173650 209950 ) M1M2_PR
NEW li1 ( 179630 224230 ) L1M1_PR_MR
NEW met1 ( 179170 224230 ) M1M2_PR
NEW met1 ( 179170 223550 ) M1M2_PR
NEW li1 ( 120750 211310 ) L1M1_PR_MR
NEW li1 ( 120750 210290 ) L1M1_PR_MR
NEW li1 ( 202630 232730 ) L1M1_PR_MR
NEW met1 ( 202630 232730 ) M1M2_PR
NEW met1 ( 202630 223550 ) M1M2_PR
NEW li1 ( 209070 232730 ) L1M1_PR_MR
NEW met1 ( 209070 232730 ) M1M2_PR
NEW met2 ( 209070 232900 ) M2M3_PR_M
NEW met2 ( 202630 232900 ) M2M3_PR_M
NEW li1 ( 63710 15470 ) L1M1_PR_MR
NEW met1 ( 72450 15470 ) M1M2_PR
NEW met1 ( 202630 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 209070 232730 ) RECT ( -355 -70 0 70 ) ;
- net125 ( ANTENNA__369__A DIODE ) ( ANTENNA__175__B1 DIODE ) ( input125 X ) ( _175_ B1 ) ( _369_ A ) + USE SIGNAL
+ ROUTED met2 ( 15410 62100 ) ( 15870 * )
NEW met2 ( 15870 18530 ) ( * 62100 )
NEW met1 ( 15410 18530 ) ( 15870 * )
NEW met1 ( 15410 221170 ) ( 18630 * )
NEW met2 ( 15410 221170 ) ( * 224230 )
NEW met2 ( 15410 224230 ) ( * 230010 )
NEW met1 ( 15410 232730 ) ( 17250 * )
NEW met2 ( 15410 230010 ) ( * 232730 )
NEW met1 ( 7590 230010 ) ( 15410 * )
NEW met2 ( 15410 62100 ) ( * 221170 )
NEW li1 ( 7590 230010 ) L1M1_PR_MR
NEW met1 ( 15870 18530 ) M1M2_PR
NEW li1 ( 15410 18530 ) L1M1_PR_MR
NEW li1 ( 18630 221170 ) L1M1_PR_MR
NEW met1 ( 15410 221170 ) M1M2_PR
NEW li1 ( 15410 224230 ) L1M1_PR_MR
NEW met1 ( 15410 224230 ) M1M2_PR
NEW met1 ( 15410 230010 ) M1M2_PR
NEW li1 ( 17250 232730 ) L1M1_PR_MR
NEW met1 ( 15410 232730 ) M1M2_PR
NEW met1 ( 15410 224230 ) RECT ( 0 -70 355 70 ) ;
- net126 ( ANTENNA__397__A DIODE ) ( ANTENNA__130__B1 DIODE ) ( input126 X ) ( _130_ B1 ) ( _397_ A ) + USE SIGNAL
+ ROUTED met2 ( 106950 25670 ) ( * 215390 )
NEW met2 ( 176870 215730 ) ( * 226270 )
NEW met1 ( 180090 227290 ) ( 180550 * )
NEW met1 ( 180090 226950 ) ( * 227290 )
NEW met1 ( 176870 226950 ) ( 180090 * )
NEW met2 ( 176870 226270 ) ( * 226950 )
NEW met2 ( 198490 224910 ) ( * 226270 )
NEW met1 ( 181470 224910 ) ( 198490 * )
NEW met1 ( 181470 224910 ) ( * 225250 )
NEW met1 ( 176870 225250 ) ( 181470 * )
NEW met1 ( 198490 229670 ) ( 199410 * )
NEW met2 ( 198490 226270 ) ( * 229670 )
NEW met2 ( 55430 23290 ) ( * 25670 )
NEW met1 ( 55430 25670 ) ( 106950 * )
NEW met1 ( 121210 215390 ) ( * 215730 )
NEW met1 ( 121210 215730 ) ( 122130 * )
NEW met1 ( 122130 215390 ) ( * 215730 )
NEW met1 ( 122130 215390 ) ( 131330 * )
NEW met1 ( 131330 215390 ) ( * 215730 )
NEW met1 ( 131330 215730 ) ( 132250 * )
NEW met1 ( 132250 215390 ) ( * 215730 )
NEW met1 ( 132250 215390 ) ( 145590 * )
NEW met1 ( 145590 215390 ) ( * 215730 )
NEW met1 ( 106950 215390 ) ( 121210 * )
NEW met1 ( 145590 215730 ) ( 176870 * )
NEW met1 ( 106950 25670 ) M1M2_PR
NEW met1 ( 106950 215390 ) M1M2_PR
NEW li1 ( 176870 226270 ) L1M1_PR_MR
NEW met1 ( 176870 226270 ) M1M2_PR
NEW met1 ( 176870 215730 ) M1M2_PR
NEW li1 ( 180550 227290 ) L1M1_PR_MR
NEW met1 ( 176870 226950 ) M1M2_PR
NEW li1 ( 198490 226270 ) L1M1_PR_MR
NEW met1 ( 198490 226270 ) M1M2_PR
NEW met1 ( 198490 224910 ) M1M2_PR
NEW met1 ( 176870 225250 ) M1M2_PR
NEW li1 ( 199410 229670 ) L1M1_PR_MR
NEW met1 ( 198490 229670 ) M1M2_PR
NEW li1 ( 55430 23290 ) L1M1_PR_MR
NEW met1 ( 55430 23290 ) M1M2_PR
NEW met1 ( 55430 25670 ) M1M2_PR
NEW met1 ( 176870 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 198490 226270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 176870 225250 ) RECT ( -70 -485 70 0 )
NEW met1 ( 55430 23290 ) RECT ( -355 -70 0 70 ) ;
- net127 ( ANTENNA__398__A DIODE ) ( ANTENNA__129__B1 DIODE ) ( input127 X ) ( _129_ B1 ) ( _398_ A ) + USE SIGNAL
+ ROUTED met3 ( 5980 55420 ) ( 10350 * )
NEW met4 ( 5980 10540 ) ( * 55420 )
NEW met2 ( 10350 218790 ) ( * 223550 )
NEW met1 ( 10350 229670 ) ( 10810 * )
NEW met2 ( 10350 223550 ) ( * 229670 )
NEW met2 ( 10350 55420 ) ( * 218790 )
NEW met2 ( 175490 217090 ) ( * 219470 )
NEW met1 ( 179170 216410 ) ( * 216750 )
NEW met1 ( 175490 216750 ) ( 179170 * )
NEW met1 ( 175490 216750 ) ( * 217090 )
NEW met2 ( 51750 10540 ) ( * 11730 )
NEW met3 ( 5980 10540 ) ( 51750 * )
NEW met1 ( 38410 218790 ) ( * 219470 )
NEW met1 ( 38410 219470 ) ( 47610 * )
NEW met1 ( 47610 218450 ) ( * 219470 )
NEW met1 ( 47610 218450 ) ( 60490 * )
NEW met1 ( 60490 218450 ) ( * 219130 )
NEW met1 ( 60490 219130 ) ( 62100 * )
NEW met1 ( 62100 219130 ) ( * 219470 )
NEW met1 ( 10350 218790 ) ( 38410 * )
NEW met1 ( 62100 219470 ) ( 175490 * )
NEW met3 ( 5980 10540 ) M3M4_PR_M
NEW met3 ( 5980 55420 ) M3M4_PR_M
NEW met2 ( 10350 55420 ) M2M3_PR_M
NEW met1 ( 10350 218790 ) M1M2_PR
NEW li1 ( 10350 223550 ) L1M1_PR_MR
NEW met1 ( 10350 223550 ) M1M2_PR
NEW li1 ( 10810 229670 ) L1M1_PR_MR
NEW met1 ( 10350 229670 ) M1M2_PR
NEW li1 ( 175490 217090 ) L1M1_PR_MR
NEW met1 ( 175490 217090 ) M1M2_PR
NEW met1 ( 175490 219470 ) M1M2_PR
NEW li1 ( 179170 216410 ) L1M1_PR_MR
NEW met2 ( 51750 10540 ) M2M3_PR_M
NEW li1 ( 51750 11730 ) L1M1_PR_MR
NEW met1 ( 51750 11730 ) M1M2_PR
NEW met1 ( 10350 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 175490 217090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51750 11730 ) RECT ( -355 -70 0 70 ) ;
- net128 ( ANTENNA__370__A DIODE ) ( ANTENNA__174__B1 DIODE ) ( input128 X ) ( _174_ B1 ) ( _370_ A ) + USE SIGNAL
+ ROUTED met2 ( 25070 82800 ) ( 26450 * )
NEW met2 ( 11730 41820 ) ( * 42330 )
NEW met3 ( 11500 41820 ) ( 11730 * )
NEW met4 ( 11500 20740 ) ( * 41820 )
NEW met3 ( 11500 20740 ) ( 11730 * )
NEW met2 ( 11730 20570 ) ( * 20740 )
NEW met1 ( 11730 44030 ) ( 12190 * )
NEW met2 ( 11730 42330 ) ( * 44030 )
NEW met1 ( 12190 44030 ) ( 13800 * )
NEW met1 ( 13800 44030 ) ( * 44370 )
NEW met1 ( 13800 44370 ) ( 25070 * )
NEW met2 ( 25070 44370 ) ( * 82800 )
NEW met1 ( 22310 223890 ) ( 26450 * )
NEW met2 ( 23690 223890 ) ( * 227290 )
NEW met2 ( 26450 82800 ) ( * 223890 )
NEW li1 ( 11730 42330 ) L1M1_PR_MR
NEW met1 ( 11730 42330 ) M1M2_PR
NEW met2 ( 11730 41820 ) M2M3_PR_M
NEW met3 ( 11500 41820 ) M3M4_PR_M
NEW met3 ( 11500 20740 ) M3M4_PR_M
NEW met2 ( 11730 20740 ) M2M3_PR_M
NEW li1 ( 11730 20570 ) L1M1_PR_MR
NEW met1 ( 11730 20570 ) M1M2_PR
NEW li1 ( 12190 44030 ) L1M1_PR_MR
NEW met1 ( 11730 44030 ) M1M2_PR
NEW met1 ( 25070 44370 ) M1M2_PR
NEW li1 ( 22310 223890 ) L1M1_PR_MR
NEW met1 ( 26450 223890 ) M1M2_PR
NEW li1 ( 23690 227290 ) L1M1_PR_MR
NEW met1 ( 23690 227290 ) M1M2_PR
NEW met1 ( 23690 223890 ) M1M2_PR
NEW met1 ( 11730 42330 ) RECT ( -355 -70 0 70 )
NEW met3 ( 11730 41820 ) RECT ( 0 -150 390 150 )
NEW met3 ( 11500 20740 ) RECT ( -390 -150 0 150 )
NEW met1 ( 11730 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 23690 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 23690 223890 ) RECT ( -595 -70 0 70 ) ;
- net129 ( ANTENNA__371__A DIODE ) ( ANTENNA__173__B1 DIODE ) ( input129 X ) ( _173_ B1 ) ( _371_ A ) + USE SIGNAL
+ ROUTED met1 ( 11730 69190 ) ( * 69530 )
NEW met1 ( 3450 69190 ) ( 11730 * )
NEW met1 ( 11730 69190 ) ( 14030 * )
NEW met1 ( 14030 69190 ) ( 23230 * )
NEW met1 ( 3450 23630 ) ( 12190 * )
NEW met2 ( 3450 23630 ) ( * 69190 )
NEW met1 ( 25070 213350 ) ( * 213690 )
NEW met1 ( 21850 213690 ) ( 25070 * )
NEW met2 ( 22770 211140 ) ( 23230 * )
NEW met2 ( 22770 211140 ) ( * 213690 )
NEW met2 ( 23230 69190 ) ( * 211140 )
NEW li1 ( 11730 69530 ) L1M1_PR_MR
NEW met1 ( 3450 69190 ) M1M2_PR
NEW li1 ( 14030 69190 ) L1M1_PR_MR
NEW met1 ( 23230 69190 ) M1M2_PR
NEW met1 ( 3450 23630 ) M1M2_PR
NEW li1 ( 12190 23630 ) L1M1_PR_MR
NEW li1 ( 25070 213350 ) L1M1_PR_MR
NEW li1 ( 21850 213690 ) L1M1_PR_MR
NEW met1 ( 22770 213690 ) M1M2_PR
NEW met1 ( 22770 213690 ) RECT ( -595 -70 0 70 ) ;
- net13 ( input13 X ) ( _216_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 71910 ) ( * 73950 )
NEW met1 ( 238050 73950 ) ( 239890 * )
NEW li1 ( 238050 71910 ) L1M1_PR_MR
NEW met1 ( 238050 71910 ) M1M2_PR
NEW met1 ( 238050 73950 ) M1M2_PR
NEW li1 ( 239890 73950 ) L1M1_PR_MR
NEW met1 ( 238050 71910 ) RECT ( -355 -70 0 70 ) ;
- net130 ( ANTENNA__372__A DIODE ) ( ANTENNA__172__B1 DIODE ) ( input130 X ) ( _172_ B1 ) ( _372_ A ) + USE SIGNAL
+ ROUTED met2 ( 31510 131100 ) ( 32430 * )
NEW met2 ( 6670 26350 ) ( * 48110 )
NEW met1 ( 6670 26350 ) ( 13800 * )
NEW met1 ( 13800 26350 ) ( * 26690 )
NEW met1 ( 13800 26690 ) ( 14490 * )
NEW met1 ( 6670 48110 ) ( 31510 * )
NEW met2 ( 31510 48110 ) ( * 131100 )
NEW met1 ( 31970 223550 ) ( 32430 * )
NEW met1 ( 32430 220830 ) ( 37030 * )
NEW met1 ( 37030 221850 ) ( 39790 * )
NEW met1 ( 37030 220830 ) ( * 221850 )
NEW met1 ( 33810 227290 ) ( 35190 * )
NEW met1 ( 33810 226950 ) ( * 227290 )
NEW met1 ( 32430 226950 ) ( 33810 * )
NEW met2 ( 32430 223550 ) ( * 226950 )
NEW met2 ( 32430 131100 ) ( * 223550 )
NEW met1 ( 6670 26350 ) M1M2_PR
NEW met1 ( 6670 48110 ) M1M2_PR
NEW li1 ( 14490 26690 ) L1M1_PR_MR
NEW met1 ( 31510 48110 ) M1M2_PR
NEW li1 ( 31970 223550 ) L1M1_PR_MR
NEW met1 ( 32430 223550 ) M1M2_PR
NEW li1 ( 37030 220830 ) L1M1_PR_MR
NEW met1 ( 32430 220830 ) M1M2_PR
NEW li1 ( 39790 221850 ) L1M1_PR_MR
NEW li1 ( 35190 227290 ) L1M1_PR_MR
NEW met1 ( 32430 226950 ) M1M2_PR
NEW met2 ( 32430 220830 ) RECT ( -70 -485 70 0 ) ;
- net131 ( ANTENNA__373__A DIODE ) ( ANTENNA__171__B1 DIODE ) ( input131 X ) ( _171_ B1 ) ( _373_ A ) + USE SIGNAL
+ ROUTED met1 ( 13570 97410 ) ( 32890 * )
NEW met1 ( 10810 96730 ) ( 13570 * )
NEW met1 ( 13570 96730 ) ( * 97410 )
NEW met1 ( 5750 96390 ) ( 10810 * )
NEW met1 ( 10810 96390 ) ( * 96730 )
NEW met1 ( 3910 30430 ) ( 5750 * )
NEW li1 ( 3910 23290 ) ( * 30430 )
NEW met1 ( 3910 23290 ) ( 9430 * )
NEW met1 ( 9430 22270 ) ( * 23290 )
NEW met2 ( 5750 30430 ) ( * 96390 )
NEW met1 ( 9430 22270 ) ( 21850 * )
NEW met1 ( 32430 209950 ) ( 32890 * )
NEW met2 ( 32890 209950 ) ( * 213350 )
NEW met2 ( 32890 97410 ) ( * 209950 )
NEW li1 ( 13570 97410 ) L1M1_PR_MR
NEW met1 ( 32890 97410 ) M1M2_PR
NEW li1 ( 10810 96730 ) L1M1_PR_MR
NEW met1 ( 5750 96390 ) M1M2_PR
NEW met1 ( 5750 30430 ) M1M2_PR
NEW li1 ( 3910 30430 ) L1M1_PR_MR
NEW li1 ( 3910 23290 ) L1M1_PR_MR
NEW li1 ( 21850 22270 ) L1M1_PR_MR
NEW li1 ( 32430 209950 ) L1M1_PR_MR
NEW met1 ( 32890 209950 ) M1M2_PR
NEW li1 ( 32890 213350 ) L1M1_PR_MR
NEW met1 ( 32890 213350 ) M1M2_PR
NEW met1 ( 32890 213350 ) RECT ( -355 -70 0 70 ) ;
- net132 ( ANTENNA__374__A DIODE ) ( ANTENNA__169__B1 DIODE ) ( input132 X ) ( _169_ B1 ) ( _374_ A ) + USE SIGNAL
+ ROUTED met1 ( 10810 123930 ) ( 13570 * )
NEW met2 ( 13570 122910 ) ( * 123930 )
NEW met1 ( 13570 123930 ) ( 41630 * )
NEW met1 ( 6210 48450 ) ( 13570 * )
NEW met2 ( 6210 26010 ) ( * 48450 )
NEW met1 ( 6210 25330 ) ( * 26010 )
NEW met2 ( 13570 48450 ) ( * 122910 )
NEW met1 ( 6210 25330 ) ( 19550 * )
NEW met1 ( 43470 227290 ) ( 43930 * )
NEW met1 ( 43470 226950 ) ( * 227290 )
NEW met1 ( 41630 226950 ) ( 43470 * )
NEW met2 ( 41630 223550 ) ( * 226950 )
NEW met2 ( 41630 123930 ) ( * 223550 )
NEW li1 ( 13570 122910 ) L1M1_PR_MR
NEW met1 ( 13570 122910 ) M1M2_PR
NEW li1 ( 10810 123930 ) L1M1_PR_MR
NEW met1 ( 13570 123930 ) M1M2_PR
NEW met1 ( 41630 123930 ) M1M2_PR
NEW met1 ( 13570 48450 ) M1M2_PR
NEW met1 ( 6210 48450 ) M1M2_PR
NEW met1 ( 6210 26010 ) M1M2_PR
NEW li1 ( 19550 25330 ) L1M1_PR_MR
NEW li1 ( 41630 223550 ) L1M1_PR_MR
NEW met1 ( 41630 223550 ) M1M2_PR
NEW li1 ( 43930 227290 ) L1M1_PR_MR
NEW met1 ( 41630 226950 ) M1M2_PR
NEW met1 ( 13570 122910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 41630 223550 ) RECT ( -355 -70 0 70 ) ;
- net133 ( ANTENNA__375__A DIODE ) ( ANTENNA__166__B1 DIODE ) ( input133 X ) ( _166_ B1 ) ( _375_ A ) + USE SIGNAL
+ ROUTED met2 ( 54050 179400 ) ( 54510 * )
NEW met2 ( 50830 26690 ) ( * 26860 )
NEW met3 ( 50830 26860 ) ( 51980 * )
NEW met3 ( 51980 26860 ) ( * 27540 )
NEW met3 ( 51980 27540 ) ( 54510 * )
NEW met2 ( 54510 27540 ) ( * 179400 )
NEW met1 ( 54050 220830 ) ( 54510 * )
NEW met2 ( 54050 220830 ) ( * 223550 )
NEW met1 ( 54050 224230 ) ( 59110 * )
NEW met1 ( 54050 223550 ) ( * 224230 )
NEW met1 ( 60490 221850 ) ( * 222190 )
NEW met1 ( 54050 222190 ) ( 60490 * )
NEW met2 ( 54050 179400 ) ( * 220830 )
NEW met1 ( 48300 26690 ) ( 50830 * )
NEW met1 ( 27830 22610 ) ( 34270 * )
NEW met1 ( 34270 22610 ) ( * 23290 )
NEW met1 ( 34270 23290 ) ( 40250 * )
NEW met2 ( 40250 23290 ) ( * 25330 )
NEW met1 ( 40250 25330 ) ( 40710 * )
NEW met1 ( 40710 25330 ) ( * 26350 )
NEW met1 ( 40710 26350 ) ( 48300 * )
NEW met1 ( 48300 26350 ) ( * 26690 )
NEW met1 ( 50830 26690 ) M1M2_PR
NEW met2 ( 50830 26860 ) M2M3_PR_M
NEW met2 ( 54510 27540 ) M2M3_PR_M
NEW li1 ( 54510 220830 ) L1M1_PR_MR
NEW met1 ( 54050 220830 ) M1M2_PR
NEW li1 ( 54050 223550 ) L1M1_PR_MR
NEW met1 ( 54050 223550 ) M1M2_PR
NEW li1 ( 59110 224230 ) L1M1_PR_MR
NEW li1 ( 60490 221850 ) L1M1_PR_MR
NEW met1 ( 54050 222190 ) M1M2_PR
NEW li1 ( 27830 22610 ) L1M1_PR_MR
NEW met1 ( 40250 23290 ) M1M2_PR
NEW met1 ( 40250 25330 ) M1M2_PR
NEW met1 ( 54050 223550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 54050 222190 ) RECT ( -70 -485 70 0 ) ;
- net134 ( ANTENNA__376__A DIODE ) ( ANTENNA__165__B1 DIODE ) ( input134 X ) ( _165_ B1 ) ( _376_ A ) + USE SIGNAL
+ ROUTED met2 ( 56350 82800 ) ( 56810 * )
NEW met2 ( 56810 62100 ) ( * 82800 )
NEW met2 ( 59570 25500 ) ( * 62100 )
NEW met2 ( 56810 62100 ) ( 59570 * )
NEW met1 ( 59110 227290 ) ( 60490 * )
NEW met1 ( 59110 226950 ) ( * 227290 )
NEW met1 ( 56350 226950 ) ( 59110 * )
NEW met2 ( 56350 223550 ) ( * 226950 )
NEW met1 ( 56350 231710 ) ( 57730 * )
NEW met2 ( 56350 226950 ) ( * 231710 )
NEW met1 ( 60490 232390 ) ( * 232730 )
NEW met1 ( 57730 232390 ) ( 60490 * )
NEW met1 ( 57730 231710 ) ( * 232390 )
NEW met2 ( 56350 82800 ) ( * 223550 )
NEW met3 ( 48300 25500 ) ( 59570 * )
NEW met3 ( 48300 24820 ) ( * 25500 )
NEW met3 ( 41630 24820 ) ( 48300 * )
NEW met2 ( 41630 24820 ) ( * 24990 )
NEW met1 ( 39790 24990 ) ( 41630 * )
NEW met1 ( 39790 24990 ) ( * 25330 )
NEW met1 ( 24610 25330 ) ( 39790 * )
NEW met2 ( 59570 25500 ) M2M3_PR_M
NEW li1 ( 56350 223550 ) L1M1_PR_MR
NEW met1 ( 56350 223550 ) M1M2_PR
NEW li1 ( 60490 227290 ) L1M1_PR_MR
NEW met1 ( 56350 226950 ) M1M2_PR
NEW li1 ( 57730 231710 ) L1M1_PR_MR
NEW met1 ( 56350 231710 ) M1M2_PR
NEW li1 ( 60490 232730 ) L1M1_PR_MR
NEW met2 ( 41630 24820 ) M2M3_PR_M
NEW met1 ( 41630 24990 ) M1M2_PR
NEW li1 ( 24610 25330 ) L1M1_PR_MR
NEW met1 ( 56350 223550 ) RECT ( -355 -70 0 70 ) ;
- net135 ( input135 X ) ( _108_ A ) + USE SIGNAL
+ ROUTED met2 ( 28750 31450 ) ( * 45730 )
NEW met1 ( 28290 31450 ) ( 28750 * )
NEW met1 ( 8050 45730 ) ( 28750 * )
NEW li1 ( 8050 45730 ) L1M1_PR_MR
NEW met1 ( 28750 45730 ) M1M2_PR
NEW met1 ( 28750 31450 ) M1M2_PR
NEW li1 ( 28290 31450 ) L1M1_PR_MR ;
- net136 ( input136 X ) ( _110_ A ) ( _232_ C ) + USE SIGNAL
+ ROUTED met1 ( 45770 23290 ) ( * 23630 )
NEW met1 ( 43470 23630 ) ( 45770 * )
NEW met1 ( 43470 23630 ) ( * 23970 )
NEW met1 ( 43010 23970 ) ( 43470 * )
NEW met1 ( 43010 23630 ) ( * 23970 )
NEW met2 ( 43010 13800 ) ( * 23630 )
NEW met2 ( 42550 13800 ) ( 43010 * )
NEW met2 ( 42550 12750 ) ( * 13800 )
NEW met1 ( 9890 12750 ) ( 42550 * )
NEW met1 ( 9890 12410 ) ( * 12750 )
NEW met1 ( 44390 33830 ) ( 44850 * )
NEW met2 ( 44390 23630 ) ( * 33830 )
NEW li1 ( 45770 23290 ) L1M1_PR_MR
NEW met1 ( 43010 23630 ) M1M2_PR
NEW met1 ( 42550 12750 ) M1M2_PR
NEW li1 ( 9890 12410 ) L1M1_PR_MR
NEW li1 ( 44850 33830 ) L1M1_PR_MR
NEW met1 ( 44390 33830 ) M1M2_PR
NEW met1 ( 44390 23630 ) M1M2_PR
NEW met1 ( 44390 23630 ) RECT ( -595 -70 0 70 ) ;
- net137 ( ANTENNA__234__B DIODE ) ( ANTENNA_output137_A DIODE ) ( output137 A ) ( _231_ X ) ( _234_ B ) + USE SIGNAL
+ ROUTED met1 ( 62330 210630 ) ( 110400 * )
NEW met1 ( 110400 210630 ) ( * 210970 )
NEW met1 ( 110400 210970 ) ( 132250 * )
NEW met1 ( 132250 210970 ) ( * 211310 )
NEW met1 ( 132250 211310 ) ( 134550 * )
NEW met1 ( 134550 211310 ) ( * 211650 )
NEW met1 ( 234830 210970 ) ( 239430 * )
NEW met1 ( 234830 210970 ) ( * 211650 )
NEW met1 ( 134550 211650 ) ( 234830 * )
NEW met1 ( 54510 28390 ) ( 56810 * )
NEW met1 ( 56810 28390 ) ( * 28730 )
NEW met1 ( 56810 28730 ) ( 62330 * )
NEW met2 ( 51290 26350 ) ( * 28390 )
NEW met1 ( 51290 28390 ) ( 54510 * )
NEW met2 ( 62330 28730 ) ( * 210630 )
NEW met1 ( 62330 210630 ) M1M2_PR
NEW li1 ( 234830 211650 ) L1M1_PR_MR
NEW li1 ( 239430 210970 ) L1M1_PR_MR
NEW li1 ( 62330 28730 ) L1M1_PR_MR
NEW met1 ( 62330 28730 ) M1M2_PR
NEW li1 ( 54510 28390 ) L1M1_PR_MR
NEW li1 ( 51290 26350 ) L1M1_PR_MR
NEW met1 ( 51290 26350 ) M1M2_PR
NEW met1 ( 51290 28390 ) M1M2_PR
NEW met1 ( 62330 28730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51290 26350 ) RECT ( -355 -70 0 70 ) ;
- net138 ( ANTENNA_output138_A DIODE ) ( output138 A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met1 ( 93150 203490 ) ( 234830 * )
NEW met2 ( 93150 29580 ) ( * 203490 )
NEW met1 ( 49910 28390 ) ( 50370 * )
NEW met2 ( 50370 28390 ) ( * 29580 )
NEW met3 ( 50370 29580 ) ( 93150 * )
NEW met1 ( 234830 216410 ) ( 239430 * )
NEW met2 ( 234830 215390 ) ( * 216410 )
NEW met2 ( 234830 203490 ) ( * 215390 )
NEW met1 ( 93150 203490 ) M1M2_PR
NEW met1 ( 234830 203490 ) M1M2_PR
NEW met2 ( 93150 29580 ) M2M3_PR_M
NEW li1 ( 49910 28390 ) L1M1_PR_MR
NEW met1 ( 50370 28390 ) M1M2_PR
NEW met2 ( 50370 29580 ) M2M3_PR_M
NEW li1 ( 234830 215390 ) L1M1_PR_MR
NEW met1 ( 234830 215390 ) M1M2_PR
NEW li1 ( 239430 216410 ) L1M1_PR_MR
NEW met1 ( 234830 216410 ) M1M2_PR
NEW met1 ( 234830 215390 ) RECT ( -355 -70 0 70 ) ;
- net139 ( ANTENNA_output139_A DIODE ) ( output139 A ) ( _367_ X ) + USE SIGNAL
+ ROUTED li1 ( 63710 218110 ) ( * 219810 )
NEW met1 ( 18630 217090 ) ( 28290 * )
NEW met1 ( 28290 216750 ) ( * 217090 )
NEW met1 ( 28290 216750 ) ( 59110 * )
NEW met2 ( 59110 216750 ) ( * 218110 )
NEW met1 ( 59110 218110 ) ( 63710 * )
NEW met2 ( 234830 219810 ) ( * 220830 )
NEW met1 ( 234830 221850 ) ( 239430 * )
NEW met2 ( 234830 220830 ) ( * 221850 )
NEW met1 ( 63710 219810 ) ( 234830 * )
NEW li1 ( 63710 218110 ) L1M1_PR_MR
NEW li1 ( 63710 219810 ) L1M1_PR_MR
NEW li1 ( 18630 217090 ) L1M1_PR_MR
NEW met1 ( 59110 216750 ) M1M2_PR
NEW met1 ( 59110 218110 ) M1M2_PR
NEW li1 ( 234830 220830 ) L1M1_PR_MR
NEW met1 ( 234830 220830 ) M1M2_PR
NEW met1 ( 234830 219810 ) M1M2_PR
NEW li1 ( 239430 221850 ) L1M1_PR_MR
NEW met1 ( 234830 221850 ) M1M2_PR
NEW met1 ( 234830 220830 ) RECT ( -355 -70 0 70 ) ;
- net14 ( input14 X ) ( _217_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 238050 77010 ) ( * 77350 )
NEW met1 ( 232530 77010 ) ( 238050 * )
NEW met1 ( 232530 76670 ) ( * 77010 )
NEW li1 ( 238050 77350 ) L1M1_PR_MR
NEW li1 ( 232530 76670 ) L1M1_PR_MR ;
- net140 ( ANTENNA_output140_A DIODE ) ( output140 A ) ( _377_ X ) + USE SIGNAL
+ ROUTED met2 ( 203550 15130 ) ( * 19550 )
NEW met1 ( 235750 12070 ) ( 239430 * )
NEW met1 ( 70610 15810 ) ( 73370 * )
NEW met2 ( 73370 15810 ) ( * 18530 )
NEW met1 ( 73370 18530 ) ( 203550 * )
NEW met1 ( 203550 15130 ) ( 207000 * )
NEW met1 ( 207000 15130 ) ( * 15810 )
NEW met1 ( 207000 15810 ) ( 235750 * )
NEW met2 ( 235750 12070 ) ( * 15810 )
NEW li1 ( 203550 19550 ) L1M1_PR_MR
NEW met1 ( 203550 19550 ) M1M2_PR
NEW met1 ( 203550 15130 ) M1M2_PR
NEW met1 ( 203550 18530 ) M1M2_PR
NEW met1 ( 235750 12070 ) M1M2_PR
NEW li1 ( 239430 12070 ) L1M1_PR_MR
NEW li1 ( 70610 15810 ) L1M1_PR_MR
NEW met1 ( 73370 15810 ) M1M2_PR
NEW met1 ( 73370 18530 ) M1M2_PR
NEW met1 ( 235750 15810 ) M1M2_PR
NEW met1 ( 203550 19550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 203550 18530 ) RECT ( -70 -485 70 0 ) ;
- net141 ( ANTENNA_output141_A DIODE ) ( output141 A ) ( _378_ X ) + USE SIGNAL
+ ROUTED li1 ( 160770 232050 ) ( * 233070 )
NEW met1 ( 87630 232050 ) ( 160770 * )
NEW met1 ( 239430 235110 ) ( * 235450 )
NEW met1 ( 233910 235450 ) ( 239430 * )
NEW met1 ( 233910 235450 ) ( * 235790 )
NEW met1 ( 205390 235790 ) ( 233910 * )
NEW met2 ( 205390 233070 ) ( * 235790 )
NEW met1 ( 239890 219810 ) ( 240350 * )
NEW met2 ( 239890 219810 ) ( * 235110 )
NEW met1 ( 239430 235110 ) ( 239890 * )
NEW met1 ( 160770 233070 ) ( 205390 * )
NEW li1 ( 87630 232050 ) L1M1_PR_MR
NEW li1 ( 160770 232050 ) L1M1_PR_MR
NEW li1 ( 160770 233070 ) L1M1_PR_MR
NEW li1 ( 239430 235110 ) L1M1_PR_MR
NEW met1 ( 205390 235790 ) M1M2_PR
NEW met1 ( 205390 233070 ) M1M2_PR
NEW li1 ( 240350 219810 ) L1M1_PR_MR
NEW met1 ( 239890 219810 ) M1M2_PR
NEW met1 ( 239890 235110 ) M1M2_PR ;
- net142 ( ANTENNA_output142_A DIODE ) ( output142 A ) ( _379_ X ) + USE SIGNAL
+ ROUTED met1 ( 172730 226270 ) ( * 226610 )
NEW met1 ( 172730 226610 ) ( 177330 * )
NEW met1 ( 177330 226270 ) ( * 226610 )
NEW met1 ( 177330 226270 ) ( 191130 * )
NEW met1 ( 191130 226270 ) ( * 226610 )
NEW met1 ( 87630 227630 ) ( 110400 * )
NEW met1 ( 110400 226270 ) ( * 227630 )
NEW met1 ( 110400 226270 ) ( 129030 * )
NEW met1 ( 129030 226270 ) ( * 226610 )
NEW met1 ( 129030 226610 ) ( 135470 * )
NEW met1 ( 135470 226270 ) ( * 226610 )
NEW met1 ( 135470 226270 ) ( 172730 * )
NEW met1 ( 229310 222530 ) ( 232070 * )
NEW met2 ( 229310 222530 ) ( * 226610 )
NEW met2 ( 239430 222530 ) ( * 229670 )
NEW met1 ( 232070 222530 ) ( 239430 * )
NEW met1 ( 191130 226610 ) ( 229310 * )
NEW li1 ( 87630 227630 ) L1M1_PR_MR
NEW li1 ( 232070 222530 ) L1M1_PR_MR
NEW met1 ( 229310 222530 ) M1M2_PR
NEW met1 ( 229310 226610 ) M1M2_PR
NEW li1 ( 239430 229670 ) L1M1_PR_MR
NEW met1 ( 239430 229670 ) M1M2_PR
NEW met1 ( 239430 222530 ) M1M2_PR
NEW met1 ( 239430 229670 ) RECT ( -355 -70 0 70 ) ;
- net143 ( output143 A ) ( _380_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 153510 ) ( 10810 * )
NEW met1 ( 10810 153510 ) ( * 154190 )
NEW li1 ( 8510 153510 ) L1M1_PR_MR
NEW li1 ( 10810 154190 ) L1M1_PR_MR ;
- net144 ( ANTENNA_output144_A DIODE ) ( output144 A ) ( _381_ X ) + USE SIGNAL
+ ROUTED met2 ( 204470 14450 ) ( * 22270 )
NEW met1 ( 122130 14790 ) ( * 15130 )
NEW met1 ( 122130 15130 ) ( 134550 * )
NEW met1 ( 134550 14450 ) ( * 15130 )
NEW met1 ( 93610 14790 ) ( 122130 * )
NEW met1 ( 134550 14450 ) ( 204470 * )
NEW met1 ( 239430 14450 ) ( * 15130 )
NEW met1 ( 204470 14450 ) ( 239430 * )
NEW li1 ( 204470 22270 ) L1M1_PR_MR
NEW met1 ( 204470 22270 ) M1M2_PR
NEW met1 ( 204470 14450 ) M1M2_PR
NEW li1 ( 93610 14790 ) L1M1_PR_MR
NEW li1 ( 239430 15130 ) L1M1_PR_MR
NEW met1 ( 204470 22270 ) RECT ( -355 -70 0 70 ) ;
- net145 ( ANTENNA_output145_A DIODE ) ( output145 A ) ( _382_ X ) + USE SIGNAL
+ ROUTED met1 ( 165370 228990 ) ( * 229330 )
NEW met1 ( 165370 229330 ) ( 180550 * )
NEW met1 ( 180550 229330 ) ( * 229670 )
NEW met1 ( 180550 229670 ) ( 185150 * )
NEW met1 ( 185150 229670 ) ( * 230350 )
NEW met1 ( 158700 228990 ) ( 165370 * )
NEW met1 ( 99590 230350 ) ( 110400 * )
NEW met1 ( 110400 230350 ) ( * 230690 )
NEW met1 ( 110400 230690 ) ( 129030 * )
NEW met1 ( 129030 230350 ) ( * 230690 )
NEW met1 ( 129030 230350 ) ( 134550 * )
NEW met1 ( 134550 230010 ) ( * 230350 )
NEW met1 ( 134550 230010 ) ( 158700 * )
NEW met1 ( 158700 228990 ) ( * 230010 )
NEW met2 ( 239430 232220 ) ( * 232730 )
NEW met3 ( 199870 232220 ) ( 239430 * )
NEW met2 ( 199870 230350 ) ( * 232220 )
NEW met1 ( 233450 219470 ) ( 233910 * )
NEW met2 ( 233450 219470 ) ( * 232220 )
NEW met1 ( 185150 230350 ) ( 199870 * )
NEW li1 ( 99590 230350 ) L1M1_PR_MR
NEW li1 ( 239430 232730 ) L1M1_PR_MR
NEW met1 ( 239430 232730 ) M1M2_PR
NEW met2 ( 239430 232220 ) M2M3_PR_M
NEW met2 ( 199870 232220 ) M2M3_PR_M
NEW met1 ( 199870 230350 ) M1M2_PR
NEW li1 ( 233910 219470 ) L1M1_PR_MR
NEW met1 ( 233450 219470 ) M1M2_PR
NEW met2 ( 233450 232220 ) M2M3_PR_M
NEW met1 ( 239430 232730 ) RECT ( -355 -70 0 70 )
NEW met3 ( 233450 232220 ) RECT ( -800 -150 0 150 ) ;
- net146 ( ANTENNA_output146_A DIODE ) ( output146 A ) ( _383_ X ) + USE SIGNAL
+ ROUTED met2 ( 206770 12410 ) ( * 24990 )
NEW met1 ( 204470 20570 ) ( * 20910 )
NEW met1 ( 204470 20910 ) ( 206770 * )
NEW met1 ( 233910 12070 ) ( * 12410 )
NEW met1 ( 206770 12410 ) ( 233910 * )
NEW met2 ( 101890 15810 ) ( * 20570 )
NEW met1 ( 153410 20570 ) ( * 20910 )
NEW met1 ( 153410 20910 ) ( 157550 * )
NEW met1 ( 157550 20570 ) ( * 20910 )
NEW met1 ( 101890 20570 ) ( 153410 * )
NEW met1 ( 157550 20570 ) ( 204470 * )
NEW li1 ( 206770 24990 ) L1M1_PR_MR
NEW met1 ( 206770 24990 ) M1M2_PR
NEW met1 ( 206770 12410 ) M1M2_PR
NEW met1 ( 206770 20910 ) M1M2_PR
NEW li1 ( 233910 12070 ) L1M1_PR_MR
NEW li1 ( 101890 15810 ) L1M1_PR_MR
NEW met1 ( 101890 15810 ) M1M2_PR
NEW met1 ( 101890 20570 ) M1M2_PR
NEW met1 ( 206770 24990 ) RECT ( -355 -70 0 70 )
NEW met2 ( 206770 20910 ) RECT ( -70 -485 70 0 )
NEW met1 ( 101890 15810 ) RECT ( -355 -70 0 70 ) ;
- net147 ( ANTENNA_output147_A DIODE ) ( output147 A ) ( _384_ X ) + USE SIGNAL
+ ROUTED met1 ( 112470 15470 ) ( 135470 * )
NEW met1 ( 135470 15130 ) ( * 15470 )
NEW met1 ( 135470 15130 ) ( 149730 * )
NEW met1 ( 149730 14790 ) ( * 15130 )
NEW met1 ( 149730 14790 ) ( 153410 * )
NEW met2 ( 153410 14790 ) ( * 19550 )
NEW met1 ( 153410 19550 ) ( 154330 * )
NEW met1 ( 154330 19550 ) ( * 19890 )
NEW met1 ( 154330 19890 ) ( 200790 * )
NEW met2 ( 227930 16830 ) ( * 19890 )
NEW met1 ( 227930 16830 ) ( 239430 * )
NEW met1 ( 239430 16830 ) ( * 17510 )
NEW met1 ( 200790 19890 ) ( 227930 * )
NEW li1 ( 200790 19890 ) L1M1_PR_MR
NEW li1 ( 112470 15470 ) L1M1_PR_MR
NEW met1 ( 153410 14790 ) M1M2_PR
NEW met1 ( 153410 19550 ) M1M2_PR
NEW met1 ( 227930 19890 ) M1M2_PR
NEW met1 ( 227930 16830 ) M1M2_PR
NEW li1 ( 239430 17510 ) L1M1_PR_MR ;
- net148 ( ANTENNA_output148_A DIODE ) ( output148 A ) ( _385_ X ) + USE SIGNAL
+ ROUTED met1 ( 153870 223890 ) ( * 224230 )
NEW met1 ( 151570 224230 ) ( 153870 * )
NEW li1 ( 151570 224230 ) ( * 224910 )
NEW met1 ( 123970 224910 ) ( 151570 * )
NEW met2 ( 123970 224910 ) ( * 231710 )
NEW met1 ( 117990 231710 ) ( 123970 * )
NEW met1 ( 153870 223890 ) ( 207000 * )
NEW met1 ( 228850 221850 ) ( 229310 * )
NEW met2 ( 228850 221850 ) ( * 224230 )
NEW met1 ( 207000 224230 ) ( 228850 * )
NEW met1 ( 207000 223890 ) ( * 224230 )
NEW met1 ( 233910 234770 ) ( * 235110 )
NEW met1 ( 228850 234770 ) ( 233910 * )
NEW met2 ( 228850 224230 ) ( * 234770 )
NEW li1 ( 151570 224230 ) L1M1_PR_MR
NEW li1 ( 151570 224910 ) L1M1_PR_MR
NEW met1 ( 123970 224910 ) M1M2_PR
NEW met1 ( 123970 231710 ) M1M2_PR
NEW li1 ( 117990 231710 ) L1M1_PR_MR
NEW li1 ( 229310 221850 ) L1M1_PR_MR
NEW met1 ( 228850 221850 ) M1M2_PR
NEW met1 ( 228850 224230 ) M1M2_PR
NEW li1 ( 233910 235110 ) L1M1_PR_MR
NEW met1 ( 228850 234770 ) M1M2_PR ;
- net149 ( ANTENNA_output149_A DIODE ) ( output149 A ) ( _386_ X ) + USE SIGNAL
+ ROUTED met2 ( 202170 20060 ) ( * 22270 )
NEW met2 ( 179630 15810 ) ( * 17170 )
NEW met1 ( 179630 17170 ) ( 202170 * )
NEW met2 ( 202170 17170 ) ( * 20060 )
NEW met2 ( 121210 15810 ) ( * 16830 )
NEW met1 ( 121210 16830 ) ( 155710 * )
NEW met2 ( 155710 15810 ) ( * 16830 )
NEW met1 ( 155710 15810 ) ( 179630 * )
NEW met2 ( 221030 14790 ) ( * 20060 )
NEW met1 ( 221030 14790 ) ( 233910 * )
NEW met1 ( 233910 14790 ) ( * 15130 )
NEW met3 ( 202170 20060 ) ( 221030 * )
NEW li1 ( 202170 22270 ) L1M1_PR_MR
NEW met1 ( 202170 22270 ) M1M2_PR
NEW met2 ( 202170 20060 ) M2M3_PR_M
NEW met1 ( 179630 15810 ) M1M2_PR
NEW met1 ( 179630 17170 ) M1M2_PR
NEW met1 ( 202170 17170 ) M1M2_PR
NEW li1 ( 121210 15810 ) L1M1_PR_MR
NEW met1 ( 121210 15810 ) M1M2_PR
NEW met1 ( 121210 16830 ) M1M2_PR
NEW met1 ( 155710 16830 ) M1M2_PR
NEW met1 ( 155710 15810 ) M1M2_PR
NEW met2 ( 221030 20060 ) M2M3_PR_M
NEW met1 ( 221030 14790 ) M1M2_PR
NEW li1 ( 233910 15130 ) L1M1_PR_MR
NEW met1 ( 202170 22270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 121210 15810 ) RECT ( -355 -70 0 70 ) ;
- net15 ( input15 X ) ( _218_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 69530 ) ( * 73950 )
NEW met1 ( 227010 73950 ) ( 232530 * )
NEW li1 ( 232530 69530 ) L1M1_PR_MR
NEW met1 ( 232530 69530 ) M1M2_PR
NEW met1 ( 232530 73950 ) M1M2_PR
NEW li1 ( 227010 73950 ) L1M1_PR_MR
NEW met1 ( 232530 69530 ) RECT ( -355 -70 0 70 ) ;
- net150 ( output150 A ) ( _368_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 15130 ) ( 23230 * )
NEW met2 ( 21390 15130 ) ( * 16660 )
NEW met3 ( 14030 16660 ) ( 21390 * )
NEW met2 ( 14030 16660 ) ( * 33150 )
NEW met1 ( 14030 33150 ) ( 14490 * )
NEW li1 ( 23230 15130 ) L1M1_PR_MR
NEW met1 ( 21390 15130 ) M1M2_PR
NEW met2 ( 21390 16660 ) M2M3_PR_M
NEW met2 ( 14030 16660 ) M2M3_PR_M
NEW met1 ( 14030 33150 ) M1M2_PR
NEW li1 ( 14490 33150 ) L1M1_PR_MR ;
- net151 ( ANTENNA_output151_A DIODE ) ( output151 A ) ( _387_ X ) + USE SIGNAL
+ ROUTED met2 ( 200790 230350 ) ( * 232390 )
NEW met1 ( 138230 232390 ) ( 200790 * )
NEW met1 ( 233910 231710 ) ( * 232730 )
NEW met1 ( 225630 231710 ) ( 233910 * )
NEW met2 ( 225630 230350 ) ( * 231710 )
NEW met2 ( 231150 219470 ) ( * 231710 )
NEW met1 ( 200790 230350 ) ( 225630 * )
NEW met1 ( 200790 232390 ) M1M2_PR
NEW met1 ( 200790 230350 ) M1M2_PR
NEW li1 ( 138230 232390 ) L1M1_PR_MR
NEW li1 ( 233910 232730 ) L1M1_PR_MR
NEW met1 ( 225630 231710 ) M1M2_PR
NEW met1 ( 225630 230350 ) M1M2_PR
NEW li1 ( 231150 219470 ) L1M1_PR_MR
NEW met1 ( 231150 219470 ) M1M2_PR
NEW met1 ( 231150 231710 ) M1M2_PR
NEW met1 ( 231150 219470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 231150 231710 ) RECT ( -595 -70 0 70 ) ;
- net152 ( output152 A ) ( _388_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 180710 ) ( 10810 * )
NEW met1 ( 10810 180710 ) ( * 181390 )
NEW li1 ( 8510 180710 ) L1M1_PR_MR
NEW li1 ( 10810 181390 ) L1M1_PR_MR ;
- net153 ( output153 A ) ( _389_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 208930 ) ( * 210970 )
NEW met1 ( 8510 210970 ) ( 9890 * )
NEW li1 ( 9890 208930 ) L1M1_PR_MR
NEW met1 ( 9890 208930 ) M1M2_PR
NEW met1 ( 9890 210970 ) M1M2_PR
NEW li1 ( 8510 210970 ) L1M1_PR_MR
NEW met1 ( 9890 208930 ) RECT ( -355 -70 0 70 ) ;
- net154 ( output154 A ) ( _390_ X ) + USE SIGNAL
+ ROUTED met1 ( 150650 11390 ) ( 227700 * )
NEW met1 ( 227700 11390 ) ( * 12070 )
NEW met1 ( 227700 12070 ) ( 230230 * )
NEW li1 ( 150650 11390 ) L1M1_PR_MR
NEW li1 ( 230230 12070 ) L1M1_PR_MR ;
- net155 ( output155 A ) ( _391_ X ) + USE SIGNAL
+ ROUTED met2 ( 159390 230180 ) ( * 230350 )
NEW met2 ( 159390 230180 ) ( 160770 * )
NEW met2 ( 160770 227970 ) ( * 230180 )
NEW met1 ( 160770 227970 ) ( 201250 * )
NEW met2 ( 201250 227970 ) ( * 235450 )
NEW met2 ( 145130 230350 ) ( * 231710 )
NEW met1 ( 145130 230350 ) ( 159390 * )
NEW met1 ( 230230 235110 ) ( * 235450 )
NEW met1 ( 201250 235450 ) ( 230230 * )
NEW met1 ( 159390 230350 ) M1M2_PR
NEW met1 ( 160770 227970 ) M1M2_PR
NEW met1 ( 201250 227970 ) M1M2_PR
NEW met1 ( 201250 235450 ) M1M2_PR
NEW met1 ( 145130 230350 ) M1M2_PR
NEW li1 ( 145130 231710 ) L1M1_PR_MR
NEW met1 ( 145130 231710 ) M1M2_PR
NEW li1 ( 230230 235110 ) L1M1_PR_MR
NEW met1 ( 145130 231710 ) RECT ( -355 -70 0 70 ) ;
- net156 ( output156 A ) ( _392_ X ) + USE SIGNAL
+ ROUTED met2 ( 200330 226950 ) ( * 231710 )
NEW met1 ( 200330 226950 ) ( 205850 * )
NEW met1 ( 205850 226950 ) ( * 227630 )
NEW met1 ( 156170 231710 ) ( 200330 * )
NEW met1 ( 239430 227290 ) ( * 227630 )
NEW met1 ( 205850 227630 ) ( 239430 * )
NEW met1 ( 200330 231710 ) M1M2_PR
NEW met1 ( 200330 226950 ) M1M2_PR
NEW li1 ( 156170 231710 ) L1M1_PR_MR
NEW li1 ( 239430 227290 ) L1M1_PR_MR ;
- net157 ( output157 A ) ( _393_ X ) + USE SIGNAL
+ ROUTED met1 ( 226550 226270 ) ( 232530 * )
NEW met2 ( 232530 226270 ) ( * 229670 )
NEW met1 ( 232530 229670 ) ( 235750 * )
NEW li1 ( 226550 226270 ) L1M1_PR_MR
NEW met1 ( 232530 226270 ) M1M2_PR
NEW met1 ( 232530 229670 ) M1M2_PR
NEW li1 ( 235750 229670 ) L1M1_PR_MR ;
- net158 ( output158 A ) ( _394_ X ) + USE SIGNAL
+ ROUTED met2 ( 205390 231540 ) ( * 232050 )
NEW met3 ( 205390 231540 ) ( 230230 * )
NEW met2 ( 230230 231540 ) ( * 232730 )
NEW met1 ( 176410 232050 ) ( 205390 * )
NEW li1 ( 176410 232050 ) L1M1_PR_MR
NEW met1 ( 205390 232050 ) M1M2_PR
NEW met2 ( 205390 231540 ) M2M3_PR_M
NEW met2 ( 230230 231540 ) M2M3_PR_M
NEW li1 ( 230230 232730 ) L1M1_PR_MR
NEW met1 ( 230230 232730 ) M1M2_PR
NEW met1 ( 230230 232730 ) RECT ( -355 -70 0 70 ) ;
- net159 ( output159 A ) ( _395_ X ) + USE SIGNAL
+ ROUTED met2 ( 180550 15810 ) ( * 16830 )
NEW met1 ( 180550 16830 ) ( 207000 * )
NEW met1 ( 207000 16830 ) ( * 17510 )
NEW met1 ( 207000 17510 ) ( 209530 * )
NEW li1 ( 209530 17510 ) ( * 18530 )
NEW met1 ( 209530 18530 ) ( 224710 * )
NEW met2 ( 224710 18530 ) ( * 18700 )
NEW met3 ( 224710 18700 ) ( 228390 * )
NEW met2 ( 228390 18700 ) ( * 20570 )
NEW met1 ( 228390 20570 ) ( 239430 * )
NEW li1 ( 180550 15810 ) L1M1_PR_MR
NEW met1 ( 180550 15810 ) M1M2_PR
NEW met1 ( 180550 16830 ) M1M2_PR
NEW li1 ( 209530 17510 ) L1M1_PR_MR
NEW li1 ( 209530 18530 ) L1M1_PR_MR
NEW met1 ( 224710 18530 ) M1M2_PR
NEW met2 ( 224710 18700 ) M2M3_PR_M
NEW met2 ( 228390 18700 ) M2M3_PR_M
NEW met1 ( 228390 20570 ) M1M2_PR
NEW li1 ( 239430 20570 ) L1M1_PR_MR
NEW met1 ( 180550 15810 ) RECT ( -355 -70 0 70 ) ;
- net16 ( input16 X ) ( _219_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 232530 74970 ) ( 232990 * )
NEW met2 ( 232990 74970 ) ( * 84830 )
NEW met1 ( 232990 84830 ) ( 239890 * )
NEW li1 ( 232530 74970 ) L1M1_PR_MR
NEW met1 ( 232990 74970 ) M1M2_PR
NEW met1 ( 232990 84830 ) M1M2_PR
NEW li1 ( 239890 84830 ) L1M1_PR_MR ;
- net160 ( output160 A ) ( _396_ X ) + USE SIGNAL
+ ROUTED met2 ( 213670 231710 ) ( * 234770 )
NEW met1 ( 213670 234770 ) ( 226550 * )
NEW met1 ( 226550 234770 ) ( * 235110 )
NEW met1 ( 203550 231710 ) ( 213670 * )
NEW li1 ( 203550 231710 ) L1M1_PR_MR
NEW met1 ( 213670 231710 ) M1M2_PR
NEW met1 ( 213670 234770 ) M1M2_PR
NEW li1 ( 226550 235110 ) L1M1_PR_MR ;
- net161 ( ANTENNA_output161_A DIODE ) ( output161 A ) ( _369_ X ) + USE SIGNAL
+ ROUTED met2 ( 18170 224230 ) ( * 231710 )
NEW met1 ( 138230 221850 ) ( * 222190 )
NEW met2 ( 238510 222190 ) ( * 224230 )
NEW met1 ( 226550 222190 ) ( 238510 * )
NEW met1 ( 226550 221850 ) ( * 222190 )
NEW met1 ( 18170 224230 ) ( 48300 * )
NEW met1 ( 48300 224230 ) ( * 224570 )
NEW met1 ( 48300 224570 ) ( 62790 * )
NEW met2 ( 62790 224570 ) ( 63250 * )
NEW met2 ( 63250 222190 ) ( * 224570 )
NEW met1 ( 63250 222190 ) ( 138230 * )
NEW met1 ( 138230 221850 ) ( 144900 * )
NEW met1 ( 144900 221850 ) ( * 221860 )
NEW met1 ( 144900 221860 ) ( 145590 * )
NEW met1 ( 145590 221850 ) ( * 221860 )
NEW met2 ( 145590 221850 ) ( * 222020 )
NEW met3 ( 145590 222020 ) ( 157090 * )
NEW met2 ( 157090 221850 ) ( * 222020 )
NEW met1 ( 157090 221850 ) ( 226550 * )
NEW met1 ( 18170 224230 ) M1M2_PR
NEW li1 ( 18170 231710 ) L1M1_PR_MR
NEW met1 ( 18170 231710 ) M1M2_PR
NEW li1 ( 226550 221850 ) L1M1_PR_MR
NEW li1 ( 238510 224230 ) L1M1_PR_MR
NEW met1 ( 238510 224230 ) M1M2_PR
NEW met1 ( 238510 222190 ) M1M2_PR
NEW met1 ( 62790 224570 ) M1M2_PR
NEW met1 ( 63250 222190 ) M1M2_PR
NEW met1 ( 145590 221850 ) M1M2_PR
NEW met2 ( 145590 222020 ) M2M3_PR_M
NEW met2 ( 157090 222020 ) M2M3_PR_M
NEW met1 ( 157090 221850 ) M1M2_PR
NEW met1 ( 18170 231710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 238510 224230 ) RECT ( -355 -70 0 70 ) ;
- net162 ( output162 A ) ( _397_ X ) + USE SIGNAL
+ ROUTED met1 ( 200330 230010 ) ( * 230350 )
NEW met1 ( 232070 229670 ) ( * 230010 )
NEW met1 ( 200330 230010 ) ( 232070 * )
NEW li1 ( 200330 230350 ) L1M1_PR_MR
NEW li1 ( 232070 229670 ) L1M1_PR_MR ;
- net163 ( output163 A ) ( _398_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 230690 ) ( 9890 * )
NEW met2 ( 8510 230690 ) ( * 235110 )
NEW li1 ( 9890 230690 ) L1M1_PR_MR
NEW met1 ( 8510 230690 ) M1M2_PR
NEW li1 ( 8510 235110 ) L1M1_PR_MR
NEW met1 ( 8510 235110 ) M1M2_PR
NEW met1 ( 8510 235110 ) RECT ( -355 -70 0 70 ) ;
- net164 ( output164 A ) ( _370_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 42330 ) ( 10810 * )
NEW met1 ( 10810 42330 ) ( * 43010 )
NEW li1 ( 8510 42330 ) L1M1_PR_MR
NEW li1 ( 10810 43010 ) L1M1_PR_MR ;
- net165 ( output165 A ) ( _371_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 69530 ) ( 10810 * )
NEW met1 ( 10810 69530 ) ( * 70210 )
NEW li1 ( 8510 69530 ) L1M1_PR_MR
NEW li1 ( 10810 70210 ) L1M1_PR_MR ;
- net166 ( ANTENNA_output166_A DIODE ) ( output166 A ) ( _372_ X ) + USE SIGNAL
+ ROUTED met1 ( 98210 221170 ) ( * 221510 )
NEW met1 ( 98210 221510 ) ( 99130 * )
NEW met1 ( 99130 221170 ) ( * 221510 )
NEW met1 ( 40710 221170 ) ( 98210 * )
NEW met1 ( 125350 220830 ) ( * 221170 )
NEW met1 ( 125350 220830 ) ( 129950 * )
NEW met1 ( 129950 220830 ) ( * 221170 )
NEW met1 ( 99130 221170 ) ( 125350 * )
NEW met2 ( 221950 221170 ) ( * 223550 )
NEW met1 ( 234830 223890 ) ( * 224230 )
NEW met1 ( 221950 223890 ) ( 234830 * )
NEW met1 ( 221950 223550 ) ( * 223890 )
NEW met2 ( 153870 221170 ) ( * 221340 )
NEW met3 ( 153870 221340 ) ( 156170 * )
NEW met2 ( 156170 221170 ) ( * 221340 )
NEW met1 ( 129950 221170 ) ( 153870 * )
NEW met1 ( 156170 221170 ) ( 221950 * )
NEW li1 ( 40710 221170 ) L1M1_PR_MR
NEW li1 ( 221950 223550 ) L1M1_PR_MR
NEW met1 ( 221950 223550 ) M1M2_PR
NEW met1 ( 221950 221170 ) M1M2_PR
NEW li1 ( 234830 224230 ) L1M1_PR_MR
NEW met1 ( 153870 221170 ) M1M2_PR
NEW met2 ( 153870 221340 ) M2M3_PR_M
NEW met2 ( 156170 221340 ) M2M3_PR_M
NEW met1 ( 156170 221170 ) M1M2_PR
NEW met1 ( 221950 223550 ) RECT ( -355 -70 0 70 ) ;
- net167 ( output167 A ) ( _373_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 97410 ) ( * 99110 )
NEW met1 ( 8510 99110 ) ( 9890 * )
NEW li1 ( 9890 97410 ) L1M1_PR_MR
NEW met1 ( 9890 97410 ) M1M2_PR
NEW met1 ( 9890 99110 ) M1M2_PR
NEW li1 ( 8510 99110 ) L1M1_PR_MR
NEW met1 ( 9890 97410 ) RECT ( -355 -70 0 70 ) ;
- net168 ( output168 A ) ( _374_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 124610 ) ( 9890 * )
NEW met2 ( 8510 124610 ) ( * 126310 )
NEW li1 ( 9890 124610 ) L1M1_PR_MR
NEW met1 ( 8510 124610 ) M1M2_PR
NEW li1 ( 8510 126310 ) L1M1_PR_MR
NEW met1 ( 8510 126310 ) M1M2_PR
NEW met1 ( 8510 126310 ) RECT ( -355 -70 0 70 ) ;
- net169 ( ANTENNA_output169_A DIODE ) ( output169 A ) ( _375_ X ) + USE SIGNAL
+ ROUTED met1 ( 91770 223550 ) ( * 223890 )
NEW met1 ( 91770 223550 ) ( 105110 * )
NEW met2 ( 105110 222530 ) ( * 223550 )
NEW met1 ( 62100 223890 ) ( 91770 * )
NEW met1 ( 62100 223550 ) ( * 223890 )
NEW met1 ( 60030 223550 ) ( 62100 * )
NEW met2 ( 228390 219470 ) ( * 222530 )
NEW met1 ( 233910 226950 ) ( * 227290 )
NEW met1 ( 228390 226950 ) ( 233910 * )
NEW met2 ( 228390 222530 ) ( * 226950 )
NEW met1 ( 105110 222530 ) ( 228390 * )
NEW met1 ( 105110 223550 ) M1M2_PR
NEW met1 ( 105110 222530 ) M1M2_PR
NEW li1 ( 60030 223550 ) L1M1_PR_MR
NEW li1 ( 228390 219470 ) L1M1_PR_MR
NEW met1 ( 228390 219470 ) M1M2_PR
NEW met1 ( 228390 222530 ) M1M2_PR
NEW li1 ( 233910 227290 ) L1M1_PR_MR
NEW met1 ( 228390 226950 ) M1M2_PR
NEW met1 ( 228390 219470 ) RECT ( -355 -70 0 70 ) ;
- net17 ( input17 X ) ( _220_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 230690 79730 ) ( 238050 * )
NEW met2 ( 238050 79730 ) ( * 82790 )
NEW li1 ( 230690 79730 ) L1M1_PR_MR
NEW met1 ( 238050 79730 ) M1M2_PR
NEW li1 ( 238050 82790 ) L1M1_PR_MR
NEW met1 ( 238050 82790 ) M1M2_PR
NEW met1 ( 238050 82790 ) RECT ( -355 -70 0 70 ) ;
- net170 ( ANTENNA_output170_A DIODE ) ( output170 A ) ( _376_ X ) + USE SIGNAL
+ ROUTED met2 ( 160310 230690 ) ( * 233070 )
NEW met1 ( 160310 230690 ) ( 177330 * )
NEW met2 ( 177330 228990 ) ( * 230690 )
NEW met1 ( 177330 228990 ) ( 182850 * )
NEW met1 ( 182850 228990 ) ( * 229330 )
NEW met1 ( 61410 233070 ) ( * 233410 )
NEW met1 ( 61410 233070 ) ( 160310 * )
NEW met2 ( 226550 229330 ) ( * 232730 )
NEW met2 ( 223790 222190 ) ( * 229330 )
NEW met1 ( 182850 229330 ) ( 226550 * )
NEW met1 ( 160310 233070 ) M1M2_PR
NEW met1 ( 160310 230690 ) M1M2_PR
NEW met1 ( 177330 230690 ) M1M2_PR
NEW met1 ( 177330 228990 ) M1M2_PR
NEW li1 ( 61410 233410 ) L1M1_PR_MR
NEW li1 ( 226550 232730 ) L1M1_PR_MR
NEW met1 ( 226550 232730 ) M1M2_PR
NEW met1 ( 226550 229330 ) M1M2_PR
NEW li1 ( 223790 222190 ) L1M1_PR_MR
NEW met1 ( 223790 222190 ) M1M2_PR
NEW met1 ( 223790 229330 ) M1M2_PR
NEW met1 ( 226550 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 223790 222190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 223790 229330 ) RECT ( -595 -70 0 70 ) ;
- net171 ( output171 A ) ( _399_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 230690 ) ( 13110 * )
NEW met2 ( 12650 230690 ) ( * 232730 )
NEW met1 ( 8510 232730 ) ( 12650 * )
NEW li1 ( 13110 230690 ) L1M1_PR_MR
NEW met1 ( 12650 230690 ) M1M2_PR
NEW met1 ( 12650 232730 ) M1M2_PR
NEW li1 ( 8510 232730 ) L1M1_PR_MR ;
- net172 ( output172 A ) ( _409_ X ) + USE SIGNAL
+ ROUTED met2 ( 65550 226610 ) ( * 235110 )
NEW met1 ( 65550 235110 ) ( 66010 * )
NEW li1 ( 65550 226610 ) L1M1_PR_MR
NEW met1 ( 65550 226610 ) M1M2_PR
NEW met1 ( 65550 235110 ) M1M2_PR
NEW li1 ( 66010 235110 ) L1M1_PR_MR
NEW met1 ( 65550 226610 ) RECT ( -355 -70 0 70 ) ;
- net173 ( output173 A ) ( _410_ X ) + USE SIGNAL
+ ROUTED met2 ( 71990 225250 ) ( * 235110 )
NEW li1 ( 71990 225250 ) L1M1_PR_MR
NEW met1 ( 71990 225250 ) M1M2_PR
NEW li1 ( 71990 235110 ) L1M1_PR_MR
NEW met1 ( 71990 235110 ) M1M2_PR
NEW met1 ( 71990 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 71990 235110 ) RECT ( -355 -70 0 70 ) ;
- net174 ( output174 A ) ( _411_ X ) + USE SIGNAL
+ ROUTED met2 ( 78430 225250 ) ( * 235110 )
NEW li1 ( 78430 225250 ) L1M1_PR_MR
NEW met1 ( 78430 225250 ) M1M2_PR
NEW li1 ( 78430 235110 ) L1M1_PR_MR
NEW met1 ( 78430 235110 ) M1M2_PR
NEW met1 ( 78430 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 78430 235110 ) RECT ( -355 -70 0 70 ) ;
- net175 ( output175 A ) ( _412_ X ) + USE SIGNAL
+ ROUTED met2 ( 89010 230690 ) ( * 235110 )
NEW met1 ( 86250 235110 ) ( 89010 * )
NEW li1 ( 89010 230690 ) L1M1_PR_MR
NEW met1 ( 89010 230690 ) M1M2_PR
NEW met1 ( 89010 235110 ) M1M2_PR
NEW li1 ( 86250 235110 ) L1M1_PR_MR
NEW met1 ( 89010 230690 ) RECT ( -355 -70 0 70 ) ;
- net176 ( output176 A ) ( _413_ X ) + USE SIGNAL
+ ROUTED met2 ( 92230 230690 ) ( * 235110 )
NEW li1 ( 92230 230690 ) L1M1_PR_MR
NEW met1 ( 92230 230690 ) M1M2_PR
NEW li1 ( 92230 235110 ) L1M1_PR_MR
NEW met1 ( 92230 235110 ) M1M2_PR
NEW met1 ( 92230 230690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 92230 235110 ) RECT ( -355 -70 0 70 ) ;
- net177 ( output177 A ) ( _414_ X ) + USE SIGNAL
+ ROUTED met1 ( 102350 233410 ) ( 102810 * )
NEW met2 ( 102350 233410 ) ( * 235110 )
NEW met1 ( 98670 235110 ) ( 102350 * )
NEW li1 ( 102810 233410 ) L1M1_PR_MR
NEW met1 ( 102350 233410 ) M1M2_PR
NEW met1 ( 102350 235110 ) M1M2_PR
NEW li1 ( 98670 235110 ) L1M1_PR_MR ;
- net178 ( output178 A ) ( _415_ X ) + USE SIGNAL
+ ROUTED met1 ( 102810 230690 ) ( 103270 * )
NEW met2 ( 103270 230690 ) ( * 235110 )
NEW li1 ( 102810 230690 ) L1M1_PR_MR
NEW met1 ( 103270 230690 ) M1M2_PR
NEW li1 ( 103270 235110 ) L1M1_PR_MR
NEW met1 ( 103270 235110 ) M1M2_PR
NEW met1 ( 103270 235110 ) RECT ( -355 -70 0 70 ) ;
- net179 ( output179 A ) ( _416_ X ) + USE SIGNAL
+ ROUTED met1 ( 108790 230690 ) ( 109710 * )
NEW met2 ( 109710 230690 ) ( * 235110 )
NEW li1 ( 108790 230690 ) L1M1_PR_MR
NEW met1 ( 109710 230690 ) M1M2_PR
NEW li1 ( 109710 235110 ) L1M1_PR_MR
NEW met1 ( 109710 235110 ) M1M2_PR
NEW met1 ( 109710 235110 ) RECT ( -355 -70 0 70 ) ;
- net18 ( input18 X ) ( _223_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 83810 ) ( * 87890 )
NEW met1 ( 232530 87890 ) ( 238050 * )
NEW met1 ( 238050 87890 ) ( * 88230 )
NEW li1 ( 232530 83810 ) L1M1_PR_MR
NEW met1 ( 232530 83810 ) M1M2_PR
NEW met1 ( 232530 87890 ) M1M2_PR
NEW li1 ( 238050 88230 ) L1M1_PR_MR
NEW met1 ( 232530 83810 ) RECT ( -355 -70 0 70 ) ;
- net180 ( output180 A ) ( _417_ X ) + USE SIGNAL
+ ROUTED met1 ( 114770 225250 ) ( 115690 * )
NEW met2 ( 115690 225250 ) ( * 235110 )
NEW li1 ( 114770 225250 ) L1M1_PR_MR
NEW met1 ( 115690 225250 ) M1M2_PR
NEW li1 ( 115690 235110 ) L1M1_PR_MR
NEW met1 ( 115690 235110 ) M1M2_PR
NEW met1 ( 115690 235110 ) RECT ( -355 -70 0 70 ) ;
- net181 ( output181 A ) ( _418_ X ) + USE SIGNAL
+ ROUTED met2 ( 122130 223550 ) ( * 235110 )
NEW li1 ( 122130 223550 ) L1M1_PR_MR
NEW met1 ( 122130 223550 ) M1M2_PR
NEW li1 ( 122130 235110 ) L1M1_PR_MR
NEW met1 ( 122130 235110 ) M1M2_PR
NEW met1 ( 122130 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122130 235110 ) RECT ( -355 -70 0 70 ) ;
- net182 ( output182 A ) ( _400_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 226610 ) ( * 235110 )
NEW met1 ( 9890 235110 ) ( 10810 * )
NEW li1 ( 9890 226610 ) L1M1_PR_MR
NEW met1 ( 9890 226610 ) M1M2_PR
NEW met1 ( 9890 235110 ) M1M2_PR
NEW li1 ( 10810 235110 ) L1M1_PR_MR
NEW met1 ( 9890 226610 ) RECT ( -355 -70 0 70 ) ;
- net183 ( output183 A ) ( _419_ X ) + USE SIGNAL
+ ROUTED met2 ( 128570 226610 ) ( * 235110 )
NEW li1 ( 128570 226610 ) L1M1_PR_MR
NEW met1 ( 128570 226610 ) M1M2_PR
NEW li1 ( 128570 235110 ) L1M1_PR_MR
NEW met1 ( 128570 235110 ) M1M2_PR
NEW met1 ( 128570 226610 ) RECT ( -355 -70 0 70 )
NEW met1 ( 128570 235110 ) RECT ( -355 -70 0 70 ) ;
- net184 ( output184 A ) ( _420_ X ) + USE SIGNAL
+ ROUTED met1 ( 134550 230690 ) ( 135010 * )
NEW met2 ( 135010 230690 ) ( * 235110 )
NEW li1 ( 134550 230690 ) L1M1_PR_MR
NEW met1 ( 135010 230690 ) M1M2_PR
NEW li1 ( 135010 235110 ) L1M1_PR_MR
NEW met1 ( 135010 235110 ) M1M2_PR
NEW met1 ( 135010 235110 ) RECT ( -355 -70 0 70 ) ;
- net185 ( output185 A ) ( _421_ X ) + USE SIGNAL
+ ROUTED met1 ( 140070 230690 ) ( 140990 * )
NEW met2 ( 140990 230690 ) ( * 235110 )
NEW li1 ( 140070 230690 ) L1M1_PR_MR
NEW met1 ( 140990 230690 ) M1M2_PR
NEW li1 ( 140990 235110 ) L1M1_PR_MR
NEW met1 ( 140990 235110 ) M1M2_PR
NEW met1 ( 140990 235110 ) RECT ( -355 -70 0 70 ) ;
- net186 ( output186 A ) ( _422_ X ) + USE SIGNAL
+ ROUTED met2 ( 147890 230690 ) ( * 235110 )
NEW li1 ( 147890 230690 ) L1M1_PR_MR
NEW met1 ( 147890 230690 ) M1M2_PR
NEW li1 ( 147890 235110 ) L1M1_PR_MR
NEW met1 ( 147890 235110 ) M1M2_PR
NEW met1 ( 147890 230690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 235110 ) RECT ( -355 -70 0 70 ) ;
- net187 ( output187 A ) ( _423_ X ) + USE SIGNAL
+ ROUTED met1 ( 152950 230690 ) ( 153410 * )
NEW met2 ( 153410 230690 ) ( * 235110 )
NEW li1 ( 152950 230690 ) L1M1_PR_MR
NEW met1 ( 153410 230690 ) M1M2_PR
NEW li1 ( 153410 235110 ) L1M1_PR_MR
NEW met1 ( 153410 235110 ) M1M2_PR
NEW met1 ( 153410 235110 ) RECT ( -355 -70 0 70 ) ;
- net188 ( output188 A ) ( _424_ X ) + USE SIGNAL
+ ROUTED met1 ( 162150 233410 ) ( 165370 * )
NEW met2 ( 162150 233410 ) ( * 235110 )
NEW li1 ( 165370 233410 ) L1M1_PR_MR
NEW met1 ( 162150 233410 ) M1M2_PR
NEW li1 ( 162150 235110 ) L1M1_PR_MR
NEW met1 ( 162150 235110 ) M1M2_PR
NEW met1 ( 162150 235110 ) RECT ( -355 -70 0 70 ) ;
- net189 ( output189 A ) ( _425_ X ) + USE SIGNAL
+ ROUTED met1 ( 167210 233410 ) ( 168590 * )
NEW met2 ( 167210 233410 ) ( * 235110 )
NEW li1 ( 168590 233410 ) L1M1_PR_MR
NEW met1 ( 167210 233410 ) M1M2_PR
NEW li1 ( 167210 235110 ) L1M1_PR_MR
NEW met1 ( 167210 235110 ) M1M2_PR
NEW met1 ( 167210 235110 ) RECT ( -355 -70 0 70 ) ;
- net19 ( input19 X ) ( _224_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 232530 85850 ) ( * 85870 )
NEW met1 ( 232530 85870 ) ( 232990 * )
NEW met1 ( 232990 85510 ) ( * 85870 )
NEW met1 ( 232990 85510 ) ( 238050 * )
NEW met2 ( 238050 85510 ) ( * 90270 )
NEW met1 ( 238050 90270 ) ( 239890 * )
NEW li1 ( 232530 85850 ) L1M1_PR_MR
NEW met1 ( 238050 85510 ) M1M2_PR
NEW met1 ( 238050 90270 ) M1M2_PR
NEW li1 ( 239890 90270 ) L1M1_PR_MR ;
- net190 ( output190 A ) ( _426_ X ) + USE SIGNAL
+ ROUTED met1 ( 172730 233410 ) ( 173650 * )
NEW met2 ( 173650 233410 ) ( * 235110 )
NEW li1 ( 172730 233410 ) L1M1_PR_MR
NEW met1 ( 173650 233410 ) M1M2_PR
NEW li1 ( 173650 235110 ) L1M1_PR_MR
NEW met1 ( 173650 235110 ) M1M2_PR
NEW met1 ( 173650 235110 ) RECT ( -355 -70 0 70 ) ;
- net191 ( output191 A ) ( _427_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 230690 ) ( 178250 * )
NEW met2 ( 178250 230690 ) ( * 235110 )
NEW li1 ( 177790 230690 ) L1M1_PR_MR
NEW met1 ( 178250 230690 ) M1M2_PR
NEW li1 ( 178250 235110 ) L1M1_PR_MR
NEW met1 ( 178250 235110 ) M1M2_PR
NEW met1 ( 178250 235110 ) RECT ( -355 -70 0 70 ) ;
- net192 ( output192 A ) ( _428_ X ) + USE SIGNAL
+ ROUTED met1 ( 187910 233410 ) ( 190210 * )
NEW met2 ( 187910 233410 ) ( * 235110 )
NEW li1 ( 190210 233410 ) L1M1_PR_MR
NEW met1 ( 187910 233410 ) M1M2_PR
NEW li1 ( 187910 235110 ) L1M1_PR_MR
NEW met1 ( 187910 235110 ) M1M2_PR
NEW met1 ( 187910 235110 ) RECT ( -355 -70 0 70 ) ;
- net193 ( output193 A ) ( _401_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 230690 ) ( * 235110 )
NEW li1 ( 19090 230690 ) L1M1_PR_MR
NEW met1 ( 19090 230690 ) M1M2_PR
NEW li1 ( 19090 235110 ) L1M1_PR_MR
NEW met1 ( 19090 235110 ) M1M2_PR
NEW met1 ( 19090 230690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19090 235110 ) RECT ( -355 -70 0 70 ) ;
- net194 ( output194 A ) ( _429_ X ) + USE SIGNAL
+ ROUTED met2 ( 193430 233410 ) ( * 235110 )
NEW met1 ( 192050 235110 ) ( 193430 * )
NEW li1 ( 193430 233410 ) L1M1_PR_MR
NEW met1 ( 193430 233410 ) M1M2_PR
NEW met1 ( 193430 235110 ) M1M2_PR
NEW li1 ( 192050 235110 ) L1M1_PR_MR
NEW met1 ( 193430 233410 ) RECT ( -355 -70 0 70 ) ;
- net195 ( output195 A ) ( _430_ X ) + USE SIGNAL
+ ROUTED met1 ( 196650 230690 ) ( 199410 * )
NEW met2 ( 199410 230690 ) ( * 235110 )
NEW li1 ( 196650 230690 ) L1M1_PR_MR
NEW met1 ( 199410 230690 ) M1M2_PR
NEW li1 ( 199410 235110 ) L1M1_PR_MR
NEW met1 ( 199410 235110 ) M1M2_PR
NEW met1 ( 199410 235110 ) RECT ( -355 -70 0 70 ) ;
- net196 ( output196 A ) ( _431_ X ) + USE SIGNAL
+ ROUTED met1 ( 205850 232050 ) ( * 233070 )
NEW met2 ( 205850 233070 ) ( * 235110 )
NEW met1 ( 204470 235110 ) ( 205850 * )
NEW li1 ( 205850 232050 ) L1M1_PR_MR
NEW met1 ( 205850 233070 ) M1M2_PR
NEW met1 ( 205850 235110 ) M1M2_PR
NEW li1 ( 204470 235110 ) L1M1_PR_MR ;
- net197 ( output197 A ) ( _432_ X ) + USE SIGNAL
+ ROUTED met2 ( 209530 230690 ) ( * 235110 )
NEW met1 ( 209530 235110 ) ( 212290 * )
NEW li1 ( 209530 230690 ) L1M1_PR_MR
NEW met1 ( 209530 230690 ) M1M2_PR
NEW met1 ( 209530 235110 ) M1M2_PR
NEW li1 ( 212290 235110 ) L1M1_PR_MR
NEW met1 ( 209530 230690 ) RECT ( -355 -70 0 70 ) ;
- net198 ( output198 A ) ( _433_ X ) + USE SIGNAL
+ ROUTED met1 ( 215510 230690 ) ( 215970 * )
NEW met2 ( 215970 230690 ) ( * 235110 )
NEW li1 ( 215510 230690 ) L1M1_PR_MR
NEW met1 ( 215970 230690 ) M1M2_PR
NEW li1 ( 215970 235110 ) L1M1_PR_MR
NEW met1 ( 215970 235110 ) M1M2_PR
NEW met1 ( 215970 235110 ) RECT ( -355 -70 0 70 ) ;
- net199 ( output199 A ) ( _434_ X ) + USE SIGNAL
+ ROUTED met1 ( 221030 230690 ) ( 221490 * )
NEW met2 ( 221030 230690 ) ( * 235110 )
NEW li1 ( 221490 230690 ) L1M1_PR_MR
NEW met1 ( 221030 230690 ) M1M2_PR
NEW li1 ( 221030 235110 ) L1M1_PR_MR
NEW met1 ( 221030 235110 ) M1M2_PR
NEW met1 ( 221030 235110 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _202_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 229310 33150 ) ( 231610 * )
NEW met2 ( 231610 33150 ) ( * 44710 )
NEW met1 ( 231610 44710 ) ( 238050 * )
NEW li1 ( 229310 33150 ) L1M1_PR_MR
NEW met1 ( 231610 33150 ) M1M2_PR
NEW met1 ( 231610 44710 ) M1M2_PR
NEW li1 ( 238050 44710 ) L1M1_PR_MR ;
- net20 ( input20 X ) ( _225_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 93670 ) ( * 95710 )
NEW met1 ( 238050 95710 ) ( 239890 * )
NEW li1 ( 238050 93670 ) L1M1_PR_MR
NEW met1 ( 238050 93670 ) M1M2_PR
NEW met1 ( 238050 95710 ) M1M2_PR
NEW li1 ( 239890 95710 ) L1M1_PR_MR
NEW met1 ( 238050 93670 ) RECT ( -355 -70 0 70 ) ;
- net200 ( ANTENNA__434__A DIODE ) ( ANTENNA__433__A DIODE ) ( ANTENNA__432__A DIODE ) ( ANTENNA__431__A DIODE ) ( ANTENNA__430__A DIODE ) ( ANTENNA__429__A DIODE ) ( ANTENNA__428__A DIODE )
( ANTENNA__427__A DIODE ) ( ANTENNA__426__A DIODE ) ( ANTENNA__425__A DIODE ) ( ANTENNA__424__A DIODE ) ( ANTENNA__423__A DIODE ) ( ANTENNA__422__A DIODE ) ( ANTENNA__421__A DIODE ) ( ANTENNA__420__A DIODE )
( ANTENNA__419__A DIODE ) ( ANTENNA__418__A DIODE ) ( ANTENNA__417__A DIODE ) ( ANTENNA__416__A DIODE ) ( ANTENNA__415__A DIODE ) ( ANTENNA__414__A DIODE ) ( ANTENNA__413__A DIODE ) ( ANTENNA__412__A DIODE )
( ANTENNA__411__A DIODE ) ( ANTENNA__410__A DIODE ) ( ANTENNA__409__A DIODE ) ( ANTENNA__408__A DIODE ) ( ANTENNA__407__A DIODE ) ( ANTENNA__406__A DIODE ) ( ANTENNA__405__A DIODE ) ( ANTENNA__404__A DIODE )
( ANTENNA__403__A DIODE ) ( ANTENNA__402__A DIODE ) ( ANTENNA__401__A DIODE ) ( ANTENNA__400__A DIODE ) ( ANTENNA__399__A DIODE ) ( ANTENNA__178__C DIODE ) ( ANTENNA_output200_A DIODE ) ( output200 A )
( _178_ C ) ( _399_ A ) ( _400_ A ) ( _401_ A ) ( _402_ A ) ( _403_ A ) ( _404_ A ) ( _405_ A )
( _406_ A ) ( _407_ A ) ( _408_ A ) ( _409_ A ) ( _410_ A ) ( _411_ A ) ( _412_ A ) ( _413_ A )
( _414_ A ) ( _415_ A ) ( _416_ A ) ( _417_ A ) ( _418_ A ) ( _419_ A ) ( _420_ A ) ( _421_ A )
( _422_ A ) ( _423_ A ) ( _424_ A ) ( _425_ A ) ( _426_ A ) ( _427_ A ) ( _428_ A ) ( _429_ A )
( _430_ A ) ( _431_ A ) ( _432_ A ) ( _433_ A ) ( _434_ A ) ( _441_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 221510 ) ( 13110 * )
NEW met1 ( 8970 227290 ) ( * 227630 )
NEW met3 ( 86020 15300 ) ( * 16660 )
NEW met2 ( 107870 224570 ) ( * 229670 )
NEW met1 ( 105570 229670 ) ( 107870 * )
NEW met1 ( 104190 226610 ) ( 107870 * )
NEW met1 ( 101890 229670 ) ( 105570 * )
NEW met2 ( 103730 229670 ) ( * 232730 )
NEW met1 ( 96830 224910 ) ( 99590 * )
NEW met1 ( 99590 224910 ) ( * 225250 )
NEW met1 ( 99590 225250 ) ( 103730 * )
NEW met2 ( 103730 225250 ) ( * 226610 )
NEW met1 ( 103730 226610 ) ( 104190 * )
NEW met1 ( 93150 229670 ) ( 101890 * )
NEW met1 ( 89930 229670 ) ( 93150 * )
NEW met1 ( 90850 220830 ) ( 94070 * )
NEW met2 ( 94070 220830 ) ( * 224910 )
NEW met1 ( 94070 224910 ) ( 96830 * )
NEW met2 ( 81190 222530 ) ( * 223550 )
NEW met1 ( 81190 222530 ) ( 89930 * )
NEW li1 ( 89930 220830 ) ( * 222530 )
NEW met1 ( 89930 220830 ) ( 90850 * )
NEW met1 ( 80730 226270 ) ( 81190 * )
NEW met2 ( 81190 223550 ) ( * 226270 )
NEW met1 ( 77510 224230 ) ( 81190 * )
NEW met1 ( 74750 222530 ) ( 75210 * )
NEW met2 ( 74750 222530 ) ( * 224230 )
NEW met1 ( 74750 224230 ) ( 77510 * )
NEW met1 ( 71070 224230 ) ( 74750 * )
NEW met1 ( 71070 231710 ) ( 71530 * )
NEW met2 ( 71070 224230 ) ( * 231710 )
NEW met1 ( 65550 229330 ) ( * 229670 )
NEW met1 ( 65550 229330 ) ( 71070 * )
NEW met2 ( 64630 227290 ) ( * 229330 )
NEW met1 ( 64630 229330 ) ( 65550 * )
NEW met1 ( 63250 224230 ) ( 71070 * )
NEW met1 ( 63250 224230 ) ( * 224910 )
NEW met1 ( 189750 229670 ) ( 192510 * )
NEW met1 ( 176870 229670 ) ( 179170 * )
NEW met1 ( 179170 229670 ) ( * 230690 )
NEW met2 ( 171810 229670 ) ( * 232730 )
NEW met1 ( 171810 229670 ) ( 176870 * )
NEW met1 ( 170430 225250 ) ( 171810 * )
NEW met2 ( 171810 225250 ) ( * 229670 )
NEW met1 ( 169510 232730 ) ( 171810 * )
NEW met1 ( 169050 229670 ) ( 171810 * )
NEW met1 ( 166290 232730 ) ( 169510 * )
NEW met1 ( 163990 227630 ) ( 166750 * )
NEW met2 ( 166750 227630 ) ( * 229670 )
NEW met1 ( 166750 229670 ) ( 169050 * )
NEW met1 ( 171350 222190 ) ( 171810 * )
NEW met2 ( 171810 222190 ) ( * 225250 )
NEW met1 ( 161230 227630 ) ( 163990 * )
NEW met1 ( 160310 227630 ) ( * 227970 )
NEW met1 ( 160310 227630 ) ( 161230 * )
NEW met2 ( 20930 28730 ) ( * 28900 )
NEW met3 ( 20930 28900 ) ( 50140 * )
NEW met4 ( 50140 17340 ) ( * 28900 )
NEW met3 ( 50140 17340 ) ( 61180 * )
NEW met3 ( 61180 16660 ) ( * 17340 )
NEW met1 ( 19090 20570 ) ( 20010 * )
NEW met1 ( 20010 20570 ) ( * 20910 )
NEW met1 ( 20010 20910 ) ( 21850 * )
NEW met2 ( 21850 20910 ) ( * 21420 )
NEW met3 ( 21850 21420 ) ( 25300 * )
NEW met3 ( 25300 21420 ) ( * 26180 )
NEW met3 ( 25300 26180 ) ( 26220 * )
NEW met4 ( 26220 26180 ) ( * 28900 )
NEW met3 ( 61180 16660 ) ( 86020 * )
NEW met2 ( 52210 224910 ) ( * 227290 )
NEW met1 ( 49910 227290 ) ( 52210 * )
NEW met2 ( 47150 226950 ) ( * 231710 )
NEW met1 ( 47150 226950 ) ( 49910 * )
NEW met1 ( 49910 226950 ) ( * 227290 )
NEW met1 ( 42090 229670 ) ( 47150 * )
NEW met1 ( 47150 229330 ) ( * 229670 )
NEW met1 ( 40710 231710 ) ( 47150 * )
NEW met1 ( 39330 227290 ) ( * 227630 )
NEW met1 ( 39330 227630 ) ( 42090 * )
NEW met2 ( 42090 227630 ) ( * 229670 )
NEW met1 ( 39330 225250 ) ( 42090 * )
NEW met2 ( 42090 225250 ) ( * 227630 )
NEW met1 ( 28750 226950 ) ( 31970 * )
NEW met1 ( 31970 226950 ) ( * 227290 )
NEW met1 ( 31970 227290 ) ( 32890 * )
NEW met1 ( 32890 227290 ) ( * 227970 )
NEW met1 ( 32890 227970 ) ( 39330 * )
NEW met1 ( 39330 227630 ) ( * 227970 )
NEW met1 ( 27830 232390 ) ( * 232730 )
NEW met1 ( 27830 232390 ) ( 40710 * )
NEW met1 ( 40710 231710 ) ( * 232390 )
NEW met1 ( 25530 232730 ) ( 27830 * )
NEW met2 ( 25070 224910 ) ( * 226950 )
NEW met1 ( 25070 226950 ) ( 28750 * )
NEW met1 ( 20010 228990 ) ( * 229670 )
NEW met1 ( 20010 228990 ) ( 25070 * )
NEW met2 ( 25070 226950 ) ( * 228990 )
NEW met1 ( 14030 229670 ) ( 20010 * )
NEW met2 ( 14030 227630 ) ( * 229670 )
NEW met2 ( 14030 221510 ) ( * 227630 )
NEW met1 ( 13110 221510 ) ( 14030 * )
NEW met1 ( 8970 227630 ) ( 14030 * )
NEW met1 ( 50830 224910 ) ( 63250 * )
NEW met2 ( 149270 15300 ) ( * 15470 )
NEW met3 ( 86020 15300 ) ( 149270 * )
NEW met2 ( 149270 15470 ) ( * 207000 )
NEW met1 ( 148350 223890 ) ( 148810 * )
NEW met2 ( 148810 207000 ) ( * 223890 )
NEW met2 ( 148810 207000 ) ( 149270 * )
NEW met2 ( 148810 223890 ) ( * 229670 )
NEW met1 ( 148810 229670 ) ( 152030 * )
NEW met2 ( 157090 227970 ) ( * 229330 )
NEW met1 ( 152030 229330 ) ( 157090 * )
NEW met1 ( 152030 229330 ) ( * 229670 )
NEW met1 ( 139150 229670 ) ( 142370 * )
NEW li1 ( 142370 228990 ) ( * 229670 )
NEW met1 ( 142370 228990 ) ( 148810 * )
NEW met1 ( 133630 229670 ) ( 139150 * )
NEW met2 ( 135010 225250 ) ( * 229670 )
NEW met1 ( 131330 226270 ) ( 135010 * )
NEW met1 ( 128570 225250 ) ( 135010 * )
NEW met1 ( 125810 225250 ) ( 128570 * )
NEW met1 ( 127650 227290 ) ( 131790 * )
NEW met2 ( 131790 226270 ) ( * 227290 )
NEW met2 ( 123050 224230 ) ( * 225250 )
NEW met1 ( 123050 225250 ) ( 125810 * )
NEW met1 ( 113850 224230 ) ( 122590 * )
NEW met1 ( 122590 224220 ) ( * 224230 )
NEW met1 ( 122590 224220 ) ( 123050 * )
NEW met1 ( 123050 224220 ) ( * 224230 )
NEW met1 ( 111550 224230 ) ( 113850 * )
NEW met1 ( 111550 224230 ) ( * 224570 )
NEW met1 ( 107870 224570 ) ( 111550 * )
NEW met1 ( 157090 227970 ) ( 160310 * )
NEW met1 ( 220570 229670 ) ( 228390 * )
NEW met1 ( 218270 229670 ) ( 220570 * )
NEW met2 ( 219650 227970 ) ( * 229670 )
NEW met2 ( 219650 225250 ) ( * 227970 )
NEW met1 ( 214590 229670 ) ( 218270 * )
NEW met1 ( 212290 229670 ) ( 214590 * )
NEW met1 ( 208610 229670 ) ( 212290 * )
NEW met1 ( 205390 227970 ) ( 208610 * )
NEW met2 ( 208610 227970 ) ( * 229670 )
NEW met1 ( 206310 232730 ) ( 206770 * )
NEW met2 ( 206310 227970 ) ( * 232730 )
NEW met1 ( 196190 227630 ) ( 205390 * )
NEW met1 ( 205390 227630 ) ( * 227970 )
NEW met2 ( 195730 227630 ) ( * 229670 )
NEW met1 ( 195730 227630 ) ( 196190 * )
NEW met1 ( 195270 225250 ) ( 195730 * )
NEW met2 ( 195730 225250 ) ( * 227630 )
NEW met1 ( 194350 232730 ) ( 195730 * )
NEW met2 ( 195730 229670 ) ( * 232730 )
NEW met1 ( 192510 229670 ) ( 195730 * )
NEW met1 ( 179170 230690 ) ( 195730 * )
NEW met1 ( 191130 232730 ) ( 194350 * )
NEW li1 ( 13110 221510 ) L1M1_PR_MR
NEW li1 ( 10810 221510 ) L1M1_PR_MR
NEW li1 ( 8970 227290 ) L1M1_PR_MR
NEW li1 ( 107870 229670 ) L1M1_PR_MR
NEW met1 ( 107870 229670 ) M1M2_PR
NEW met1 ( 107870 224570 ) M1M2_PR
NEW li1 ( 105570 229670 ) L1M1_PR_MR
NEW li1 ( 104190 226610 ) L1M1_PR_MR
NEW met1 ( 107870 226610 ) M1M2_PR
NEW li1 ( 101890 229670 ) L1M1_PR_MR
NEW li1 ( 103730 232730 ) L1M1_PR_MR
NEW met1 ( 103730 232730 ) M1M2_PR
NEW met1 ( 103730 229670 ) M1M2_PR
NEW li1 ( 96830 224910 ) L1M1_PR_MR
NEW met1 ( 103730 225250 ) M1M2_PR
NEW met1 ( 103730 226610 ) M1M2_PR
NEW li1 ( 93150 229670 ) L1M1_PR_MR
NEW li1 ( 89930 229670 ) L1M1_PR_MR
NEW li1 ( 90850 220830 ) L1M1_PR_MR
NEW met1 ( 94070 220830 ) M1M2_PR
NEW met1 ( 94070 224910 ) M1M2_PR
NEW li1 ( 81190 223550 ) L1M1_PR_MR
NEW met1 ( 81190 223550 ) M1M2_PR
NEW met1 ( 81190 222530 ) M1M2_PR
NEW li1 ( 89930 222530 ) L1M1_PR_MR
NEW li1 ( 89930 220830 ) L1M1_PR_MR
NEW li1 ( 80730 226270 ) L1M1_PR_MR
NEW met1 ( 81190 226270 ) M1M2_PR
NEW li1 ( 77510 224230 ) L1M1_PR_MR
NEW met1 ( 81190 224230 ) M1M2_PR
NEW li1 ( 75210 222530 ) L1M1_PR_MR
NEW met1 ( 74750 222530 ) M1M2_PR
NEW met1 ( 74750 224230 ) M1M2_PR
NEW li1 ( 71070 224230 ) L1M1_PR_MR
NEW li1 ( 71530 231710 ) L1M1_PR_MR
NEW met1 ( 71070 231710 ) M1M2_PR
NEW met1 ( 71070 224230 ) M1M2_PR
NEW li1 ( 65550 229670 ) L1M1_PR_MR
NEW met1 ( 71070 229330 ) M1M2_PR
NEW li1 ( 64630 227290 ) L1M1_PR_MR
NEW met1 ( 64630 227290 ) M1M2_PR
NEW met1 ( 64630 229330 ) M1M2_PR
NEW li1 ( 63250 224230 ) L1M1_PR_MR
NEW li1 ( 192510 229670 ) L1M1_PR_MR
NEW li1 ( 189750 229670 ) L1M1_PR_MR
NEW li1 ( 191130 232730 ) L1M1_PR_MR
NEW li1 ( 176870 229670 ) L1M1_PR_MR
NEW li1 ( 171810 232730 ) L1M1_PR_MR
NEW met1 ( 171810 232730 ) M1M2_PR
NEW met1 ( 171810 229670 ) M1M2_PR
NEW li1 ( 170430 225250 ) L1M1_PR_MR
NEW met1 ( 171810 225250 ) M1M2_PR
NEW li1 ( 169510 232730 ) L1M1_PR_MR
NEW li1 ( 169050 229670 ) L1M1_PR_MR
NEW li1 ( 166290 232730 ) L1M1_PR_MR
NEW li1 ( 163990 227630 ) L1M1_PR_MR
NEW met1 ( 166750 227630 ) M1M2_PR
NEW met1 ( 166750 229670 ) M1M2_PR
NEW li1 ( 171350 222190 ) L1M1_PR_MR
NEW met1 ( 171810 222190 ) M1M2_PR
NEW li1 ( 161230 227630 ) L1M1_PR_MR
NEW li1 ( 20930 28730 ) L1M1_PR_MR
NEW met1 ( 20930 28730 ) M1M2_PR
NEW met2 ( 20930 28900 ) M2M3_PR_M
NEW met3 ( 50140 28900 ) M3M4_PR_M
NEW met3 ( 50140 17340 ) M3M4_PR_M
NEW li1 ( 19090 20570 ) L1M1_PR_MR
NEW met1 ( 21850 20910 ) M1M2_PR
NEW met2 ( 21850 21420 ) M2M3_PR_M
NEW met3 ( 26220 26180 ) M3M4_PR_M
NEW met3 ( 26220 28900 ) M3M4_PR_M
NEW li1 ( 50830 224910 ) L1M1_PR_MR
NEW li1 ( 52210 227290 ) L1M1_PR_MR
NEW met1 ( 52210 227290 ) M1M2_PR
NEW met1 ( 52210 224910 ) M1M2_PR
NEW li1 ( 49910 227290 ) L1M1_PR_MR
NEW li1 ( 47150 231710 ) L1M1_PR_MR
NEW met1 ( 47150 231710 ) M1M2_PR
NEW met1 ( 47150 226950 ) M1M2_PR
NEW li1 ( 42090 229670 ) L1M1_PR_MR
NEW met1 ( 47150 229330 ) M1M2_PR
NEW li1 ( 40710 231710 ) L1M1_PR_MR
NEW li1 ( 39330 227290 ) L1M1_PR_MR
NEW met1 ( 42090 227630 ) M1M2_PR
NEW met1 ( 42090 229670 ) M1M2_PR
NEW li1 ( 39330 225250 ) L1M1_PR_MR
NEW met1 ( 42090 225250 ) M1M2_PR
NEW li1 ( 28750 226950 ) L1M1_PR_MR
NEW li1 ( 27830 232730 ) L1M1_PR_MR
NEW li1 ( 25530 232730 ) L1M1_PR_MR
NEW li1 ( 25070 224910 ) L1M1_PR_MR
NEW met1 ( 25070 224910 ) M1M2_PR
NEW met1 ( 25070 226950 ) M1M2_PR
NEW li1 ( 20010 229670 ) L1M1_PR_MR
NEW met1 ( 25070 228990 ) M1M2_PR
NEW li1 ( 14030 229670 ) L1M1_PR_MR
NEW met1 ( 14030 227630 ) M1M2_PR
NEW met1 ( 14030 229670 ) M1M2_PR
NEW met1 ( 14030 221510 ) M1M2_PR
NEW li1 ( 149270 15470 ) L1M1_PR_MR
NEW met1 ( 149270 15470 ) M1M2_PR
NEW met2 ( 149270 15300 ) M2M3_PR_M
NEW li1 ( 148350 223890 ) L1M1_PR_MR
NEW met1 ( 148810 223890 ) M1M2_PR
NEW li1 ( 148810 229670 ) L1M1_PR_MR
NEW met1 ( 148810 229670 ) M1M2_PR
NEW li1 ( 152030 229670 ) L1M1_PR_MR
NEW met1 ( 157090 227970 ) M1M2_PR
NEW met1 ( 157090 229330 ) M1M2_PR
NEW li1 ( 139150 229670 ) L1M1_PR_MR
NEW li1 ( 142370 229670 ) L1M1_PR_MR
NEW li1 ( 142370 228990 ) L1M1_PR_MR
NEW met1 ( 148810 228990 ) M1M2_PR
NEW li1 ( 133630 229670 ) L1M1_PR_MR
NEW li1 ( 135010 225250 ) L1M1_PR_MR
NEW met1 ( 135010 225250 ) M1M2_PR
NEW met1 ( 135010 229670 ) M1M2_PR
NEW li1 ( 131330 226270 ) L1M1_PR_MR
NEW met1 ( 135010 226270 ) M1M2_PR
NEW li1 ( 128570 225250 ) L1M1_PR_MR
NEW li1 ( 125810 225250 ) L1M1_PR_MR
NEW li1 ( 127650 227290 ) L1M1_PR_MR
NEW met1 ( 131790 227290 ) M1M2_PR
NEW met1 ( 131790 226270 ) M1M2_PR
NEW li1 ( 123050 224230 ) L1M1_PR_MR
NEW met1 ( 123050 224230 ) M1M2_PR
NEW met1 ( 123050 225250 ) M1M2_PR
NEW li1 ( 113850 224230 ) L1M1_PR_MR
NEW li1 ( 111550 224230 ) L1M1_PR_MR
NEW li1 ( 220570 229670 ) L1M1_PR_MR
NEW li1 ( 228390 229670 ) L1M1_PR_MR
NEW li1 ( 218270 229670 ) L1M1_PR_MR
NEW li1 ( 219650 227970 ) L1M1_PR_MR
NEW met1 ( 219650 227970 ) M1M2_PR
NEW met1 ( 219650 229670 ) M1M2_PR
NEW li1 ( 219650 225250 ) L1M1_PR_MR
NEW met1 ( 219650 225250 ) M1M2_PR
NEW li1 ( 214590 229670 ) L1M1_PR_MR
NEW li1 ( 212290 229670 ) L1M1_PR_MR
NEW li1 ( 208610 229670 ) L1M1_PR_MR
NEW li1 ( 205390 227970 ) L1M1_PR_MR
NEW met1 ( 208610 227970 ) M1M2_PR
NEW met1 ( 208610 229670 ) M1M2_PR
NEW li1 ( 206770 232730 ) L1M1_PR_MR
NEW met1 ( 206310 232730 ) M1M2_PR
NEW met1 ( 206310 227970 ) M1M2_PR
NEW li1 ( 196190 227630 ) L1M1_PR_MR
NEW li1 ( 195730 229670 ) L1M1_PR_MR
NEW met1 ( 195730 229670 ) M1M2_PR
NEW met1 ( 195730 227630 ) M1M2_PR
NEW li1 ( 195270 225250 ) L1M1_PR_MR
NEW met1 ( 195730 225250 ) M1M2_PR
NEW li1 ( 194350 232730 ) L1M1_PR_MR
NEW met1 ( 195730 232730 ) M1M2_PR
NEW met1 ( 195730 230690 ) M1M2_PR
NEW met1 ( 107870 229670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 107870 226610 ) RECT ( -70 -485 70 0 )
NEW met1 ( 103730 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103730 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 81190 223550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 81190 224230 ) RECT ( -70 -485 70 0 )
NEW met1 ( 71070 224230 ) RECT ( -595 -70 0 70 )
NEW met2 ( 71070 229330 ) RECT ( -70 -485 70 0 )
NEW met1 ( 64630 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171810 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20930 28730 ) RECT ( -355 -70 0 70 )
NEW met3 ( 26220 28900 ) RECT ( -800 -150 0 150 )
NEW met1 ( 52210 227290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52210 224910 ) RECT ( -595 -70 0 70 )
NEW met1 ( 47150 231710 ) RECT ( -355 -70 0 70 )
NEW met2 ( 47150 229330 ) RECT ( -70 -485 70 0 )
NEW met1 ( 42090 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 25070 224910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14030 229670 ) RECT ( 0 -70 595 70 )
NEW met1 ( 149270 15470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 148810 229670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 148810 228990 ) RECT ( -70 -485 70 0 )
NEW met1 ( 135010 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135010 229670 ) RECT ( -595 -70 0 70 )
NEW met2 ( 135010 226270 ) RECT ( -70 -485 70 0 )
NEW met1 ( 131790 226270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 224230 ) RECT ( 0 -70 355 70 )
NEW met1 ( 219650 227970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 219650 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 219650 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 208610 229670 ) RECT ( 0 -70 595 70 )
NEW met1 ( 206310 227970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 195730 229670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 195730 230690 ) RECT ( -70 -485 70 0 ) ;
- net201 ( output201 A ) ( _402_ X ) + USE SIGNAL
+ ROUTED met1 ( 24150 233410 ) ( 24610 * )
NEW met2 ( 24150 233410 ) ( * 235110 )
NEW li1 ( 24610 233410 ) L1M1_PR_MR
NEW met1 ( 24150 233410 ) M1M2_PR
NEW li1 ( 24150 235110 ) L1M1_PR_MR
NEW met1 ( 24150 235110 ) M1M2_PR
NEW met1 ( 24150 235110 ) RECT ( -355 -70 0 70 ) ;
- net202 ( output202 A ) ( _403_ X ) + USE SIGNAL
+ ROUTED met1 ( 28750 233410 ) ( 31970 * )
NEW met2 ( 31970 233410 ) ( * 235110 )
NEW li1 ( 28750 233410 ) L1M1_PR_MR
NEW met1 ( 31970 233410 ) M1M2_PR
NEW li1 ( 31970 235110 ) L1M1_PR_MR
NEW met1 ( 31970 235110 ) M1M2_PR
NEW met1 ( 31970 235110 ) RECT ( -355 -70 0 70 ) ;
- net203 ( output203 A ) ( _404_ X ) + USE SIGNAL
+ ROUTED met1 ( 37030 230690 ) ( 41170 * )
NEW met2 ( 37030 230690 ) ( * 235110 )
NEW li1 ( 41170 230690 ) L1M1_PR_MR
NEW met1 ( 37030 230690 ) M1M2_PR
NEW li1 ( 37030 235110 ) L1M1_PR_MR
NEW met1 ( 37030 235110 ) M1M2_PR
NEW met1 ( 37030 235110 ) RECT ( -355 -70 0 70 ) ;
- net204 ( output204 A ) ( _405_ X ) + USE SIGNAL
+ ROUTED met2 ( 40250 226610 ) ( * 235110 )
NEW met1 ( 40250 235110 ) ( 40710 * )
NEW li1 ( 40250 226610 ) L1M1_PR_MR
NEW met1 ( 40250 226610 ) M1M2_PR
NEW met1 ( 40250 235110 ) M1M2_PR
NEW li1 ( 40710 235110 ) L1M1_PR_MR
NEW met1 ( 40250 226610 ) RECT ( -355 -70 0 70 ) ;
- net205 ( output205 A ) ( _406_ X ) + USE SIGNAL
+ ROUTED met2 ( 48990 226610 ) ( * 235110 )
NEW met1 ( 48530 235110 ) ( 48990 * )
NEW li1 ( 48990 226610 ) L1M1_PR_MR
NEW met1 ( 48990 226610 ) M1M2_PR
NEW met1 ( 48990 235110 ) M1M2_PR
NEW li1 ( 48530 235110 ) L1M1_PR_MR
NEW met1 ( 48990 226610 ) RECT ( -355 -70 0 70 ) ;
- net206 ( output206 A ) ( _407_ X ) + USE SIGNAL
+ ROUTED met2 ( 53130 226610 ) ( * 235110 )
NEW met1 ( 53130 235110 ) ( 53590 * )
NEW li1 ( 53130 226610 ) L1M1_PR_MR
NEW met1 ( 53130 226610 ) M1M2_PR
NEW met1 ( 53130 235110 ) M1M2_PR
NEW li1 ( 53590 235110 ) L1M1_PR_MR
NEW met1 ( 53130 226610 ) RECT ( -355 -70 0 70 ) ;
- net207 ( output207 A ) ( _408_ X ) + USE SIGNAL
+ ROUTED met2 ( 64630 230690 ) ( * 235110 )
NEW met1 ( 60950 235110 ) ( 64630 * )
NEW li1 ( 64630 230690 ) L1M1_PR_MR
NEW met1 ( 64630 230690 ) M1M2_PR
NEW met1 ( 64630 235110 ) M1M2_PR
NEW li1 ( 60950 235110 ) L1M1_PR_MR
NEW met1 ( 64630 230690 ) RECT ( 0 -70 355 70 ) ;
- net208 ( output208 A ) ( _177_ A1 ) ( _443_ Q ) + USE SIGNAL
+ ROUTED met2 ( 14490 219810 ) ( * 235110 )
NEW met2 ( 14490 216410 ) ( * 219810 )
NEW met1 ( 9430 219810 ) ( 14490 * )
NEW li1 ( 9430 219810 ) L1M1_PR_MR
NEW met1 ( 14490 219810 ) M1M2_PR
NEW li1 ( 14490 235110 ) L1M1_PR_MR
NEW met1 ( 14490 235110 ) M1M2_PR
NEW li1 ( 14490 216410 ) L1M1_PR_MR
NEW met1 ( 14490 216410 ) M1M2_PR
NEW met1 ( 14490 235110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14490 216410 ) RECT ( -355 -70 0 70 ) ;
- net209 ( output209 A ) ( _164_ A1 ) ( _453_ Q ) + USE SIGNAL
+ ROUTED met1 ( 66930 218790 ) ( 69230 * )
NEW met2 ( 69230 218790 ) ( * 232730 )
NEW met1 ( 69230 217090 ) ( 72450 * )
NEW met2 ( 69230 217090 ) ( * 218790 )
NEW li1 ( 66930 218790 ) L1M1_PR_MR
NEW met1 ( 69230 218790 ) M1M2_PR
NEW li1 ( 69230 232730 ) L1M1_PR_MR
NEW met1 ( 69230 232730 ) M1M2_PR
NEW li1 ( 72450 217090 ) L1M1_PR_MR
NEW met1 ( 69230 217090 ) M1M2_PR
NEW met1 ( 69230 232730 ) RECT ( -355 -70 0 70 ) ;
- net21 ( input21 X ) ( _226_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 91290 ) ( * 92990 )
NEW li1 ( 232530 91290 ) L1M1_PR_MR
NEW met1 ( 232530 91290 ) M1M2_PR
NEW li1 ( 232530 92990 ) L1M1_PR_MR
NEW met1 ( 232530 92990 ) M1M2_PR
NEW met1 ( 232530 91290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 232530 92990 ) RECT ( -355 -70 0 70 ) ;
- net210 ( output210 A ) ( _163_ A1 ) ( _454_ Q ) + USE SIGNAL
+ ROUTED met1 ( 73830 228990 ) ( 77510 * )
NEW met2 ( 73830 227290 ) ( * 228990 )
NEW met1 ( 70610 227290 ) ( 73830 * )
NEW met2 ( 75670 228990 ) ( * 232730 )
NEW li1 ( 77510 228990 ) L1M1_PR_MR
NEW met1 ( 73830 228990 ) M1M2_PR
NEW met1 ( 73830 227290 ) M1M2_PR
NEW li1 ( 70610 227290 ) L1M1_PR_MR
NEW li1 ( 75670 232730 ) L1M1_PR_MR
NEW met1 ( 75670 232730 ) M1M2_PR
NEW met1 ( 75670 228990 ) M1M2_PR
NEW met1 ( 75670 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 75670 228990 ) RECT ( -595 -70 0 70 ) ;
- net211 ( output211 A ) ( _161_ A1 ) ( _455_ Q ) + USE SIGNAL
+ ROUTED met2 ( 79810 227290 ) ( * 228990 )
NEW met1 ( 77050 227290 ) ( 79810 * )
NEW met1 ( 79810 232730 ) ( 80730 * )
NEW met2 ( 79810 228990 ) ( * 232730 )
NEW li1 ( 79810 228990 ) L1M1_PR_MR
NEW met1 ( 79810 228990 ) M1M2_PR
NEW met1 ( 79810 227290 ) M1M2_PR
NEW li1 ( 77050 227290 ) L1M1_PR_MR
NEW li1 ( 80730 232730 ) L1M1_PR_MR
NEW met1 ( 79810 232730 ) M1M2_PR
NEW met1 ( 79810 228990 ) RECT ( -355 -70 0 70 ) ;
- net212 ( output212 A ) ( _158_ A1 ) ( _456_ Q ) + USE SIGNAL
+ ROUTED met1 ( 89930 217090 ) ( 90390 * )
NEW met2 ( 89930 217090 ) ( * 232730 )
NEW met1 ( 84410 218790 ) ( * 219130 )
NEW met1 ( 83490 219130 ) ( 84410 * )
NEW met2 ( 83490 217090 ) ( * 219130 )
NEW met1 ( 83490 217090 ) ( 89930 * )
NEW li1 ( 90390 217090 ) L1M1_PR_MR
NEW met1 ( 89930 217090 ) M1M2_PR
NEW li1 ( 89930 232730 ) L1M1_PR_MR
NEW met1 ( 89930 232730 ) M1M2_PR
NEW li1 ( 84410 218790 ) L1M1_PR_MR
NEW met1 ( 83490 219130 ) M1M2_PR
NEW met1 ( 83490 217090 ) M1M2_PR
NEW met1 ( 89930 232730 ) RECT ( -355 -70 0 70 ) ;
- net213 ( output213 A ) ( _157_ A1 ) ( _457_ Q ) + USE SIGNAL
+ ROUTED met1 ( 92690 217090 ) ( 93610 * )
NEW met2 ( 93610 217090 ) ( * 232730 )
NEW met1 ( 90850 213350 ) ( 93610 * )
NEW met2 ( 93610 213350 ) ( * 217090 )
NEW li1 ( 92690 217090 ) L1M1_PR_MR
NEW met1 ( 93610 217090 ) M1M2_PR
NEW li1 ( 93610 232730 ) L1M1_PR_MR
NEW met1 ( 93610 232730 ) M1M2_PR
NEW li1 ( 90850 213350 ) L1M1_PR_MR
NEW met1 ( 93610 213350 ) M1M2_PR
NEW met1 ( 93610 232730 ) RECT ( -355 -70 0 70 ) ;
- net214 ( output214 A ) ( _156_ A1 ) ( _458_ Q ) + USE SIGNAL
+ ROUTED met2 ( 98670 224230 ) ( * 226270 )
NEW met1 ( 92690 224230 ) ( 98670 * )
NEW met1 ( 99130 232730 ) ( 100050 * )
NEW met2 ( 100050 226270 ) ( * 232730 )
NEW met1 ( 98670 226270 ) ( 100050 * )
NEW li1 ( 98670 226270 ) L1M1_PR_MR
NEW met1 ( 98670 226270 ) M1M2_PR
NEW met1 ( 98670 224230 ) M1M2_PR
NEW li1 ( 92690 224230 ) L1M1_PR_MR
NEW li1 ( 99130 232730 ) L1M1_PR_MR
NEW met1 ( 100050 232730 ) M1M2_PR
NEW met1 ( 100050 226270 ) M1M2_PR
NEW met1 ( 98670 226270 ) RECT ( -355 -70 0 70 ) ;
- net215 ( output215 A ) ( _155_ A1 ) ( _459_ Q ) + USE SIGNAL
+ ROUTED met1 ( 104650 216410 ) ( 105570 * )
NEW met2 ( 105570 216410 ) ( * 232730 )
NEW met1 ( 105570 232730 ) ( 109250 * )
NEW met2 ( 105110 214370 ) ( * 216410 )
NEW met2 ( 105110 216410 ) ( 105570 * )
NEW li1 ( 104650 216410 ) L1M1_PR_MR
NEW met1 ( 105570 216410 ) M1M2_PR
NEW met1 ( 105570 232730 ) M1M2_PR
NEW li1 ( 109250 232730 ) L1M1_PR_MR
NEW li1 ( 105110 214370 ) L1M1_PR_MR
NEW met1 ( 105110 214370 ) M1M2_PR
NEW met1 ( 105110 214370 ) RECT ( -355 -70 0 70 ) ;
- net216 ( output216 A ) ( _153_ A1 ) ( _460_ Q ) + USE SIGNAL
+ ROUTED met2 ( 112930 225250 ) ( * 232730 )
NEW met2 ( 110630 221850 ) ( * 225250 )
NEW met1 ( 103730 221850 ) ( 110630 * )
NEW met1 ( 108790 225250 ) ( 112930 * )
NEW li1 ( 103730 221850 ) L1M1_PR_MR
NEW li1 ( 108790 225250 ) L1M1_PR_MR
NEW met1 ( 112930 225250 ) M1M2_PR
NEW li1 ( 112930 232730 ) L1M1_PR_MR
NEW met1 ( 112930 232730 ) M1M2_PR
NEW met1 ( 110630 221850 ) M1M2_PR
NEW met1 ( 110630 225250 ) M1M2_PR
NEW met1 ( 112930 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 110630 225250 ) RECT ( 0 -70 595 70 ) ;
- net217 ( output217 A ) ( _150_ A1 ) ( _461_ Q ) + USE SIGNAL
+ ROUTED met2 ( 118910 227630 ) ( * 228990 )
NEW met1 ( 114770 227630 ) ( 118910 * )
NEW met1 ( 114770 227290 ) ( * 227630 )
NEW met1 ( 118910 232730 ) ( 120750 * )
NEW met2 ( 118910 228990 ) ( * 232730 )
NEW li1 ( 118910 228990 ) L1M1_PR_MR
NEW met1 ( 118910 228990 ) M1M2_PR
NEW met1 ( 118910 227630 ) M1M2_PR
NEW li1 ( 114770 227290 ) L1M1_PR_MR
NEW li1 ( 120750 232730 ) L1M1_PR_MR
NEW met1 ( 118910 232730 ) M1M2_PR
NEW met1 ( 118910 228990 ) RECT ( -355 -70 0 70 ) ;
- net218 ( output218 A ) ( _149_ A1 ) ( _462_ Q ) + USE SIGNAL
+ ROUTED met1 ( 121670 217090 ) ( 124890 * )
NEW met2 ( 124890 217090 ) ( * 232730 )
NEW met1 ( 124430 232730 ) ( 124890 * )
NEW met1 ( 114770 218790 ) ( 116610 * )
NEW met2 ( 114770 218620 ) ( * 218790 )
NEW met3 ( 114770 218620 ) ( 117070 * )
NEW met2 ( 117070 217090 ) ( * 218620 )
NEW met1 ( 117070 217090 ) ( 121670 * )
NEW li1 ( 121670 217090 ) L1M1_PR_MR
NEW met1 ( 124890 217090 ) M1M2_PR
NEW met1 ( 124890 232730 ) M1M2_PR
NEW li1 ( 124430 232730 ) L1M1_PR_MR
NEW li1 ( 116610 218790 ) L1M1_PR_MR
NEW met1 ( 114770 218790 ) M1M2_PR
NEW met2 ( 114770 218620 ) M2M3_PR_M
NEW met2 ( 117070 218620 ) M2M3_PR_M
NEW met1 ( 117070 217090 ) M1M2_PR ;
- net219 ( output219 A ) ( _176_ A1 ) ( _444_ Q ) + USE SIGNAL
+ ROUTED met1 ( 12650 213350 ) ( 13110 * )
NEW met2 ( 13110 213350 ) ( * 232730 )
NEW met1 ( 11730 210290 ) ( 13110 * )
NEW met2 ( 13110 210290 ) ( * 213350 )
NEW li1 ( 12650 213350 ) L1M1_PR_MR
NEW met1 ( 13110 213350 ) M1M2_PR
NEW li1 ( 13110 232730 ) L1M1_PR_MR
NEW met1 ( 13110 232730 ) M1M2_PR
NEW li1 ( 11730 210290 ) L1M1_PR_MR
NEW met1 ( 13110 210290 ) M1M2_PR
NEW met1 ( 13110 232730 ) RECT ( 0 -70 355 70 ) ;
- net22 ( input22 X ) ( _227_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 99110 ) ( * 101150 )
NEW met1 ( 238050 101150 ) ( 239890 * )
NEW li1 ( 238050 99110 ) L1M1_PR_MR
NEW met1 ( 238050 99110 ) M1M2_PR
NEW met1 ( 238050 101150 ) M1M2_PR
NEW li1 ( 239890 101150 ) L1M1_PR_MR
NEW met1 ( 238050 99110 ) RECT ( -355 -70 0 70 ) ;
- net220 ( output220 A ) ( _148_ A1 ) ( _463_ Q ) + USE SIGNAL
+ ROUTED met2 ( 131330 227630 ) ( * 228990 )
NEW met1 ( 124430 227630 ) ( 131330 * )
NEW met1 ( 124430 227290 ) ( * 227630 )
NEW met1 ( 131330 232730 ) ( 131790 * )
NEW met2 ( 131330 228990 ) ( * 232730 )
NEW li1 ( 131330 228990 ) L1M1_PR_MR
NEW met1 ( 131330 228990 ) M1M2_PR
NEW met1 ( 131330 227630 ) M1M2_PR
NEW li1 ( 124430 227290 ) L1M1_PR_MR
NEW li1 ( 131790 232730 ) L1M1_PR_MR
NEW met1 ( 131330 232730 ) M1M2_PR
NEW met1 ( 131330 228990 ) RECT ( -355 -70 0 70 ) ;
- net221 ( output221 A ) ( _147_ A1 ) ( _464_ Q ) + USE SIGNAL
+ ROUTED met1 ( 131790 217090 ) ( 137770 * )
NEW met2 ( 137770 217090 ) ( * 232730 )
NEW met1 ( 137770 232730 ) ( 140530 * )
NEW met1 ( 124890 213350 ) ( 131790 * )
NEW met2 ( 131790 213350 ) ( * 217090 )
NEW li1 ( 131790 217090 ) L1M1_PR_MR
NEW met1 ( 137770 217090 ) M1M2_PR
NEW met1 ( 137770 232730 ) M1M2_PR
NEW li1 ( 140530 232730 ) L1M1_PR_MR
NEW li1 ( 124890 213350 ) L1M1_PR_MR
NEW met1 ( 131790 213350 ) M1M2_PR
NEW met1 ( 131790 217090 ) M1M2_PR
NEW met1 ( 131790 217090 ) RECT ( -595 -70 0 70 ) ;
- net222 ( output222 A ) ( _145_ A1 ) ( _465_ Q ) + USE SIGNAL
+ ROUTED met2 ( 132250 220830 ) ( * 229330 )
NEW met1 ( 132250 229330 ) ( 142830 * )
NEW met1 ( 142830 229330 ) ( * 229670 )
NEW met1 ( 124890 218790 ) ( 132250 * )
NEW met2 ( 132250 218790 ) ( * 220830 )
NEW li1 ( 132250 220830 ) L1M1_PR_MR
NEW met1 ( 132250 220830 ) M1M2_PR
NEW met1 ( 132250 229330 ) M1M2_PR
NEW li1 ( 142830 229670 ) L1M1_PR_MR
NEW li1 ( 124890 218790 ) L1M1_PR_MR
NEW met1 ( 132250 218790 ) M1M2_PR
NEW met1 ( 132250 220830 ) RECT ( -355 -70 0 70 ) ;
- net223 ( output223 A ) ( _142_ A1 ) ( _466_ Q ) + USE SIGNAL
+ ROUTED met1 ( 147890 217090 ) ( 149270 * )
NEW met2 ( 149270 217090 ) ( * 232730 )
NEW met1 ( 142370 218790 ) ( * 219130 )
NEW met1 ( 142370 219130 ) ( 149270 * )
NEW li1 ( 147890 217090 ) L1M1_PR_MR
NEW met1 ( 149270 217090 ) M1M2_PR
NEW li1 ( 149270 232730 ) L1M1_PR_MR
NEW met1 ( 149270 232730 ) M1M2_PR
NEW li1 ( 142370 218790 ) L1M1_PR_MR
NEW met1 ( 149270 219130 ) M1M2_PR
NEW met1 ( 149270 232730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 149270 219130 ) RECT ( -70 -485 70 0 ) ;
- net224 ( output224 A ) ( _141_ A1 ) ( _467_ Q ) + USE SIGNAL
+ ROUTED met1 ( 147890 227970 ) ( 152490 * )
NEW met2 ( 152490 227970 ) ( * 229670 )
NEW met1 ( 152490 229670 ) ( 155250 * )
NEW met2 ( 142370 224230 ) ( * 227970 )
NEW met1 ( 142370 227970 ) ( 147890 * )
NEW li1 ( 147890 227970 ) L1M1_PR_MR
NEW met1 ( 152490 227970 ) M1M2_PR
NEW met1 ( 152490 229670 ) M1M2_PR
NEW li1 ( 155250 229670 ) L1M1_PR_MR
NEW li1 ( 142370 224230 ) L1M1_PR_MR
NEW met1 ( 142370 224230 ) M1M2_PR
NEW met1 ( 142370 227970 ) M1M2_PR
NEW met1 ( 142370 224230 ) RECT ( 0 -70 355 70 ) ;
- net225 ( output225 A ) ( _140_ A1 ) ( _468_ Q ) + USE SIGNAL
+ ROUTED met2 ( 158930 224910 ) ( * 232730 )
NEW met1 ( 158930 232730 ) ( 161690 * )
NEW met1 ( 153410 221850 ) ( 153495 * )
NEW met1 ( 153410 221840 ) ( * 221850 )
NEW met1 ( 153410 221840 ) ( 153870 * )
NEW met1 ( 153870 221840 ) ( * 222190 )
NEW met1 ( 153870 222190 ) ( 158930 * )
NEW met2 ( 158930 222190 ) ( * 224910 )
NEW li1 ( 158930 224910 ) L1M1_PR_MR
NEW met1 ( 158930 224910 ) M1M2_PR
NEW met1 ( 158930 232730 ) M1M2_PR
NEW li1 ( 161690 232730 ) L1M1_PR_MR
NEW li1 ( 153495 221850 ) L1M1_PR_MR
NEW met1 ( 158930 222190 ) M1M2_PR
NEW met1 ( 158930 224910 ) RECT ( -355 -70 0 70 ) ;
- net226 ( output226 A ) ( _139_ A1 ) ( _469_ Q ) + USE SIGNAL
+ ROUTED met1 ( 165830 228990 ) ( 166290 * )
NEW met2 ( 165830 227290 ) ( * 228990 )
NEW met1 ( 169510 234770 ) ( * 235110 )
NEW met1 ( 165830 234770 ) ( 169510 * )
NEW met2 ( 165830 228990 ) ( * 234770 )
NEW met1 ( 157090 227290 ) ( 165830 * )
NEW li1 ( 166290 228990 ) L1M1_PR_MR
NEW met1 ( 165830 228990 ) M1M2_PR
NEW met1 ( 165830 227290 ) M1M2_PR
NEW li1 ( 169510 235110 ) L1M1_PR_MR
NEW met1 ( 165830 234770 ) M1M2_PR
NEW li1 ( 157090 227290 ) L1M1_PR_MR ;
- net227 ( output227 A ) ( _136_ A1 ) ( _470_ Q ) + USE SIGNAL
+ ROUTED met2 ( 173190 226270 ) ( * 234770 )
NEW met1 ( 173190 234770 ) ( 181930 * )
NEW met1 ( 181930 234770 ) ( * 235110 )
NEW met1 ( 166750 224230 ) ( 173190 * )
NEW met2 ( 173190 224230 ) ( * 226270 )
NEW li1 ( 173190 226270 ) L1M1_PR_MR
NEW met1 ( 173190 226270 ) M1M2_PR
NEW met1 ( 173190 234770 ) M1M2_PR
NEW li1 ( 181930 235110 ) L1M1_PR_MR
NEW li1 ( 166750 224230 ) L1M1_PR_MR
NEW met1 ( 173190 224230 ) M1M2_PR
NEW met1 ( 173190 226270 ) RECT ( 0 -70 355 70 ) ;
- net228 ( output228 A ) ( _132_ A1 ) ( _471_ Q ) + USE SIGNAL
+ ROUTED met2 ( 181470 214030 ) ( * 232730 )
NEW met1 ( 181470 232730 ) ( 181930 * )
NEW met1 ( 175950 218790 ) ( * 218800 )
NEW met1 ( 175490 218800 ) ( 175950 * )
NEW met1 ( 175490 218450 ) ( * 218800 )
NEW met1 ( 175490 218450 ) ( 181470 * )
NEW li1 ( 181470 214030 ) L1M1_PR_MR
NEW met1 ( 181470 214030 ) M1M2_PR
NEW met1 ( 181470 232730 ) M1M2_PR
NEW li1 ( 181930 232730 ) L1M1_PR_MR
NEW li1 ( 175950 218790 ) L1M1_PR_MR
NEW met1 ( 181470 218450 ) M1M2_PR
NEW met1 ( 181470 214030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 181470 218450 ) RECT ( -70 -485 70 0 ) ;
- net229 ( output229 A ) ( _131_ A1 ) ( _472_ Q ) + USE SIGNAL
+ ROUTED met2 ( 186990 224230 ) ( * 228990 )
NEW met1 ( 181470 224230 ) ( 186990 * )
NEW met1 ( 186530 232730 ) ( 186990 * )
NEW met2 ( 186990 228990 ) ( * 232730 )
NEW li1 ( 186990 228990 ) L1M1_PR_MR
NEW met1 ( 186990 228990 ) M1M2_PR
NEW met1 ( 186990 224230 ) M1M2_PR
NEW li1 ( 181470 224230 ) L1M1_PR_MR
NEW li1 ( 186530 232730 ) L1M1_PR_MR
NEW met1 ( 186990 232730 ) M1M2_PR
NEW met1 ( 186990 228990 ) RECT ( -355 -70 0 70 ) ;
- net23 ( input23 X ) ( _190_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 226090 25330 ) ( * 26010 )
NEW met1 ( 217350 25330 ) ( 226090 * )
NEW li1 ( 226090 26010 ) L1M1_PR_MR
NEW li1 ( 217350 25330 ) L1M1_PR_MR ;
- net230 ( output230 A ) ( _175_ A1 ) ( _445_ Q ) + USE SIGNAL
+ ROUTED met2 ( 13570 224230 ) ( * 226270 )
NEW met1 ( 20930 226270 ) ( * 226610 )
NEW met2 ( 20930 226610 ) ( * 230690 )
NEW met2 ( 20470 230690 ) ( 20930 * )
NEW met2 ( 20470 230690 ) ( * 232220 )
NEW met2 ( 20470 232220 ) ( 20930 * )
NEW met2 ( 20930 232220 ) ( * 232730 )
NEW met1 ( 12190 226270 ) ( 20930 * )
NEW li1 ( 12190 226270 ) L1M1_PR_MR
NEW li1 ( 13570 224230 ) L1M1_PR_MR
NEW met1 ( 13570 224230 ) M1M2_PR
NEW met1 ( 13570 226270 ) M1M2_PR
NEW met1 ( 20930 226610 ) M1M2_PR
NEW li1 ( 20930 232730 ) L1M1_PR_MR
NEW met1 ( 20930 232730 ) M1M2_PR
NEW met1 ( 13570 224230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 13570 226270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 20930 232730 ) RECT ( -355 -70 0 70 ) ;
- net231 ( output231 A ) ( _130_ A1 ) ( _473_ Q ) + USE SIGNAL
+ ROUTED met2 ( 193430 226270 ) ( * 227290 )
NEW met1 ( 182390 227290 ) ( 193430 * )
NEW met1 ( 193890 235110 ) ( 194350 * )
NEW met2 ( 193890 227290 ) ( * 235110 )
NEW met2 ( 193430 227290 ) ( 193890 * )
NEW li1 ( 193430 226270 ) L1M1_PR_MR
NEW met1 ( 193430 226270 ) M1M2_PR
NEW met1 ( 193430 227290 ) M1M2_PR
NEW li1 ( 182390 227290 ) L1M1_PR_MR
NEW li1 ( 194350 235110 ) L1M1_PR_MR
NEW met1 ( 193890 235110 ) M1M2_PR
NEW met1 ( 193430 226270 ) RECT ( -355 -70 0 70 ) ;
- net232 ( output232 A ) ( _129_ A1 ) ( _474_ Q ) + USE SIGNAL
+ ROUTED met1 ( 188830 219470 ) ( 198950 * )
NEW met2 ( 198950 219470 ) ( * 232730 )
NEW met1 ( 181010 216410 ) ( 193430 * )
NEW met2 ( 193430 216410 ) ( * 219470 )
NEW li1 ( 188830 219470 ) L1M1_PR_MR
NEW met1 ( 198950 219470 ) M1M2_PR
NEW li1 ( 198950 232730 ) L1M1_PR_MR
NEW met1 ( 198950 232730 ) M1M2_PR
NEW li1 ( 181010 216410 ) L1M1_PR_MR
NEW met1 ( 193430 216410 ) M1M2_PR
NEW met1 ( 193430 219470 ) M1M2_PR
NEW met1 ( 198950 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 193430 219470 ) RECT ( -595 -70 0 70 ) ;
- net233 ( output233 A ) ( _435_ X ) + USE SIGNAL
+ ROUTED met1 ( 204930 230690 ) ( 206770 * )
NEW met2 ( 206770 230690 ) ( * 235110 )
NEW li1 ( 204930 230690 ) L1M1_PR_MR
NEW met1 ( 206770 230690 ) M1M2_PR
NEW li1 ( 206770 235110 ) L1M1_PR_MR
NEW met1 ( 206770 235110 ) M1M2_PR
NEW met1 ( 206770 235110 ) RECT ( -355 -70 0 70 ) ;
- net234 ( output234 A ) ( _436_ X ) + USE SIGNAL
+ ROUTED met2 ( 212290 227970 ) ( * 232730 )
NEW li1 ( 212290 227970 ) L1M1_PR_MR
NEW met1 ( 212290 227970 ) M1M2_PR
NEW li1 ( 212290 232730 ) L1M1_PR_MR
NEW met1 ( 212290 232730 ) M1M2_PR
NEW met1 ( 212290 227970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 212290 232730 ) RECT ( -355 -70 0 70 ) ;
- net235 ( output235 A ) ( _437_ X ) + USE SIGNAL
+ ROUTED met1 ( 217350 227970 ) ( 217810 * )
NEW met2 ( 217810 227970 ) ( * 232730 )
NEW li1 ( 217350 227970 ) L1M1_PR_MR
NEW met1 ( 217810 227970 ) M1M2_PR
NEW li1 ( 217810 232730 ) L1M1_PR_MR
NEW met1 ( 217810 232730 ) M1M2_PR
NEW met1 ( 217810 232730 ) RECT ( -355 -70 0 70 ) ;
- net236 ( output236 A ) ( _438_ X ) + USE SIGNAL
+ ROUTED met1 ( 222870 227970 ) ( 223330 * )
NEW met2 ( 222870 227970 ) ( * 232730 )
NEW li1 ( 223330 227970 ) L1M1_PR_MR
NEW met1 ( 222870 227970 ) M1M2_PR
NEW li1 ( 222870 232730 ) L1M1_PR_MR
NEW met1 ( 222870 232730 ) M1M2_PR
NEW met1 ( 222870 232730 ) RECT ( -355 -70 0 70 ) ;
- net237 ( output237 A ) ( _439_ X ) + USE SIGNAL
+ ROUTED met2 ( 230230 225250 ) ( * 227290 )
NEW li1 ( 230230 225250 ) L1M1_PR_MR
NEW met1 ( 230230 225250 ) M1M2_PR
NEW li1 ( 230230 227290 ) L1M1_PR_MR
NEW met1 ( 230230 227290 ) M1M2_PR
NEW met1 ( 230230 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 230230 227290 ) RECT ( -355 -70 0 70 ) ;
- net238 ( output238 A ) ( _127_ B1 ) ( _435_ A ) ( _436_ A ) ( _437_ A ) ( _438_ A ) ( _439_ A )
( _475_ Q ) + USE SIGNAL
+ ROUTED met1 ( 165370 218450 ) ( * 218790 )
NEW met1 ( 165370 218450 ) ( 170430 * )
NEW met2 ( 170430 214370 ) ( * 218450 )
NEW met1 ( 229310 224230 ) ( * 224570 )
NEW met1 ( 229310 224570 ) ( 236670 * )
NEW met2 ( 236670 218790 ) ( * 224570 )
NEW met2 ( 222410 224570 ) ( * 227290 )
NEW met1 ( 222410 224570 ) ( 229310 * )
NEW met1 ( 216430 227290 ) ( 222410 * )
NEW met1 ( 213210 227290 ) ( 216430 * )
NEW met2 ( 207690 227290 ) ( * 229670 )
NEW met1 ( 207690 227290 ) ( 213210 * )
NEW met2 ( 207690 214370 ) ( * 227290 )
NEW met1 ( 170430 214370 ) ( 207690 * )
NEW met1 ( 204010 229670 ) ( 207690 * )
NEW li1 ( 204010 229670 ) L1M1_PR_MR
NEW li1 ( 170430 214370 ) L1M1_PR_MR
NEW li1 ( 165370 218790 ) L1M1_PR_MR
NEW met1 ( 170430 218450 ) M1M2_PR
NEW met1 ( 170430 214370 ) M1M2_PR
NEW li1 ( 229310 224230 ) L1M1_PR_MR
NEW met1 ( 236670 224570 ) M1M2_PR
NEW li1 ( 236670 218790 ) L1M1_PR_MR
NEW met1 ( 236670 218790 ) M1M2_PR
NEW li1 ( 222410 227290 ) L1M1_PR_MR
NEW met1 ( 222410 227290 ) M1M2_PR
NEW met1 ( 222410 224570 ) M1M2_PR
NEW li1 ( 216430 227290 ) L1M1_PR_MR
NEW li1 ( 213210 227290 ) L1M1_PR_MR
NEW met1 ( 207690 229670 ) M1M2_PR
NEW met1 ( 207690 227290 ) M1M2_PR
NEW met1 ( 207690 214370 ) M1M2_PR
NEW met1 ( 170430 214370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 236670 218790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 222410 227290 ) RECT ( -355 -70 0 70 ) ;
- net239 ( output239 A ) ( _174_ A1 ) ( _446_ Q ) + USE SIGNAL
+ ROUTED met2 ( 29210 227290 ) ( * 228990 )
NEW met1 ( 25530 227290 ) ( 29210 * )
NEW met1 ( 27830 235110 ) ( 29210 * )
NEW met2 ( 29210 228990 ) ( * 235110 )
NEW li1 ( 29210 228990 ) L1M1_PR_MR
NEW met1 ( 29210 228990 ) M1M2_PR
NEW met1 ( 29210 227290 ) M1M2_PR
NEW li1 ( 25530 227290 ) L1M1_PR_MR
NEW li1 ( 27830 235110 ) L1M1_PR_MR
NEW met1 ( 29210 235110 ) M1M2_PR
NEW met1 ( 29210 228990 ) RECT ( -355 -70 0 70 ) ;
- net24 ( input24 X ) ( _228_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 96730 ) ( * 104210 )
NEW met1 ( 232530 104210 ) ( 239890 * )
NEW met1 ( 239890 103870 ) ( * 104210 )
NEW li1 ( 232530 96730 ) L1M1_PR_MR
NEW met1 ( 232530 96730 ) M1M2_PR
NEW met1 ( 232530 104210 ) M1M2_PR
NEW li1 ( 239890 103870 ) L1M1_PR_MR
NEW met1 ( 232530 96730 ) RECT ( -355 -70 0 70 ) ;
- net240 ( output240 A ) ( _173_ A1 ) ( _447_ Q ) + USE SIGNAL
+ ROUTED met1 ( 29210 217090 ) ( 31970 * )
NEW met2 ( 31970 217090 ) ( * 232730 )
NEW met1 ( 26910 213350 ) ( 29210 * )
NEW met2 ( 29210 213350 ) ( * 217090 )
NEW li1 ( 29210 217090 ) L1M1_PR_MR
NEW met1 ( 31970 217090 ) M1M2_PR
NEW li1 ( 31970 232730 ) L1M1_PR_MR
NEW met1 ( 31970 232730 ) M1M2_PR
NEW li1 ( 26910 213350 ) L1M1_PR_MR
NEW met1 ( 29210 213350 ) M1M2_PR
NEW met1 ( 29210 217090 ) M1M2_PR
NEW met1 ( 31970 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 29210 217090 ) RECT ( -595 -70 0 70 ) ;
- net241 ( output241 A ) ( _172_ A1 ) ( _448_ Q ) + USE SIGNAL
+ ROUTED met2 ( 38870 227630 ) ( * 228990 )
NEW met1 ( 33350 227630 ) ( 38870 * )
NEW met1 ( 33350 227290 ) ( * 227630 )
NEW met1 ( 37950 232730 ) ( 38870 * )
NEW met2 ( 38870 228990 ) ( * 232730 )
NEW li1 ( 38870 228990 ) L1M1_PR_MR
NEW met1 ( 38870 228990 ) M1M2_PR
NEW met1 ( 38870 227630 ) M1M2_PR
NEW li1 ( 33350 227290 ) L1M1_PR_MR
NEW li1 ( 37950 232730 ) L1M1_PR_MR
NEW met1 ( 38870 232730 ) M1M2_PR
NEW met1 ( 38870 228990 ) RECT ( -355 -70 0 70 ) ;
- net242 ( output242 A ) ( _171_ A1 ) ( _449_ Q ) + USE SIGNAL
+ ROUTED met2 ( 40710 217090 ) ( * 232730 )
NEW met1 ( 40710 232730 ) ( 43010 * )
NEW met1 ( 34730 213350 ) ( * 213690 )
NEW met1 ( 34730 213690 ) ( 40710 * )
NEW met2 ( 40710 213690 ) ( * 217090 )
NEW li1 ( 40710 217090 ) L1M1_PR_MR
NEW met1 ( 40710 217090 ) M1M2_PR
NEW met1 ( 40710 232730 ) M1M2_PR
NEW li1 ( 43010 232730 ) L1M1_PR_MR
NEW li1 ( 34730 213350 ) L1M1_PR_MR
NEW met1 ( 40710 213690 ) M1M2_PR
NEW met1 ( 40710 217090 ) RECT ( -355 -70 0 70 ) ;
- net243 ( output243 A ) ( _169_ A1 ) ( _450_ Q ) + USE SIGNAL
+ ROUTED met1 ( 49450 228990 ) ( 51750 * )
NEW met2 ( 49450 227290 ) ( * 228990 )
NEW met1 ( 45770 227290 ) ( 49450 * )
NEW met1 ( 49450 232730 ) ( 50830 * )
NEW met2 ( 49450 228990 ) ( * 232730 )
NEW li1 ( 51750 228990 ) L1M1_PR_MR
NEW met1 ( 49450 228990 ) M1M2_PR
NEW met1 ( 49450 227290 ) M1M2_PR
NEW li1 ( 45770 227290 ) L1M1_PR_MR
NEW li1 ( 50830 232730 ) L1M1_PR_MR
NEW met1 ( 49450 232730 ) M1M2_PR ;
- net244 ( output244 A ) ( _166_ A1 ) ( _451_ Q ) + USE SIGNAL
+ ROUTED met2 ( 58650 221850 ) ( * 232730 )
NEW met1 ( 54970 232730 ) ( 58650 * )
NEW met1 ( 52670 218110 ) ( 58650 * )
NEW met2 ( 58650 218110 ) ( * 221850 )
NEW li1 ( 58650 221850 ) L1M1_PR_MR
NEW met1 ( 58650 221850 ) M1M2_PR
NEW met1 ( 58650 232730 ) M1M2_PR
NEW li1 ( 54970 232730 ) L1M1_PR_MR
NEW li1 ( 52670 218110 ) L1M1_PR_MR
NEW met1 ( 58650 218110 ) M1M2_PR
NEW met1 ( 58650 221850 ) RECT ( -355 -70 0 70 ) ;
- net245 ( output245 A ) ( _165_ A1 ) ( _452_ Q ) + USE SIGNAL
+ ROUTED met1 ( 62330 230690 ) ( 64170 * )
NEW met2 ( 64170 230690 ) ( * 232730 )
NEW met2 ( 64170 227630 ) ( * 230690 )
NEW met1 ( 58650 227290 ) ( * 227630 )
NEW met1 ( 58650 227630 ) ( 64170 * )
NEW li1 ( 62330 230690 ) L1M1_PR_MR
NEW met1 ( 64170 230690 ) M1M2_PR
NEW li1 ( 64170 232730 ) L1M1_PR_MR
NEW met1 ( 64170 232730 ) M1M2_PR
NEW met1 ( 64170 227630 ) M1M2_PR
NEW li1 ( 58650 227290 ) L1M1_PR_MR
NEW met1 ( 64170 232730 ) RECT ( -355 -70 0 70 ) ;
- net246 ( output246 A ) ( _117_ D_N ) ( _178_ D ) ( _442_ Q ) + USE SIGNAL
+ ROUTED met1 ( 20930 28050 ) ( 21850 * )
NEW met1 ( 20930 27710 ) ( * 28050 )
NEW met1 ( 15870 27710 ) ( 20930 * )
NEW met1 ( 15870 27710 ) ( * 28050 )
NEW met1 ( 10810 28050 ) ( 15870 * )
NEW met2 ( 10810 26010 ) ( * 28050 )
NEW met1 ( 8510 26010 ) ( 10810 * )
NEW met1 ( 22310 21250 ) ( 28290 * )
NEW met2 ( 22310 21250 ) ( * 22100 )
NEW met2 ( 22310 22100 ) ( 22770 * )
NEW met2 ( 22770 22100 ) ( * 23460 )
NEW met3 ( 22770 23460 ) ( 23460 * )
NEW met3 ( 23460 23460 ) ( * 25500 )
NEW met3 ( 20930 25500 ) ( 23460 * )
NEW met3 ( 20930 25500 ) ( * 26860 )
NEW met2 ( 20930 26860 ) ( * 27710 )
NEW met1 ( 33810 15130 ) ( 35190 * )
NEW met1 ( 35190 14450 ) ( * 15130 )
NEW met1 ( 35190 14450 ) ( 35650 * )
NEW met2 ( 35650 13260 ) ( * 14450 )
NEW met3 ( 28060 13260 ) ( 35650 * )
NEW met4 ( 28060 13260 ) ( * 20740 )
NEW met3 ( 22310 20740 ) ( 28060 * )
NEW met2 ( 22310 20740 ) ( * 21250 )
NEW li1 ( 21850 28050 ) L1M1_PR_MR
NEW met1 ( 10810 28050 ) M1M2_PR
NEW met1 ( 10810 26010 ) M1M2_PR
NEW li1 ( 8510 26010 ) L1M1_PR_MR
NEW li1 ( 28290 21250 ) L1M1_PR_MR
NEW met1 ( 22310 21250 ) M1M2_PR
NEW met2 ( 22770 23460 ) M2M3_PR_M
NEW met2 ( 20930 26860 ) M2M3_PR_M
NEW met1 ( 20930 27710 ) M1M2_PR
NEW li1 ( 33810 15130 ) L1M1_PR_MR
NEW met1 ( 35650 14450 ) M1M2_PR
NEW met2 ( 35650 13260 ) M2M3_PR_M
NEW met3 ( 28060 13260 ) M3M4_PR_M
NEW met3 ( 28060 20740 ) M3M4_PR_M
NEW met2 ( 22310 20740 ) M2M3_PR_M
NEW met1 ( 20930 27710 ) RECT ( -595 -70 0 70 ) ;
- net247 ( ANTENNA_output247_A DIODE ) ( output247 A ) ( _188_ X ) + USE SIGNAL
+ ROUTED met1 ( 8050 55250 ) ( 8970 * )
NEW met2 ( 225170 22950 ) ( * 29070 )
NEW met1 ( 8510 28390 ) ( 11730 * )
NEW met1 ( 11730 28390 ) ( * 29070 )
NEW met1 ( 11730 29070 ) ( 31050 * )
NEW met1 ( 31050 28730 ) ( * 29070 )
NEW met1 ( 31050 28730 ) ( 32430 * )
NEW met1 ( 32430 28730 ) ( * 29410 )
NEW met1 ( 32430 29410 ) ( 34270 * )
NEW met1 ( 34270 29070 ) ( * 29410 )
NEW met2 ( 7590 36380 ) ( 8050 * )
NEW met2 ( 7590 28390 ) ( * 36380 )
NEW met1 ( 7590 28390 ) ( 8510 * )
NEW met2 ( 8050 36380 ) ( * 55250 )
NEW met1 ( 34270 29070 ) ( 225170 * )
NEW met1 ( 8050 55250 ) M1M2_PR
NEW li1 ( 8970 55250 ) L1M1_PR_MR
NEW met1 ( 225170 29070 ) M1M2_PR
NEW li1 ( 225170 22950 ) L1M1_PR_MR
NEW met1 ( 225170 22950 ) M1M2_PR
NEW li1 ( 8510 28390 ) L1M1_PR_MR
NEW met1 ( 7590 28390 ) M1M2_PR
NEW met1 ( 225170 22950 ) RECT ( -355 -70 0 70 ) ;
- net248 ( ANTENNA_output248_A DIODE ) ( output248 A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met2 ( 188370 42670 ) ( * 44370 )
NEW met2 ( 25990 42670 ) ( * 49470 )
NEW met1 ( 25530 28390 ) ( 27370 * )
NEW met1 ( 27370 28390 ) ( * 28730 )
NEW met2 ( 27370 28730 ) ( * 30260 )
NEW met3 ( 26220 30260 ) ( 27370 * )
NEW met4 ( 26220 30260 ) ( * 36380 )
NEW met3 ( 25070 36380 ) ( 26220 * )
NEW met2 ( 25070 36380 ) ( * 42670 )
NEW met1 ( 25070 42670 ) ( 25990 * )
NEW met1 ( 25990 42670 ) ( 188370 * )
NEW met1 ( 188370 44370 ) ( 234830 * )
NEW met1 ( 188370 42670 ) M1M2_PR
NEW met1 ( 188370 44370 ) M1M2_PR
NEW li1 ( 25990 49470 ) L1M1_PR_MR
NEW met1 ( 25990 49470 ) M1M2_PR
NEW met1 ( 25990 42670 ) M1M2_PR
NEW li1 ( 25530 28390 ) L1M1_PR_MR
NEW met1 ( 27370 28730 ) M1M2_PR
NEW met2 ( 27370 30260 ) M2M3_PR_M
NEW met3 ( 26220 30260 ) M3M4_PR_M
NEW met3 ( 26220 36380 ) M3M4_PR_M
NEW met2 ( 25070 36380 ) M2M3_PR_M
NEW met1 ( 25070 42670 ) M1M2_PR
NEW li1 ( 234830 44370 ) L1M1_PR_MR
NEW met1 ( 25990 49470 ) RECT ( -355 -70 0 70 ) ;
- net249 ( ANTENNA_output249_A DIODE ) ( output249 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 83950 27540 ) ( * 36550 )
NEW met3 ( 62100 27540 ) ( 83950 * )
NEW met1 ( 28750 26010 ) ( 30590 * )
NEW met1 ( 30590 26010 ) ( * 26690 )
NEW met1 ( 30590 26690 ) ( 34730 * )
NEW met2 ( 34730 26690 ) ( * 26860 )
NEW met3 ( 34730 26860 ) ( 38180 * )
NEW met3 ( 38180 26180 ) ( * 26860 )
NEW met3 ( 38180 26180 ) ( 62100 * )
NEW met3 ( 62100 26180 ) ( * 27540 )
NEW met1 ( 27830 49470 ) ( 29210 * )
NEW met2 ( 27830 33660 ) ( * 49470 )
NEW met3 ( 27830 33660 ) ( 28060 * )
NEW met4 ( 28060 26860 ) ( * 33660 )
NEW met3 ( 28060 26860 ) ( 34730 * )
NEW met1 ( 83950 36550 ) ( 229310 * )
NEW met2 ( 83950 27540 ) M2M3_PR_M
NEW met1 ( 83950 36550 ) M1M2_PR
NEW li1 ( 28750 26010 ) L1M1_PR_MR
NEW met1 ( 34730 26690 ) M1M2_PR
NEW met2 ( 34730 26860 ) M2M3_PR_M
NEW li1 ( 29210 49470 ) L1M1_PR_MR
NEW met1 ( 27830 49470 ) M1M2_PR
NEW met2 ( 27830 33660 ) M2M3_PR_M
NEW met3 ( 28060 33660 ) M3M4_PR_M
NEW met3 ( 28060 26860 ) M3M4_PR_M
NEW li1 ( 229310 36550 ) L1M1_PR_MR
NEW met3 ( 27830 33660 ) RECT ( -390 -150 0 150 ) ;
- net25 ( input25 X ) ( _229_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 229770 99110 ) ( * 105230 )
NEW met1 ( 229770 105230 ) ( 236670 * )
NEW li1 ( 229770 99110 ) L1M1_PR_MR
NEW met1 ( 229770 99110 ) M1M2_PR
NEW met1 ( 229770 105230 ) M1M2_PR
NEW li1 ( 236670 105230 ) L1M1_PR_MR
NEW met1 ( 229770 99110 ) RECT ( -355 -70 0 70 ) ;
- net250 ( ANTENNA_output250_A DIODE ) ( output250 A ) ( _204_ X ) + USE SIGNAL
+ ROUTED met1 ( 203550 42670 ) ( * 43010 )
NEW met1 ( 203550 42670 ) ( 229310 * )
NEW met1 ( 40710 44370 ) ( 44850 * )
NEW met2 ( 40710 33150 ) ( * 44370 )
NEW met2 ( 40710 33150 ) ( 41170 * )
NEW met2 ( 41170 30430 ) ( * 33150 )
NEW met1 ( 41170 30430 ) ( 42090 * )
NEW met2 ( 42090 23290 ) ( * 30430 )
NEW met2 ( 41630 23290 ) ( 42090 * )
NEW met1 ( 41630 23290 ) ( 42090 * )
NEW met1 ( 42090 22950 ) ( * 23290 )
NEW met2 ( 44850 43010 ) ( * 44370 )
NEW met1 ( 44850 43010 ) ( 203550 * )
NEW li1 ( 229310 42670 ) L1M1_PR_MR
NEW li1 ( 44850 44370 ) L1M1_PR_MR
NEW met1 ( 40710 44370 ) M1M2_PR
NEW met1 ( 41170 30430 ) M1M2_PR
NEW met1 ( 42090 30430 ) M1M2_PR
NEW met1 ( 41630 23290 ) M1M2_PR
NEW li1 ( 42090 22950 ) L1M1_PR_MR
NEW met1 ( 44850 43010 ) M1M2_PR
NEW met1 ( 44850 44370 ) M1M2_PR
NEW met1 ( 44850 44370 ) RECT ( -595 -70 0 70 ) ;
- net251 ( ANTENNA_output251_A DIODE ) ( output251 A ) ( _205_ X ) + USE SIGNAL
+ ROUTED met2 ( 32430 48450 ) ( * 49470 )
NEW met2 ( 235290 48450 ) ( * 49470 )
NEW met1 ( 32430 48450 ) ( 235290 * )
NEW met2 ( 31510 36380 ) ( 32430 * )
NEW met2 ( 31510 32980 ) ( * 36380 )
NEW met2 ( 31050 32980 ) ( 31510 * )
NEW met2 ( 31050 30430 ) ( * 32980 )
NEW met1 ( 28750 30430 ) ( 31050 * )
NEW met2 ( 28750 28390 ) ( * 30430 )
NEW met1 ( 28750 28390 ) ( 29210 * )
NEW met2 ( 32430 36380 ) ( * 48450 )
NEW li1 ( 32430 49470 ) L1M1_PR_MR
NEW met1 ( 32430 49470 ) M1M2_PR
NEW met1 ( 32430 48450 ) M1M2_PR
NEW met1 ( 235290 48450 ) M1M2_PR
NEW li1 ( 235290 49470 ) L1M1_PR_MR
NEW met1 ( 235290 49470 ) M1M2_PR
NEW met1 ( 31050 30430 ) M1M2_PR
NEW met1 ( 28750 30430 ) M1M2_PR
NEW met1 ( 28750 28390 ) M1M2_PR
NEW li1 ( 29210 28390 ) L1M1_PR_MR
NEW met1 ( 32430 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235290 49470 ) RECT ( -355 -70 0 70 ) ;
- net252 ( ANTENNA_output252_A DIODE ) ( output252 A ) ( _206_ X ) + USE SIGNAL
+ ROUTED met2 ( 229310 45730 ) ( * 47430 )
NEW met1 ( 33810 46750 ) ( 34730 * )
NEW met1 ( 33810 45730 ) ( 229310 * )
NEW met1 ( 33810 28390 ) ( * 29070 )
NEW met1 ( 32950 28390 ) ( 33810 * )
NEW met2 ( 33810 29070 ) ( * 46750 )
NEW met1 ( 229310 45730 ) M1M2_PR
NEW li1 ( 229310 47430 ) L1M1_PR_MR
NEW met1 ( 229310 47430 ) M1M2_PR
NEW li1 ( 34730 46750 ) L1M1_PR_MR
NEW met1 ( 33810 46750 ) M1M2_PR
NEW met1 ( 33810 45730 ) M1M2_PR
NEW met1 ( 33810 29070 ) M1M2_PR
NEW li1 ( 32950 28390 ) L1M1_PR_MR
NEW met1 ( 229310 47430 ) RECT ( -355 -70 0 70 )
NEW met2 ( 33810 45730 ) RECT ( -70 -485 70 0 ) ;
- net253 ( ANTENNA_output253_A DIODE ) ( output253 A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met1 ( 42090 28390 ) ( * 28730 )
NEW met1 ( 76590 55250 ) ( 234830 * )
NEW met1 ( 73830 29410 ) ( 76590 * )
NEW met2 ( 54970 28730 ) ( * 28900 )
NEW met3 ( 54970 28900 ) ( 61410 * )
NEW met2 ( 61410 28900 ) ( * 29410 )
NEW met1 ( 61410 29410 ) ( 73830 * )
NEW met1 ( 42090 28730 ) ( 54970 * )
NEW met2 ( 76590 29410 ) ( * 55250 )
NEW met1 ( 76590 55250 ) M1M2_PR
NEW li1 ( 42090 28390 ) L1M1_PR_MR
NEW li1 ( 234830 55250 ) L1M1_PR_MR
NEW li1 ( 73830 29410 ) L1M1_PR_MR
NEW met1 ( 76590 29410 ) M1M2_PR
NEW met1 ( 54970 28730 ) M1M2_PR
NEW met2 ( 54970 28900 ) M2M3_PR_M
NEW met2 ( 61410 28900 ) M2M3_PR_M
NEW met1 ( 61410 29410 ) M1M2_PR ;
- net254 ( ANTENNA_output254_A DIODE ) ( output254 A ) ( _210_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 26010 ) ( * 26690 )
NEW met2 ( 54510 15130 ) ( * 26690 )
NEW met1 ( 54510 15130 ) ( 54970 * )
NEW met1 ( 54510 26690 ) ( 76590 * )
NEW met2 ( 126270 26010 ) ( * 59330 )
NEW met1 ( 76590 26010 ) ( 126270 * )
NEW met2 ( 235290 59330 ) ( * 60350 )
NEW met1 ( 126270 59330 ) ( 235290 * )
NEW li1 ( 76590 26010 ) L1M1_PR_MR
NEW met1 ( 54510 26690 ) M1M2_PR
NEW met1 ( 54510 15130 ) M1M2_PR
NEW li1 ( 54970 15130 ) L1M1_PR_MR
NEW met1 ( 126270 26010 ) M1M2_PR
NEW met1 ( 126270 59330 ) M1M2_PR
NEW met1 ( 235290 59330 ) M1M2_PR
NEW li1 ( 235290 60350 ) L1M1_PR_MR
NEW met1 ( 235290 60350 ) M1M2_PR
NEW met1 ( 235290 60350 ) RECT ( -355 -70 0 70 ) ;
- net255 ( ANTENNA_output255_A DIODE ) ( output255 A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met1 ( 33350 31450 ) ( 35190 * )
NEW met2 ( 35190 31450 ) ( * 47090 )
NEW met1 ( 35190 47090 ) ( 37950 * )
NEW met2 ( 227930 47090 ) ( * 58310 )
NEW met1 ( 227930 58310 ) ( 229310 * )
NEW met1 ( 37950 47090 ) ( 227930 * )
NEW li1 ( 37950 47090 ) L1M1_PR_MR
NEW li1 ( 33350 31450 ) L1M1_PR_MR
NEW met1 ( 35190 31450 ) M1M2_PR
NEW met1 ( 35190 47090 ) M1M2_PR
NEW met1 ( 227930 47090 ) M1M2_PR
NEW met1 ( 227930 58310 ) M1M2_PR
NEW li1 ( 229310 58310 ) L1M1_PR_MR ;
- net256 ( ANTENNA_output256_A DIODE ) ( output256 A ) ( _212_ X ) + USE SIGNAL
+ ROUTED met2 ( 235290 64770 ) ( * 65790 )
NEW met1 ( 41630 64770 ) ( 235290 * )
NEW met1 ( 40710 48110 ) ( 41630 * )
NEW met2 ( 37030 31450 ) ( * 48110 )
NEW met1 ( 37030 48110 ) ( 40710 * )
NEW met2 ( 41630 48110 ) ( * 64770 )
NEW met1 ( 41630 64770 ) M1M2_PR
NEW met1 ( 235290 64770 ) M1M2_PR
NEW li1 ( 235290 65790 ) L1M1_PR_MR
NEW met1 ( 235290 65790 ) M1M2_PR
NEW li1 ( 40710 48110 ) L1M1_PR_MR
NEW met1 ( 41630 48110 ) M1M2_PR
NEW li1 ( 37030 31450 ) L1M1_PR_MR
NEW met1 ( 37030 31450 ) M1M2_PR
NEW met1 ( 37030 48110 ) M1M2_PR
NEW met1 ( 235290 65790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37030 31450 ) RECT ( -355 -70 0 70 ) ;
- net257 ( ANTENNA_output257_A DIODE ) ( output257 A ) ( _213_ X ) + USE SIGNAL
+ ROUTED met1 ( 179400 44370 ) ( * 45390 )
NEW met1 ( 228390 63750 ) ( 229310 * )
NEW met1 ( 46230 44030 ) ( 47610 * )
NEW met2 ( 46230 28390 ) ( * 44030 )
NEW met1 ( 47610 44030 ) ( * 44370 )
NEW met1 ( 47610 44370 ) ( 179400 * )
NEW met1 ( 179400 45390 ) ( 228390 * )
NEW met2 ( 228390 45390 ) ( * 63750 )
NEW met1 ( 228390 63750 ) M1M2_PR
NEW li1 ( 229310 63750 ) L1M1_PR_MR
NEW li1 ( 47610 44030 ) L1M1_PR_MR
NEW met1 ( 46230 44030 ) M1M2_PR
NEW li1 ( 46230 28390 ) L1M1_PR_MR
NEW met1 ( 46230 28390 ) M1M2_PR
NEW met1 ( 228390 45390 ) M1M2_PR
NEW met1 ( 46230 28390 ) RECT ( -355 -70 0 70 ) ;
- net258 ( ANTENNA_output258_A DIODE ) ( output258 A ) ( _189_ X ) + USE SIGNAL
+ ROUTED met2 ( 13110 34170 ) ( * 55250 )
NEW met1 ( 12190 28390 ) ( 13110 * )
NEW met2 ( 13110 28390 ) ( * 34170 )
NEW met2 ( 85330 20230 ) ( * 33150 )
NEW met1 ( 62100 33150 ) ( 85330 * )
NEW met1 ( 38870 33490 ) ( * 34170 )
NEW met1 ( 38870 33490 ) ( 62100 * )
NEW met1 ( 62100 33150 ) ( * 33490 )
NEW met1 ( 13110 34170 ) ( 38870 * )
NEW met1 ( 85330 20230 ) ( 222870 * )
NEW met1 ( 13110 34170 ) M1M2_PR
NEW li1 ( 13110 55250 ) L1M1_PR_MR
NEW met1 ( 13110 55250 ) M1M2_PR
NEW li1 ( 12190 28390 ) L1M1_PR_MR
NEW met1 ( 13110 28390 ) M1M2_PR
NEW met1 ( 85330 33150 ) M1M2_PR
NEW met1 ( 85330 20230 ) M1M2_PR
NEW li1 ( 222870 20230 ) L1M1_PR_MR
NEW met1 ( 13110 55250 ) RECT ( -355 -70 0 70 ) ;
- net259 ( ANTENNA_output259_A DIODE ) ( output259 A ) ( _216_ X ) + USE SIGNAL
+ ROUTED met1 ( 136850 71570 ) ( 234830 * )
NEW met1 ( 40710 31450 ) ( 42090 * )
NEW met2 ( 42090 31450 ) ( * 47430 )
NEW met1 ( 42090 47430 ) ( 43470 * )
NEW met1 ( 43470 47430 ) ( 136850 * )
NEW met2 ( 136850 47430 ) ( * 71570 )
NEW met1 ( 136850 71570 ) M1M2_PR
NEW li1 ( 234830 71570 ) L1M1_PR_MR
NEW li1 ( 43470 47430 ) L1M1_PR_MR
NEW li1 ( 40710 31450 ) L1M1_PR_MR
NEW met1 ( 42090 31450 ) M1M2_PR
NEW met1 ( 42090 47430 ) M1M2_PR
NEW met1 ( 136850 47430 ) M1M2_PR ;
- net26 ( input26 X ) ( _191_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 221490 22270 ) ( * 22610 )
NEW met1 ( 213670 22270 ) ( 221490 * )
NEW li1 ( 221490 22610 ) L1M1_PR_MR
NEW li1 ( 213670 22270 ) L1M1_PR_MR ;
- net260 ( ANTENNA_output260_A DIODE ) ( output260 A ) ( _217_ X ) + USE SIGNAL
+ ROUTED met2 ( 165830 44710 ) ( * 77690 )
NEW met1 ( 165830 77690 ) ( 234830 * )
NEW met1 ( 48530 44030 ) ( 50370 * )
NEW met2 ( 48530 31450 ) ( * 44030 )
NEW met1 ( 46230 31450 ) ( 48530 * )
NEW met2 ( 48530 44030 ) ( * 44710 )
NEW met1 ( 48530 44710 ) ( 165830 * )
NEW met1 ( 165830 44710 ) M1M2_PR
NEW met1 ( 165830 77690 ) M1M2_PR
NEW li1 ( 234830 77690 ) L1M1_PR_MR
NEW li1 ( 50370 44030 ) L1M1_PR_MR
NEW met1 ( 48530 44030 ) M1M2_PR
NEW met1 ( 48530 31450 ) M1M2_PR
NEW li1 ( 46230 31450 ) L1M1_PR_MR
NEW met1 ( 48530 44710 ) M1M2_PR ;
- net261 ( ANTENNA_output261_A DIODE ) ( output261 A ) ( _218_ X ) + USE SIGNAL
+ ROUTED met2 ( 183310 39270 ) ( * 69190 )
NEW met1 ( 183310 69190 ) ( 229310 * )
NEW met1 ( 76130 27710 ) ( 77050 * )
NEW met2 ( 77050 27710 ) ( * 39270 )
NEW met1 ( 71990 12070 ) ( * 12410 )
NEW met1 ( 71990 12410 ) ( 77050 * )
NEW met2 ( 77050 12410 ) ( * 27710 )
NEW met1 ( 77050 39270 ) ( 183310 * )
NEW met1 ( 183310 39270 ) M1M2_PR
NEW met1 ( 183310 69190 ) M1M2_PR
NEW li1 ( 229310 69190 ) L1M1_PR_MR
NEW li1 ( 76130 27710 ) L1M1_PR_MR
NEW met1 ( 77050 27710 ) M1M2_PR
NEW met1 ( 77050 39270 ) M1M2_PR
NEW li1 ( 71990 12070 ) L1M1_PR_MR
NEW met1 ( 77050 12410 ) M1M2_PR ;
- net262 ( ANTENNA_output262_A DIODE ) ( output262 A ) ( _219_ X ) + USE SIGNAL
+ ROUTED met2 ( 189750 22950 ) ( * 74630 )
NEW met1 ( 179400 22950 ) ( 189750 * )
NEW met1 ( 179400 22610 ) ( * 22950 )
NEW met1 ( 189750 74630 ) ( 229310 * )
NEW met1 ( 96600 22610 ) ( 179400 * )
NEW met2 ( 81650 20230 ) ( * 22270 )
NEW met1 ( 59110 20230 ) ( 81650 * )
NEW met1 ( 59110 20230 ) ( * 20570 )
NEW met1 ( 96600 22270 ) ( * 22610 )
NEW met1 ( 81650 22270 ) ( 96600 * )
NEW met1 ( 189750 22950 ) M1M2_PR
NEW met1 ( 189750 74630 ) M1M2_PR
NEW li1 ( 229310 74630 ) L1M1_PR_MR
NEW li1 ( 81650 22270 ) L1M1_PR_MR
NEW met1 ( 81650 22270 ) M1M2_PR
NEW met1 ( 81650 20230 ) M1M2_PR
NEW li1 ( 59110 20570 ) L1M1_PR_MR
NEW met1 ( 81650 22270 ) RECT ( -355 -70 0 70 ) ;
- net263 ( ANTENNA_output263_A DIODE ) ( output263 A ) ( _220_ X ) + USE SIGNAL
+ ROUTED met1 ( 64630 17510 ) ( 66470 * )
NEW met2 ( 66470 17510 ) ( * 33830 )
NEW met2 ( 66470 33830 ) ( * 81090 )
NEW met2 ( 235290 81090 ) ( * 82110 )
NEW met1 ( 66470 81090 ) ( 235290 * )
NEW met1 ( 66470 81090 ) M1M2_PR
NEW li1 ( 66470 33830 ) L1M1_PR_MR
NEW met1 ( 66470 33830 ) M1M2_PR
NEW li1 ( 64630 17510 ) L1M1_PR_MR
NEW met1 ( 66470 17510 ) M1M2_PR
NEW met1 ( 235290 81090 ) M1M2_PR
NEW li1 ( 235290 82110 ) L1M1_PR_MR
NEW met1 ( 235290 82110 ) M1M2_PR
NEW met1 ( 66470 33830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235290 82110 ) RECT ( -355 -70 0 70 ) ;
- net264 ( ANTENNA_output264_A DIODE ) ( output264 A ) ( _223_ X ) + USE SIGNAL
+ ROUTED met1 ( 62790 20570 ) ( 66010 * )
NEW met1 ( 66010 20570 ) ( * 20910 )
NEW met1 ( 66010 20910 ) ( 70150 * )
NEW met2 ( 70150 20910 ) ( * 31790 )
NEW met2 ( 70150 31790 ) ( * 86190 )
NEW met2 ( 235290 86190 ) ( * 87550 )
NEW met1 ( 70150 86190 ) ( 235290 * )
NEW met1 ( 70150 86190 ) M1M2_PR
NEW li1 ( 70150 31790 ) L1M1_PR_MR
NEW met1 ( 70150 31790 ) M1M2_PR
NEW li1 ( 62790 20570 ) L1M1_PR_MR
NEW met1 ( 70150 20910 ) M1M2_PR
NEW met1 ( 235290 86190 ) M1M2_PR
NEW li1 ( 235290 87550 ) L1M1_PR_MR
NEW met1 ( 235290 87550 ) M1M2_PR
NEW met1 ( 70150 31790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235290 87550 ) RECT ( -355 -70 0 70 ) ;
- net265 ( ANTENNA_output265_A DIODE ) ( output265 A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met2 ( 64170 23290 ) ( * 36210 )
NEW met2 ( 227930 72420 ) ( 228390 * )
NEW met2 ( 228390 72420 ) ( * 85510 )
NEW met1 ( 228390 85510 ) ( 229310 * )
NEW met1 ( 60030 22950 ) ( * 23290 )
NEW met1 ( 60030 23290 ) ( 64170 * )
NEW met1 ( 63710 36210 ) ( 110400 * )
NEW met1 ( 110400 35870 ) ( * 36210 )
NEW met1 ( 110400 35870 ) ( 207000 * )
NEW met1 ( 207000 35870 ) ( * 36210 )
NEW met1 ( 207000 36210 ) ( 220110 * )
NEW met1 ( 220110 35870 ) ( * 36210 )
NEW met1 ( 220110 35870 ) ( 230230 * )
NEW met2 ( 230230 35870 ) ( * 60350 )
NEW met1 ( 227930 60350 ) ( 230230 * )
NEW met2 ( 227930 60350 ) ( * 72420 )
NEW li1 ( 63710 36210 ) L1M1_PR_MR
NEW met1 ( 64170 23290 ) M1M2_PR
NEW met1 ( 64170 36210 ) M1M2_PR
NEW met1 ( 228390 85510 ) M1M2_PR
NEW li1 ( 229310 85510 ) L1M1_PR_MR
NEW li1 ( 60030 22950 ) L1M1_PR_MR
NEW met1 ( 230230 35870 ) M1M2_PR
NEW met1 ( 230230 60350 ) M1M2_PR
NEW met1 ( 227930 60350 ) M1M2_PR
NEW met1 ( 64170 36210 ) RECT ( -595 -70 0 70 ) ;
- net266 ( ANTENNA_output266_A DIODE ) ( output266 A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 75670 12070 ) ( 77510 * )
NEW met1 ( 79350 26690 ) ( 80730 * )
NEW met2 ( 77510 13940 ) ( 78430 * )
NEW met2 ( 78430 13940 ) ( * 26690 )
NEW met1 ( 78430 26690 ) ( 79350 * )
NEW met2 ( 77510 12070 ) ( * 13940 )
NEW met2 ( 80730 26690 ) ( * 91970 )
NEW met2 ( 235290 91970 ) ( * 92990 )
NEW met1 ( 80730 91970 ) ( 235290 * )
NEW met1 ( 80730 91970 ) M1M2_PR
NEW met1 ( 77510 12070 ) M1M2_PR
NEW li1 ( 75670 12070 ) L1M1_PR_MR
NEW li1 ( 79350 26690 ) L1M1_PR_MR
NEW met1 ( 80730 26690 ) M1M2_PR
NEW met1 ( 78430 26690 ) M1M2_PR
NEW met1 ( 235290 91970 ) M1M2_PR
NEW li1 ( 235290 92990 ) L1M1_PR_MR
NEW met1 ( 235290 92990 ) M1M2_PR
NEW met1 ( 235290 92990 ) RECT ( -355 -70 0 70 ) ;
- net267 ( ANTENNA_output267_A DIODE ) ( output267 A ) ( _226_ X ) + USE SIGNAL
+ ROUTED met2 ( 84870 23290 ) ( * 23460 )
NEW met1 ( 66470 20570 ) ( 84870 * )
NEW met2 ( 84870 20570 ) ( * 23290 )
NEW met2 ( 232530 23460 ) ( * 46580 )
NEW met2 ( 232070 46580 ) ( 232530 * )
NEW met2 ( 232070 46580 ) ( * 49980 )
NEW met2 ( 232070 49980 ) ( 232530 * )
NEW met2 ( 232530 49980 ) ( * 58140 )
NEW met3 ( 232300 58140 ) ( 232530 * )
NEW met3 ( 232300 58140 ) ( * 60860 )
NEW met3 ( 230690 60860 ) ( 232300 * )
NEW met3 ( 84870 23460 ) ( 232530 * )
NEW met2 ( 230690 60860 ) ( * 90270 )
NEW li1 ( 84870 23290 ) L1M1_PR_MR
NEW met1 ( 84870 23290 ) M1M2_PR
NEW met2 ( 84870 23460 ) M2M3_PR_M
NEW li1 ( 66470 20570 ) L1M1_PR_MR
NEW met1 ( 84870 20570 ) M1M2_PR
NEW li1 ( 230690 90270 ) L1M1_PR_MR
NEW met1 ( 230690 90270 ) M1M2_PR
NEW met2 ( 232530 23460 ) M2M3_PR_M
NEW met2 ( 232530 58140 ) M2M3_PR_M
NEW met2 ( 230690 60860 ) M2M3_PR_M
NEW met1 ( 84870 23290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 230690 90270 ) RECT ( -355 -70 0 70 ) ;
- net268 ( ANTENNA_output268_A DIODE ) ( output268 A ) ( _227_ X ) + USE SIGNAL
+ ROUTED met2 ( 203550 97070 ) ( * 99790 )
NEW met1 ( 72910 97070 ) ( 203550 * )
NEW met2 ( 72910 26350 ) ( * 31790 )
NEW met2 ( 72910 31790 ) ( * 97070 )
NEW met1 ( 203550 99790 ) ( 207000 * )
NEW met1 ( 207000 99450 ) ( * 99790 )
NEW met1 ( 207000 99450 ) ( 234830 * )
NEW met1 ( 62100 26350 ) ( 72910 * )
NEW met1 ( 62100 26010 ) ( * 26350 )
NEW met1 ( 59110 26010 ) ( 62100 * )
NEW met1 ( 203550 97070 ) M1M2_PR
NEW met1 ( 203550 99790 ) M1M2_PR
NEW met1 ( 72910 97070 ) M1M2_PR
NEW li1 ( 72910 31790 ) L1M1_PR_MR
NEW met1 ( 72910 31790 ) M1M2_PR
NEW met1 ( 72910 26350 ) M1M2_PR
NEW li1 ( 234830 99450 ) L1M1_PR_MR
NEW li1 ( 59110 26010 ) L1M1_PR_MR
NEW met1 ( 72910 31790 ) RECT ( -355 -70 0 70 ) ;
- net269 ( ANTENNA_output269_A DIODE ) ( output269 A ) ( _190_ X ) + USE SIGNAL
+ ROUTED met1 ( 9430 57630 ) ( 10350 * )
NEW met2 ( 221030 26350 ) ( * 34170 )
NEW met1 ( 221030 26350 ) ( 222870 * )
NEW met1 ( 9890 30770 ) ( * 31450 )
NEW met1 ( 9430 31450 ) ( 9890 * )
NEW met2 ( 9430 31450 ) ( * 57630 )
NEW met2 ( 54970 30770 ) ( * 34170 )
NEW met1 ( 9890 30770 ) ( 54970 * )
NEW met1 ( 54970 34170 ) ( 221030 * )
NEW met1 ( 9430 57630 ) M1M2_PR
NEW li1 ( 10350 57630 ) L1M1_PR_MR
NEW met1 ( 221030 34170 ) M1M2_PR
NEW met1 ( 221030 26350 ) M1M2_PR
NEW li1 ( 222870 26350 ) L1M1_PR_MR
NEW li1 ( 9890 31450 ) L1M1_PR_MR
NEW met1 ( 9430 31450 ) M1M2_PR
NEW met1 ( 54970 30770 ) M1M2_PR
NEW met1 ( 54970 34170 ) M1M2_PR ;
- net27 ( input27 X ) ( _192_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 227470 17170 ) ( 229310 * )
NEW met2 ( 229310 17170 ) ( * 18020 )
NEW met3 ( 209530 18020 ) ( 229310 * )
NEW met2 ( 209530 18020 ) ( * 19550 )
NEW li1 ( 227470 17170 ) L1M1_PR_MR
NEW met1 ( 229310 17170 ) M1M2_PR
NEW met2 ( 229310 18020 ) M2M3_PR_M
NEW met2 ( 209530 18020 ) M2M3_PR_M
NEW li1 ( 209530 19550 ) L1M1_PR_MR
NEW met1 ( 209530 19550 ) M1M2_PR
NEW met1 ( 209530 19550 ) RECT ( -355 -70 0 70 ) ;
- net270 ( ANTENNA_output270_A DIODE ) ( output270 A ) ( _228_ X ) + USE SIGNAL
+ ROUTED met2 ( 196650 38930 ) ( * 96730 )
NEW met1 ( 196650 96730 ) ( 229310 * )
NEW met2 ( 79350 29410 ) ( * 38930 )
NEW met1 ( 74750 15130 ) ( 79350 * )
NEW met2 ( 79350 15130 ) ( * 29410 )
NEW met1 ( 79350 38930 ) ( 196650 * )
NEW met1 ( 196650 96730 ) M1M2_PR
NEW met1 ( 196650 38930 ) M1M2_PR
NEW li1 ( 229310 96730 ) L1M1_PR_MR
NEW li1 ( 79350 29410 ) L1M1_PR_MR
NEW met1 ( 79350 29410 ) M1M2_PR
NEW met1 ( 79350 38930 ) M1M2_PR
NEW li1 ( 74750 15130 ) L1M1_PR_MR
NEW met1 ( 79350 15130 ) M1M2_PR
NEW met1 ( 79350 29410 ) RECT ( -355 -70 0 70 ) ;
- net271 ( ANTENNA_output271_A DIODE ) ( output271 A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met1 ( 224250 98770 ) ( 226550 * )
NEW met2 ( 224250 62100 ) ( * 98770 )
NEW met2 ( 222410 28730 ) ( * 30940 )
NEW met2 ( 222410 30940 ) ( 223790 * )
NEW met2 ( 223790 30940 ) ( * 62100 )
NEW met2 ( 223790 62100 ) ( 224250 * )
NEW met2 ( 76130 28730 ) ( * 30430 )
NEW met1 ( 71990 17510 ) ( * 17850 )
NEW met1 ( 71530 17850 ) ( 71990 * )
NEW met2 ( 71530 17850 ) ( * 28730 )
NEW met1 ( 71530 28730 ) ( 76130 * )
NEW met1 ( 76130 28730 ) ( 222410 * )
NEW met1 ( 224250 98770 ) M1M2_PR
NEW li1 ( 226550 98770 ) L1M1_PR_MR
NEW met1 ( 222410 28730 ) M1M2_PR
NEW li1 ( 76130 30430 ) L1M1_PR_MR
NEW met1 ( 76130 30430 ) M1M2_PR
NEW met1 ( 76130 28730 ) M1M2_PR
NEW li1 ( 71990 17510 ) L1M1_PR_MR
NEW met1 ( 71530 17850 ) M1M2_PR
NEW met1 ( 71530 28730 ) M1M2_PR
NEW met1 ( 76130 30430 ) RECT ( -355 -70 0 70 ) ;
- net272 ( ANTENNA_output272_A DIODE ) ( output272 A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met3 ( 18860 47260 ) ( 20930 * )
NEW met1 ( 19550 50150 ) ( 20930 * )
NEW met2 ( 19550 50150 ) ( * 52530 )
NEW met1 ( 19550 52530 ) ( 21390 * )
NEW met2 ( 20930 47260 ) ( * 50150 )
NEW met1 ( 48300 27710 ) ( * 28050 )
NEW met1 ( 48300 27710 ) ( 56810 * )
NEW met1 ( 56810 27710 ) ( * 28050 )
NEW met1 ( 56810 28050 ) ( 71990 * )
NEW met2 ( 71990 23630 ) ( * 28050 )
NEW met1 ( 71990 23630 ) ( 219650 * )
NEW met1 ( 15870 28390 ) ( 21390 * )
NEW met2 ( 21390 27540 ) ( * 28390 )
NEW met3 ( 21390 27540 ) ( 31050 * )
NEW met2 ( 31050 27540 ) ( * 28390 )
NEW met1 ( 31050 28390 ) ( 32430 * )
NEW met1 ( 32430 28050 ) ( * 28390 )
NEW met3 ( 18860 27540 ) ( 21390 * )
NEW met4 ( 18860 27540 ) ( * 47260 )
NEW met1 ( 32430 28050 ) ( 48300 * )
NEW li1 ( 219650 23630 ) L1M1_PR_MR
NEW met2 ( 20930 47260 ) M2M3_PR_M
NEW met3 ( 18860 47260 ) M3M4_PR_M
NEW met1 ( 20930 50150 ) M1M2_PR
NEW met1 ( 19550 50150 ) M1M2_PR
NEW met1 ( 19550 52530 ) M1M2_PR
NEW li1 ( 21390 52530 ) L1M1_PR_MR
NEW met1 ( 71990 28050 ) M1M2_PR
NEW met1 ( 71990 23630 ) M1M2_PR
NEW li1 ( 15870 28390 ) L1M1_PR_MR
NEW met1 ( 21390 28390 ) M1M2_PR
NEW met2 ( 21390 27540 ) M2M3_PR_M
NEW met2 ( 31050 27540 ) M2M3_PR_M
NEW met1 ( 31050 28390 ) M1M2_PR
NEW met3 ( 18860 27540 ) M3M4_PR_M ;
- net273 ( ANTENNA_output273_A DIODE ) ( output273 A ) ( _192_ X ) + USE SIGNAL
+ ROUTED met2 ( 196650 18190 ) ( * 31110 )
NEW met1 ( 14030 31110 ) ( * 31450 )
NEW met1 ( 14030 31110 ) ( 20930 * )
NEW met2 ( 20930 31110 ) ( * 34510 )
NEW met1 ( 20930 34510 ) ( * 34850 )
NEW met1 ( 20930 34850 ) ( 39330 * )
NEW met1 ( 39330 34170 ) ( * 34850 )
NEW met1 ( 39330 34170 ) ( 43470 * )
NEW met1 ( 43470 34170 ) ( * 34510 )
NEW met1 ( 15870 55250 ) ( 17250 * )
NEW met2 ( 17250 34850 ) ( * 55250 )
NEW met1 ( 17250 34850 ) ( 20930 * )
NEW met1 ( 225170 18190 ) ( * 18530 )
NEW met1 ( 225170 18530 ) ( 225630 * )
NEW met1 ( 196650 18190 ) ( 225170 * )
NEW met1 ( 43470 34510 ) ( 48300 * )
NEW met1 ( 48300 34510 ) ( * 34850 )
NEW met1 ( 48300 34850 ) ( 71990 * )
NEW met2 ( 71990 31110 ) ( * 34850 )
NEW met1 ( 71990 31110 ) ( 196650 * )
NEW met1 ( 196650 31110 ) M1M2_PR
NEW met1 ( 196650 18190 ) M1M2_PR
NEW li1 ( 14030 31450 ) L1M1_PR_MR
NEW met1 ( 20930 31110 ) M1M2_PR
NEW met1 ( 20930 34510 ) M1M2_PR
NEW li1 ( 15870 55250 ) L1M1_PR_MR
NEW met1 ( 17250 55250 ) M1M2_PR
NEW met1 ( 17250 34850 ) M1M2_PR
NEW li1 ( 225630 18530 ) L1M1_PR_MR
NEW met1 ( 71990 34850 ) M1M2_PR
NEW met1 ( 71990 31110 ) M1M2_PR ;
- net274 ( ANTENNA_output274_A DIODE ) ( output274 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met4 ( 55660 23460 ) ( * 31620 )
NEW met3 ( 55660 23460 ) ( 62100 * )
NEW met3 ( 62100 22780 ) ( * 23460 )
NEW met1 ( 19550 55250 ) ( 20010 * )
NEW met3 ( 62100 22780 ) ( 110400 * )
NEW met3 ( 110400 20740 ) ( * 22780 )
NEW met2 ( 234830 20740 ) ( * 22610 )
NEW met3 ( 110400 20740 ) ( 234830 * )
NEW met1 ( 17710 31450 ) ( * 31790 )
NEW met1 ( 17710 31790 ) ( 21850 * )
NEW met2 ( 21850 31620 ) ( * 31790 )
NEW met3 ( 20010 37060 ) ( 23460 * )
NEW met3 ( 23460 34340 ) ( * 37060 )
NEW met3 ( 21850 34340 ) ( 23460 * )
NEW met2 ( 21850 31790 ) ( * 34340 )
NEW met2 ( 20010 37060 ) ( * 55250 )
NEW met3 ( 21850 31620 ) ( 55660 * )
NEW met3 ( 55660 31620 ) M3M4_PR_M
NEW met3 ( 55660 23460 ) M3M4_PR_M
NEW li1 ( 19550 55250 ) L1M1_PR_MR
NEW met1 ( 20010 55250 ) M1M2_PR
NEW met2 ( 234830 20740 ) M2M3_PR_M
NEW li1 ( 234830 22610 ) L1M1_PR_MR
NEW met1 ( 234830 22610 ) M1M2_PR
NEW li1 ( 17710 31450 ) L1M1_PR_MR
NEW met1 ( 21850 31790 ) M1M2_PR
NEW met2 ( 21850 31620 ) M2M3_PR_M
NEW met2 ( 20010 37060 ) M2M3_PR_M
NEW met2 ( 21850 34340 ) M2M3_PR_M
NEW met1 ( 234830 22610 ) RECT ( -355 -70 0 70 ) ;
- net275 ( ANTENNA_output275_A DIODE ) ( output275 A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met3 ( 62100 30940 ) ( * 31620 )
NEW met1 ( 23690 52190 ) ( 24150 * )
NEW met2 ( 236210 29070 ) ( * 31620 )
NEW met3 ( 62100 31620 ) ( 236210 * )
NEW met2 ( 21390 30940 ) ( * 31450 )
NEW met1 ( 23690 42330 ) ( 25530 * )
NEW met2 ( 25530 30940 ) ( * 42330 )
NEW met2 ( 23690 42330 ) ( * 52190 )
NEW met3 ( 21390 30940 ) ( 62100 * )
NEW li1 ( 24150 52190 ) L1M1_PR_MR
NEW met1 ( 23690 52190 ) M1M2_PR
NEW met2 ( 236210 31620 ) M2M3_PR_M
NEW li1 ( 236210 29070 ) L1M1_PR_MR
NEW met1 ( 236210 29070 ) M1M2_PR
NEW li1 ( 21390 31450 ) L1M1_PR_MR
NEW met1 ( 21390 31450 ) M1M2_PR
NEW met2 ( 21390 30940 ) M2M3_PR_M
NEW met1 ( 23690 42330 ) M1M2_PR
NEW met1 ( 25530 42330 ) M1M2_PR
NEW met2 ( 25530 30940 ) M2M3_PR_M
NEW met1 ( 236210 29070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 21390 31450 ) RECT ( -355 -70 0 70 )
NEW met3 ( 25530 30940 ) RECT ( -800 -150 0 150 ) ;
- net276 ( ANTENNA_output276_A DIODE ) ( output276 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met3 ( 62100 28220 ) ( * 28900 )
NEW met1 ( 22310 55250 ) ( 23230 * )
NEW met2 ( 23230 50660 ) ( * 55250 )
NEW met2 ( 22770 50660 ) ( 23230 * )
NEW met2 ( 234830 28900 ) ( * 33490 )
NEW met3 ( 62100 28900 ) ( 234830 * )
NEW met1 ( 19090 33830 ) ( 20470 * )
NEW met2 ( 19090 30940 ) ( * 33830 )
NEW met3 ( 19090 30940 ) ( 19780 * )
NEW met3 ( 19780 28220 ) ( * 30940 )
NEW met1 ( 22770 47090 ) ( 25530 * )
NEW met2 ( 25530 43010 ) ( * 47090 )
NEW met1 ( 24610 43010 ) ( 25530 * )
NEW met1 ( 24610 42670 ) ( * 43010 )
NEW met2 ( 24610 34510 ) ( * 42670 )
NEW met1 ( 22310 34510 ) ( 24610 * )
NEW met2 ( 22310 33830 ) ( * 34510 )
NEW met1 ( 20470 33830 ) ( 22310 * )
NEW met2 ( 22770 47090 ) ( * 50660 )
NEW met3 ( 19780 28220 ) ( 62100 * )
NEW li1 ( 22310 55250 ) L1M1_PR_MR
NEW met1 ( 23230 55250 ) M1M2_PR
NEW met2 ( 234830 28900 ) M2M3_PR_M
NEW li1 ( 234830 33490 ) L1M1_PR_MR
NEW met1 ( 234830 33490 ) M1M2_PR
NEW li1 ( 20470 33830 ) L1M1_PR_MR
NEW met1 ( 19090 33830 ) M1M2_PR
NEW met2 ( 19090 30940 ) M2M3_PR_M
NEW met1 ( 22770 47090 ) M1M2_PR
NEW met1 ( 25530 47090 ) M1M2_PR
NEW met1 ( 25530 43010 ) M1M2_PR
NEW met1 ( 24610 42670 ) M1M2_PR
NEW met1 ( 24610 34510 ) M1M2_PR
NEW met1 ( 22310 34510 ) M1M2_PR
NEW met1 ( 22310 33830 ) M1M2_PR
NEW met1 ( 234830 33490 ) RECT ( -355 -70 0 70 ) ;
- net277 ( ANTENNA_output277_A DIODE ) ( output277 A ) ( _198_ X ) + USE SIGNAL
+ ROUTED met2 ( 229770 32130 ) ( * 34340 )
NEW met1 ( 24150 31450 ) ( 25070 * )
NEW met2 ( 24150 31450 ) ( * 34340 )
NEW met2 ( 26910 34340 ) ( * 52190 )
NEW met3 ( 24150 34340 ) ( 229770 * )
NEW li1 ( 26910 52190 ) L1M1_PR_MR
NEW met1 ( 26910 52190 ) M1M2_PR
NEW met2 ( 229770 34340 ) M2M3_PR_M
NEW li1 ( 229770 32130 ) L1M1_PR_MR
NEW met1 ( 229770 32130 ) M1M2_PR
NEW li1 ( 25070 31450 ) L1M1_PR_MR
NEW met1 ( 24150 31450 ) M1M2_PR
NEW met2 ( 24150 34340 ) M2M3_PR_M
NEW met2 ( 26910 34340 ) M2M3_PR_M
NEW met1 ( 26910 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 229770 32130 ) RECT ( -355 -70 0 70 )
NEW met3 ( 26910 34340 ) RECT ( -800 -150 0 150 ) ;
- net278 ( ANTENNA_output278_A DIODE ) ( output278 A ) ( _199_ X ) + USE SIGNAL
+ ROUTED met2 ( 55430 31790 ) ( * 37570 )
NEW met1 ( 25070 55250 ) ( 27370 * )
NEW met2 ( 235290 37570 ) ( * 38590 )
NEW met1 ( 55430 37570 ) ( 235290 * )
NEW met1 ( 22770 33830 ) ( 24150 * )
NEW met2 ( 22770 31790 ) ( * 33830 )
NEW met1 ( 22770 31790 ) ( 25990 * )
NEW met1 ( 25990 31110 ) ( * 31790 )
NEW met1 ( 25990 31110 ) ( 43010 * )
NEW met1 ( 43010 31110 ) ( * 31790 )
NEW met2 ( 27370 31110 ) ( * 55250 )
NEW met1 ( 43010 31790 ) ( 55430 * )
NEW met1 ( 55430 31790 ) M1M2_PR
NEW met1 ( 55430 37570 ) M1M2_PR
NEW li1 ( 25070 55250 ) L1M1_PR_MR
NEW met1 ( 27370 55250 ) M1M2_PR
NEW met1 ( 235290 37570 ) M1M2_PR
NEW li1 ( 235290 38590 ) L1M1_PR_MR
NEW met1 ( 235290 38590 ) M1M2_PR
NEW li1 ( 24150 33830 ) L1M1_PR_MR
NEW met1 ( 22770 33830 ) M1M2_PR
NEW met1 ( 22770 31790 ) M1M2_PR
NEW met1 ( 27370 31110 ) M1M2_PR
NEW met1 ( 235290 38590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 27370 31110 ) RECT ( -595 -70 0 70 ) ;
- net279 ( ANTENNA_output279_A DIODE ) ( output279 A ) ( _100_ X ) + USE SIGNAL
+ ROUTED met2 ( 236670 208930 ) ( * 212670 )
NEW met1 ( 236670 213350 ) ( 239430 * )
NEW met1 ( 236670 212670 ) ( * 213350 )
NEW met1 ( 61410 208930 ) ( 236670 * )
NEW met2 ( 61410 31110 ) ( * 208930 )
NEW met1 ( 61410 208930 ) M1M2_PR
NEW li1 ( 236670 212670 ) L1M1_PR_MR
NEW met1 ( 236670 212670 ) M1M2_PR
NEW met1 ( 236670 208930 ) M1M2_PR
NEW li1 ( 239430 213350 ) L1M1_PR_MR
NEW li1 ( 61410 31110 ) L1M1_PR_MR
NEW met1 ( 61410 31110 ) M1M2_PR
NEW met1 ( 236670 212670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 61410 31110 ) RECT ( -355 -70 0 70 ) ;
- net28 ( input28 X ) ( _195_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 238050 22950 ) ( * 23630 )
NEW met1 ( 228850 23630 ) ( 238050 * )
NEW met2 ( 228850 23630 ) ( * 33150 )
NEW met1 ( 226090 33150 ) ( 228850 * )
NEW li1 ( 238050 22950 ) L1M1_PR_MR
NEW met1 ( 228850 23630 ) M1M2_PR
NEW met1 ( 228850 33150 ) M1M2_PR
NEW li1 ( 226090 33150 ) L1M1_PR_MR ;
- net29 ( input29 X ) ( _196_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 238050 28390 ) ( * 28730 )
NEW met1 ( 222870 28730 ) ( 238050 * )
NEW met2 ( 222870 28730 ) ( * 30430 )
NEW li1 ( 238050 28390 ) L1M1_PR_MR
NEW met1 ( 222870 28730 ) M1M2_PR
NEW li1 ( 222870 30430 ) L1M1_PR_MR
NEW met1 ( 222870 30430 ) M1M2_PR
NEW met1 ( 222870 30430 ) RECT ( -355 -70 0 70 ) ;
- net3 ( input3 X ) ( _203_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 232530 36890 ) ( * 37230 )
NEW met1 ( 232530 37230 ) ( 239890 * )
NEW met2 ( 239890 37230 ) ( * 46750 )
NEW li1 ( 232530 36890 ) L1M1_PR_MR
NEW met1 ( 239890 37230 ) M1M2_PR
NEW li1 ( 239890 46750 ) L1M1_PR_MR
NEW met1 ( 239890 46750 ) M1M2_PR
NEW met1 ( 239890 46750 ) RECT ( -355 -70 0 70 ) ;
- net30 ( input30 X ) ( _197_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 219190 29410 ) ( 231150 * )
NEW met1 ( 231150 29070 ) ( * 29410 )
NEW met1 ( 231150 29070 ) ( 233910 * )
NEW met2 ( 233910 29070 ) ( * 33830 )
NEW met1 ( 233910 33830 ) ( 238050 * )
NEW li1 ( 219190 29410 ) L1M1_PR_MR
NEW met1 ( 233910 29070 ) M1M2_PR
NEW met1 ( 233910 33830 ) M1M2_PR
NEW li1 ( 238050 33830 ) L1M1_PR_MR ;
- net31 ( input31 X ) ( _198_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 232530 31450 ) ( * 31790 )
NEW met1 ( 222410 31790 ) ( 232530 * )
NEW met2 ( 222410 31790 ) ( * 34510 )
NEW li1 ( 232530 31450 ) L1M1_PR_MR
NEW met1 ( 222410 31790 ) M1M2_PR
NEW li1 ( 222410 34510 ) L1M1_PR_MR
NEW met1 ( 222410 34510 ) M1M2_PR
NEW met1 ( 222410 34510 ) RECT ( -355 -70 0 70 ) ;
- net32 ( input32 X ) ( _199_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 219650 30770 ) ( 228850 * )
NEW met1 ( 228850 30430 ) ( * 30770 )
NEW met1 ( 228850 30430 ) ( 238050 * )
NEW met2 ( 238050 30430 ) ( * 39270 )
NEW li1 ( 219650 30770 ) L1M1_PR_MR
NEW met1 ( 238050 30430 ) M1M2_PR
NEW li1 ( 238050 39270 ) L1M1_PR_MR
NEW met1 ( 238050 39270 ) M1M2_PR
NEW met1 ( 238050 39270 ) RECT ( -355 -70 0 70 ) ;
- net33 ( ANTENNA__188__A1 DIODE ) ( input33 X ) ( _188_ A1 ) + USE SIGNAL
+ ROUTED met3 ( 230230 62220 ) ( 230460 * )
NEW met4 ( 230460 62220 ) ( * 90100 )
NEW met3 ( 230460 90100 ) ( 233910 * )
NEW met2 ( 233910 90100 ) ( * 106590 )
NEW met1 ( 233910 106590 ) ( 239890 * )
NEW met1 ( 230230 61370 ) ( 232990 * )
NEW met2 ( 230230 61370 ) ( * 62220 )
NEW met1 ( 230230 22950 ) ( 232990 * )
NEW met1 ( 214130 26690 ) ( 223330 * )
NEW met2 ( 223330 22610 ) ( * 26690 )
NEW met1 ( 223330 22610 ) ( 230230 * )
NEW met1 ( 230230 22610 ) ( * 22950 )
NEW met2 ( 232990 22950 ) ( * 61370 )
NEW met2 ( 230230 62220 ) M2M3_PR_M
NEW met3 ( 230460 62220 ) M3M4_PR_M
NEW met3 ( 230460 90100 ) M3M4_PR_M
NEW met2 ( 233910 90100 ) M2M3_PR_M
NEW met1 ( 233910 106590 ) M1M2_PR
NEW li1 ( 239890 106590 ) L1M1_PR_MR
NEW met1 ( 232990 61370 ) M1M2_PR
NEW met1 ( 230230 61370 ) M1M2_PR
NEW li1 ( 230230 22950 ) L1M1_PR_MR
NEW met1 ( 232990 22950 ) M1M2_PR
NEW li1 ( 214130 26690 ) L1M1_PR_MR
NEW met1 ( 223330 26690 ) M1M2_PR
NEW met1 ( 223330 22610 ) M1M2_PR
NEW met3 ( 230230 62220 ) RECT ( -390 -150 0 150 ) ;
- net34 ( ANTENNA__202__A1 DIODE ) ( input34 X ) ( _202_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 239890 44710 ) ( * 45050 )
NEW met1 ( 239890 45050 ) ( 241730 * )
NEW met1 ( 226090 44030 ) ( 239890 * )
NEW met1 ( 239890 44030 ) ( * 44710 )
NEW met1 ( 239890 139230 ) ( 241730 * )
NEW met2 ( 241730 45050 ) ( * 139230 )
NEW li1 ( 239890 44710 ) L1M1_PR_MR
NEW met1 ( 241730 45050 ) M1M2_PR
NEW li1 ( 226090 44030 ) L1M1_PR_MR
NEW met1 ( 241730 139230 ) M1M2_PR
NEW li1 ( 239890 139230 ) L1M1_PR_MR ;
- net35 ( ANTENNA__203__A1 DIODE ) ( input35 X ) ( _203_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 234370 36210 ) ( * 36890 )
NEW met1 ( 227470 36210 ) ( 234370 * )
NEW met2 ( 227470 36210 ) ( * 38930 )
NEW met1 ( 223330 38930 ) ( 227470 * )
NEW met2 ( 223330 38930 ) ( * 43010 )
NEW met1 ( 223330 141950 ) ( 239890 * )
NEW met2 ( 223330 43010 ) ( * 141950 )
NEW li1 ( 223330 43010 ) L1M1_PR_MR
NEW met1 ( 223330 43010 ) M1M2_PR
NEW li1 ( 234370 36890 ) L1M1_PR_MR
NEW met1 ( 227470 36210 ) M1M2_PR
NEW met1 ( 227470 38930 ) M1M2_PR
NEW met1 ( 223330 38930 ) M1M2_PR
NEW met1 ( 223330 141950 ) M1M2_PR
NEW li1 ( 239890 141950 ) L1M1_PR_MR
NEW met1 ( 223330 43010 ) RECT ( -355 -70 0 70 ) ;
- net36 ( ANTENNA__204__A1 DIODE ) ( input36 X ) ( _204_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 227010 48110 ) ( 233450 * )
NEW met1 ( 233450 42330 ) ( 234370 * )
NEW met2 ( 233450 42330 ) ( * 48110 )
NEW met1 ( 233450 145010 ) ( 239430 * )
NEW met2 ( 233450 48110 ) ( * 145010 )
NEW li1 ( 227010 48110 ) L1M1_PR_MR
NEW met1 ( 233450 48110 ) M1M2_PR
NEW li1 ( 234370 42330 ) L1M1_PR_MR
NEW met1 ( 233450 42330 ) M1M2_PR
NEW met1 ( 233450 145010 ) M1M2_PR
NEW li1 ( 239430 145010 ) L1M1_PR_MR ;
- net37 ( ANTENNA__205__A1 DIODE ) ( input37 X ) ( _205_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 226090 64430 ) ( 229310 * )
NEW met2 ( 229310 64430 ) ( * 86700 )
NEW met2 ( 228850 86700 ) ( 229310 * )
NEW met2 ( 228850 51170 ) ( * 54910 )
NEW met1 ( 226090 54910 ) ( 228850 * )
NEW met1 ( 239890 50150 ) ( * 50490 )
NEW met1 ( 228850 50490 ) ( 239890 * )
NEW met1 ( 228850 50490 ) ( * 51170 )
NEW met2 ( 226090 54910 ) ( * 64430 )
NEW met1 ( 228850 147390 ) ( 239890 * )
NEW met2 ( 228850 86700 ) ( * 147390 )
NEW met1 ( 226090 64430 ) M1M2_PR
NEW met1 ( 229310 64430 ) M1M2_PR
NEW li1 ( 228850 51170 ) L1M1_PR_MR
NEW met1 ( 228850 51170 ) M1M2_PR
NEW met1 ( 228850 54910 ) M1M2_PR
NEW met1 ( 226090 54910 ) M1M2_PR
NEW li1 ( 239890 50150 ) L1M1_PR_MR
NEW met1 ( 228850 147390 ) M1M2_PR
NEW li1 ( 239890 147390 ) L1M1_PR_MR
NEW met1 ( 228850 51170 ) RECT ( -355 -70 0 70 ) ;
- net38 ( ANTENNA__206__A1 DIODE ) ( input38 X ) ( _206_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 234370 47430 ) ( * 47770 )
NEW met1 ( 234370 47430 ) ( 245410 * )
NEW met1 ( 224250 46750 ) ( 234370 * )
NEW met1 ( 234370 46750 ) ( * 47430 )
NEW met1 ( 239890 150110 ) ( 245410 * )
NEW li1 ( 245410 47430 ) ( * 150110 )
NEW li1 ( 234370 47770 ) L1M1_PR_MR
NEW li1 ( 245410 47430 ) L1M1_PR_MR
NEW li1 ( 224250 46750 ) L1M1_PR_MR
NEW li1 ( 245410 150110 ) L1M1_PR_MR
NEW li1 ( 239890 150110 ) L1M1_PR_MR ;
- net39 ( ANTENNA__209__A1 DIODE ) ( input39 X ) ( _209_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 228390 86020 ) ( 228850 * )
NEW met1 ( 228850 55930 ) ( 232530 * )
NEW met1 ( 232530 55590 ) ( * 55930 )
NEW met1 ( 232530 55590 ) ( 235290 * )
NEW met1 ( 235290 55250 ) ( * 55590 )
NEW met1 ( 235290 55250 ) ( 239890 * )
NEW met1 ( 239890 55250 ) ( * 55590 )
NEW met2 ( 228850 55930 ) ( * 86020 )
NEW met1 ( 228390 155890 ) ( 239430 * )
NEW met2 ( 228390 86020 ) ( * 155890 )
NEW li1 ( 228850 55930 ) L1M1_PR_MR
NEW li1 ( 239890 55590 ) L1M1_PR_MR
NEW met1 ( 228850 55930 ) M1M2_PR
NEW met1 ( 228390 155890 ) M1M2_PR
NEW li1 ( 239430 155890 ) L1M1_PR_MR
NEW met1 ( 228850 55930 ) RECT ( -595 -70 0 70 ) ;
- net4 ( input4 X ) ( _204_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 227010 42330 ) ( 232530 * )
NEW met1 ( 227010 41650 ) ( * 42330 )
NEW li1 ( 232530 42330 ) L1M1_PR_MR
NEW li1 ( 227010 41650 ) L1M1_PR_MR ;
- net40 ( ANTENNA__210__A1 DIODE ) ( input40 X ) ( _210_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 239430 159290 ) ( 244950 * )
NEW met1 ( 238510 61030 ) ( 239890 * )
NEW met1 ( 238510 61030 ) ( * 61710 )
NEW met1 ( 229310 61710 ) ( 238510 * )
NEW met1 ( 239890 61030 ) ( 244950 * )
NEW li1 ( 244950 61030 ) ( * 159290 )
NEW li1 ( 244950 159290 ) L1M1_PR_MR
NEW li1 ( 239430 159290 ) L1M1_PR_MR
NEW li1 ( 239890 61030 ) L1M1_PR_MR
NEW li1 ( 229310 61710 ) L1M1_PR_MR
NEW li1 ( 244950 61030 ) L1M1_PR_MR ;
- net41 ( ANTENNA__211__A1 DIODE ) ( input41 X ) ( _211_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 230230 161330 ) ( 239430 * )
NEW met2 ( 229770 78540 ) ( 230230 * )
NEW met1 ( 234370 58650 ) ( * 58990 )
NEW met1 ( 227010 58990 ) ( 234370 * )
NEW met2 ( 229770 58990 ) ( * 78540 )
NEW met2 ( 230230 78540 ) ( * 161330 )
NEW met1 ( 230230 161330 ) M1M2_PR
NEW li1 ( 239430 161330 ) L1M1_PR_MR
NEW li1 ( 234370 58650 ) L1M1_PR_MR
NEW li1 ( 227010 58990 ) L1M1_PR_MR
NEW met1 ( 229770 58990 ) M1M2_PR
NEW met1 ( 229770 58990 ) RECT ( -595 -70 0 70 ) ;
- net42 ( ANTENNA__212__A1 DIODE ) ( input42 X ) ( _212_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 236210 163710 ) ( 239890 * )
NEW met1 ( 239890 66470 ) ( * 66810 )
NEW met1 ( 234830 66810 ) ( 239890 * )
NEW met2 ( 234830 66810 ) ( * 82620 )
NEW met2 ( 234830 82620 ) ( 235290 * )
NEW met2 ( 235290 82620 ) ( * 85340 )
NEW met3 ( 235290 85340 ) ( 235980 * )
NEW met4 ( 235980 85340 ) ( * 106420 )
NEW met3 ( 235980 106420 ) ( 236210 * )
NEW met1 ( 229310 66810 ) ( 234830 * )
NEW met2 ( 236210 106420 ) ( * 163710 )
NEW met1 ( 236210 163710 ) M1M2_PR
NEW li1 ( 239890 163710 ) L1M1_PR_MR
NEW li1 ( 239890 66470 ) L1M1_PR_MR
NEW met1 ( 234830 66810 ) M1M2_PR
NEW met2 ( 235290 85340 ) M2M3_PR_M
NEW met3 ( 235980 85340 ) M3M4_PR_M
NEW met3 ( 235980 106420 ) M3M4_PR_M
NEW met2 ( 236210 106420 ) M2M3_PR_M
NEW li1 ( 229310 66810 ) L1M1_PR_MR
NEW met3 ( 235980 106420 ) RECT ( -390 -150 0 150 ) ;
- net43 ( ANTENNA__213__A1 DIODE ) ( input43 X ) ( _213_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 237130 166770 ) ( 239430 * )
NEW met1 ( 234370 64090 ) ( * 64430 )
NEW met1 ( 234370 64430 ) ( 239890 * )
NEW met2 ( 239890 64430 ) ( * 87550 )
NEW met1 ( 239890 87550 ) ( 241270 * )
NEW met2 ( 241270 87550 ) ( * 92140 )
NEW met2 ( 240810 92140 ) ( 241270 * )
NEW met2 ( 240810 92140 ) ( * 96050 )
NEW met1 ( 237130 96050 ) ( 240810 * )
NEW met1 ( 227010 64090 ) ( 231610 * )
NEW met1 ( 231610 64090 ) ( * 64430 )
NEW met1 ( 231610 64430 ) ( 234370 * )
NEW met2 ( 237130 96050 ) ( * 166770 )
NEW met1 ( 237130 166770 ) M1M2_PR
NEW li1 ( 239430 166770 ) L1M1_PR_MR
NEW li1 ( 234370 64090 ) L1M1_PR_MR
NEW met1 ( 239890 64430 ) M1M2_PR
NEW met1 ( 239890 87550 ) M1M2_PR
NEW met1 ( 241270 87550 ) M1M2_PR
NEW met1 ( 240810 96050 ) M1M2_PR
NEW met1 ( 237130 96050 ) M1M2_PR
NEW li1 ( 227010 64090 ) L1M1_PR_MR ;
- net44 ( ANTENNA__189__A1 DIODE ) ( input44 X ) ( _189_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 227470 109310 ) ( 239890 * )
NEW met2 ( 227930 20570 ) ( * 30260 )
NEW met2 ( 227470 30260 ) ( 227930 * )
NEW met2 ( 227470 30260 ) ( * 35020 )
NEW met2 ( 227010 35020 ) ( 227470 * )
NEW met2 ( 227010 35020 ) ( * 39780 )
NEW met2 ( 227010 39780 ) ( 227470 * )
NEW met1 ( 215970 27710 ) ( 221490 * )
NEW met2 ( 221490 27710 ) ( * 32130 )
NEW met1 ( 221490 32130 ) ( 227470 * )
NEW met2 ( 227470 39780 ) ( * 109310 )
NEW met1 ( 227470 109310 ) M1M2_PR
NEW li1 ( 239890 109310 ) L1M1_PR_MR
NEW li1 ( 227930 20570 ) L1M1_PR_MR
NEW met1 ( 227930 20570 ) M1M2_PR
NEW li1 ( 215970 27710 ) L1M1_PR_MR
NEW met1 ( 221490 27710 ) M1M2_PR
NEW met1 ( 221490 32130 ) M1M2_PR
NEW met1 ( 227470 32130 ) M1M2_PR
NEW met1 ( 227930 20570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 227470 32130 ) RECT ( -70 -485 70 0 ) ;
- net45 ( ANTENNA__216__A1 DIODE ) ( input45 X ) ( _216_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 238050 172550 ) ( 239430 * )
NEW met1 ( 238970 71910 ) ( 239890 * )
NEW met2 ( 238970 71910 ) ( * 80580 )
NEW met3 ( 238740 80580 ) ( 238970 * )
NEW met4 ( 238740 80580 ) ( * 103700 )
NEW met3 ( 238050 103700 ) ( 238740 * )
NEW met1 ( 227010 70210 ) ( 238970 * )
NEW met2 ( 238970 70210 ) ( * 71910 )
NEW met2 ( 238050 103700 ) ( * 172550 )
NEW met1 ( 238050 172550 ) M1M2_PR
NEW li1 ( 239430 172550 ) L1M1_PR_MR
NEW li1 ( 239890 71910 ) L1M1_PR_MR
NEW met1 ( 238970 71910 ) M1M2_PR
NEW met2 ( 238970 80580 ) M2M3_PR_M
NEW met3 ( 238740 80580 ) M3M4_PR_M
NEW met3 ( 238740 103700 ) M3M4_PR_M
NEW met2 ( 238050 103700 ) M2M3_PR_M
NEW li1 ( 227010 70210 ) L1M1_PR_MR
NEW met1 ( 238970 70210 ) M1M2_PR
NEW met3 ( 238970 80580 ) RECT ( 0 -150 390 150 ) ;
- net46 ( ANTENNA__217__A1 DIODE ) ( input46 X ) ( _217_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 235750 174590 ) ( 239890 * )
NEW met1 ( 239890 77350 ) ( * 77690 )
NEW met1 ( 237590 77690 ) ( 239890 * )
NEW met2 ( 237590 77690 ) ( * 83300 )
NEW met2 ( 237130 83300 ) ( 237590 * )
NEW met2 ( 237130 83300 ) ( * 94690 )
NEW met1 ( 235750 94690 ) ( 237130 * )
NEW met1 ( 229310 77010 ) ( 232070 * )
NEW met1 ( 232070 77010 ) ( * 77350 )
NEW met1 ( 232070 77350 ) ( 237590 * )
NEW met1 ( 237590 77350 ) ( * 77690 )
NEW met2 ( 235750 94690 ) ( * 174590 )
NEW met1 ( 235750 174590 ) M1M2_PR
NEW li1 ( 239890 174590 ) L1M1_PR_MR
NEW li1 ( 239890 77350 ) L1M1_PR_MR
NEW met1 ( 237590 77690 ) M1M2_PR
NEW met1 ( 237130 94690 ) M1M2_PR
NEW met1 ( 235750 94690 ) M1M2_PR
NEW li1 ( 229310 77010 ) L1M1_PR_MR ;
- net47 ( ANTENNA__218__A1 DIODE ) ( input47 X ) ( _218_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 229770 177650 ) ( 239430 * )
NEW met2 ( 234370 69530 ) ( * 75650 )
NEW met1 ( 227930 75650 ) ( 234370 * )
NEW met2 ( 227930 75650 ) ( * 87890 )
NEW met1 ( 227930 87890 ) ( 229310 * )
NEW met2 ( 229310 87890 ) ( * 105740 )
NEW met2 ( 229310 105740 ) ( 229770 * )
NEW met1 ( 224250 69870 ) ( 234370 * )
NEW met1 ( 234370 69530 ) ( * 69870 )
NEW met2 ( 229770 105740 ) ( * 177650 )
NEW met1 ( 229770 177650 ) M1M2_PR
NEW li1 ( 239430 177650 ) L1M1_PR_MR
NEW li1 ( 234370 69530 ) L1M1_PR_MR
NEW met1 ( 234370 69530 ) M1M2_PR
NEW met1 ( 234370 75650 ) M1M2_PR
NEW met1 ( 227930 75650 ) M1M2_PR
NEW met1 ( 227930 87890 ) M1M2_PR
NEW met1 ( 229310 87890 ) M1M2_PR
NEW li1 ( 224250 69870 ) L1M1_PR_MR
NEW met1 ( 234370 69530 ) RECT ( -355 -70 0 70 ) ;
- net48 ( ANTENNA__219__A1 DIODE ) ( input48 X ) ( _219_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 234370 74970 ) ( * 75310 )
NEW met1 ( 234370 75310 ) ( 242190 * )
NEW met1 ( 223790 75310 ) ( 234370 * )
NEW met1 ( 239890 134470 ) ( 241270 * )
NEW met2 ( 241270 110670 ) ( * 134470 )
NEW met1 ( 241270 110670 ) ( 242190 * )
NEW met2 ( 239890 134470 ) ( * 180030 )
NEW met2 ( 242190 75310 ) ( * 110670 )
NEW li1 ( 239890 180030 ) L1M1_PR_MR
NEW met1 ( 239890 180030 ) M1M2_PR
NEW li1 ( 234370 74970 ) L1M1_PR_MR
NEW met1 ( 242190 75310 ) M1M2_PR
NEW li1 ( 223790 75310 ) L1M1_PR_MR
NEW met1 ( 239890 134470 ) M1M2_PR
NEW met1 ( 241270 134470 ) M1M2_PR
NEW met1 ( 241270 110670 ) M1M2_PR
NEW met1 ( 242190 110670 ) M1M2_PR
NEW met1 ( 239890 180030 ) RECT ( -355 -70 0 70 ) ;
- net49 ( ANTENNA__220__A1 DIODE ) ( input49 X ) ( _220_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 229310 183090 ) ( 239430 * )
NEW met1 ( 229310 83810 ) ( 231610 * )
NEW met2 ( 231610 83810 ) ( * 91290 )
NEW met1 ( 230690 91290 ) ( 231610 * )
NEW met2 ( 230690 91290 ) ( * 106590 )
NEW met1 ( 229310 106590 ) ( 230690 * )
NEW met1 ( 239890 82790 ) ( * 83470 )
NEW met1 ( 231610 83470 ) ( 239890 * )
NEW met1 ( 231610 83470 ) ( * 83810 )
NEW met2 ( 229310 106590 ) ( * 183090 )
NEW met1 ( 229310 183090 ) M1M2_PR
NEW li1 ( 239430 183090 ) L1M1_PR_MR
NEW li1 ( 229310 83810 ) L1M1_PR_MR
NEW met1 ( 231610 83810 ) M1M2_PR
NEW met1 ( 231610 91290 ) M1M2_PR
NEW met1 ( 230690 91290 ) M1M2_PR
NEW met1 ( 230690 106590 ) M1M2_PR
NEW met1 ( 229310 106590 ) M1M2_PR
NEW li1 ( 239890 82790 ) L1M1_PR_MR ;
- net5 ( input5 X ) ( _205_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 50150 ) ( * 52190 )
NEW met1 ( 238050 52190 ) ( 239890 * )
NEW li1 ( 238050 50150 ) L1M1_PR_MR
NEW met1 ( 238050 50150 ) M1M2_PR
NEW met1 ( 238050 52190 ) M1M2_PR
NEW li1 ( 239890 52190 ) L1M1_PR_MR
NEW met1 ( 238050 50150 ) RECT ( -355 -70 0 70 ) ;
- net50 ( ANTENNA__223__A1 DIODE ) ( input50 X ) ( _223_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 239890 88230 ) ( * 97580 )
NEW met2 ( 239890 97580 ) ( 240350 * )
NEW met2 ( 240350 97580 ) ( * 103700 )
NEW met2 ( 239890 103700 ) ( 240350 * )
NEW met2 ( 239890 103700 ) ( * 107270 )
NEW met1 ( 239890 107270 ) ( 241270 * )
NEW met1 ( 241270 107270 ) ( * 108290 )
NEW met2 ( 241270 108290 ) ( * 109990 )
NEW met1 ( 241270 109990 ) ( * 110330 )
NEW met1 ( 240350 110330 ) ( 241270 * )
NEW met1 ( 232530 88910 ) ( 239890 * )
NEW met2 ( 240350 110330 ) ( * 110400 )
NEW met2 ( 239430 131580 ) ( 239890 * )
NEW met2 ( 239890 110400 ) ( * 131580 )
NEW met2 ( 239890 110400 ) ( 240350 * )
NEW met2 ( 239430 131580 ) ( * 188530 )
NEW li1 ( 239430 188530 ) L1M1_PR_MR
NEW met1 ( 239430 188530 ) M1M2_PR
NEW li1 ( 239890 88230 ) L1M1_PR_MR
NEW met1 ( 239890 88230 ) M1M2_PR
NEW met1 ( 239890 107270 ) M1M2_PR
NEW met1 ( 241270 108290 ) M1M2_PR
NEW met1 ( 241270 109990 ) M1M2_PR
NEW met1 ( 240350 110330 ) M1M2_PR
NEW li1 ( 232530 88910 ) L1M1_PR_MR
NEW met1 ( 239890 88910 ) M1M2_PR
NEW met1 ( 239430 188530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 239890 88230 ) RECT ( -355 -70 0 70 )
NEW met2 ( 239890 88910 ) RECT ( -70 -485 70 0 ) ;
- net51 ( ANTENNA__224__A1 DIODE ) ( input51 X ) ( _224_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 232990 190910 ) ( 239890 * )
NEW met1 ( 233910 85850 ) ( 234370 * )
NEW met2 ( 233910 85850 ) ( * 87550 )
NEW met1 ( 232990 87550 ) ( 233910 * )
NEW met1 ( 227010 85170 ) ( 233910 * )
NEW met2 ( 233910 85170 ) ( * 85850 )
NEW met2 ( 232990 87550 ) ( * 190910 )
NEW met1 ( 232990 190910 ) M1M2_PR
NEW li1 ( 239890 190910 ) L1M1_PR_MR
NEW li1 ( 234370 85850 ) L1M1_PR_MR
NEW met1 ( 233910 85850 ) M1M2_PR
NEW met1 ( 233910 87550 ) M1M2_PR
NEW met1 ( 232990 87550 ) M1M2_PR
NEW li1 ( 227010 85170 ) L1M1_PR_MR
NEW met1 ( 233910 85170 ) M1M2_PR ;
- net52 ( ANTENNA__225__A1 DIODE ) ( input52 X ) ( _225_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 238970 193970 ) ( 239430 * )
NEW met1 ( 239890 93670 ) ( * 94350 )
NEW met1 ( 236210 94350 ) ( 239890 * )
NEW met2 ( 236210 94350 ) ( * 105740 )
NEW met2 ( 236210 105740 ) ( 236670 * )
NEW met1 ( 229310 94350 ) ( 236210 * )
NEW met1 ( 236670 134470 ) ( 238970 * )
NEW met2 ( 236670 105740 ) ( * 134470 )
NEW met2 ( 238970 134470 ) ( * 193970 )
NEW met1 ( 238970 193970 ) M1M2_PR
NEW li1 ( 239430 193970 ) L1M1_PR_MR
NEW li1 ( 239890 93670 ) L1M1_PR_MR
NEW met1 ( 236210 94350 ) M1M2_PR
NEW li1 ( 229310 94350 ) L1M1_PR_MR
NEW met1 ( 236670 134470 ) M1M2_PR
NEW met1 ( 238970 134470 ) M1M2_PR ;
- net53 ( ANTENNA__226__A1 DIODE ) ( input53 X ) ( _226_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 227930 196350 ) ( 239890 * )
NEW met1 ( 227010 91290 ) ( 227930 * )
NEW met1 ( 234370 90270 ) ( * 91290 )
NEW met1 ( 232070 90270 ) ( 234370 * )
NEW met1 ( 232070 90270 ) ( * 90610 )
NEW met1 ( 227930 90610 ) ( 232070 * )
NEW met1 ( 227930 90610 ) ( * 91290 )
NEW met2 ( 227930 91290 ) ( * 196350 )
NEW met1 ( 227930 196350 ) M1M2_PR
NEW li1 ( 239890 196350 ) L1M1_PR_MR
NEW li1 ( 227010 91290 ) L1M1_PR_MR
NEW met1 ( 227930 91290 ) M1M2_PR
NEW li1 ( 234370 91290 ) L1M1_PR_MR ;
- net54 ( ANTENNA__227__A1 DIODE ) ( input54 X ) ( _227_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 239890 199070 ) ( 240810 * )
NEW met2 ( 239890 99110 ) ( * 102850 )
NEW met1 ( 239890 102850 ) ( 241270 * )
NEW met2 ( 241270 102850 ) ( * 107780 )
NEW met2 ( 240810 107780 ) ( 241270 * )
NEW met1 ( 235290 102850 ) ( 239890 * )
NEW met2 ( 240810 107780 ) ( * 199070 )
NEW met1 ( 240810 199070 ) M1M2_PR
NEW li1 ( 239890 199070 ) L1M1_PR_MR
NEW li1 ( 239890 99110 ) L1M1_PR_MR
NEW met1 ( 239890 99110 ) M1M2_PR
NEW met1 ( 239890 102850 ) M1M2_PR
NEW met1 ( 241270 102850 ) M1M2_PR
NEW li1 ( 235290 102850 ) L1M1_PR_MR
NEW met1 ( 239890 99110 ) RECT ( -355 -70 0 70 ) ;
- net55 ( ANTENNA__190__A1 DIODE ) ( input55 X ) ( _190_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 235750 86190 ) ( 237590 * )
NEW met1 ( 232530 40290 ) ( 235750 * )
NEW met1 ( 227930 26010 ) ( 235750 * )
NEW met2 ( 235750 26010 ) ( * 40290 )
NEW met2 ( 235750 40290 ) ( * 86190 )
NEW met2 ( 237590 101660 ) ( 238050 * )
NEW met2 ( 238050 101660 ) ( * 103020 )
NEW met3 ( 238050 103020 ) ( 239660 * )
NEW met3 ( 239660 103020 ) ( * 105060 )
NEW met3 ( 238970 105060 ) ( 239660 * )
NEW met2 ( 238970 105060 ) ( * 112370 )
NEW met1 ( 238970 112370 ) ( 239430 * )
NEW met2 ( 237590 86190 ) ( * 101660 )
NEW met1 ( 235750 86190 ) M1M2_PR
NEW met1 ( 237590 86190 ) M1M2_PR
NEW li1 ( 232530 40290 ) L1M1_PR_MR
NEW met1 ( 235750 40290 ) M1M2_PR
NEW li1 ( 227930 26010 ) L1M1_PR_MR
NEW met1 ( 235750 26010 ) M1M2_PR
NEW met2 ( 238050 103020 ) M2M3_PR_M
NEW met2 ( 238970 105060 ) M2M3_PR_M
NEW met1 ( 238970 112370 ) M1M2_PR
NEW li1 ( 239430 112370 ) L1M1_PR_MR ;
- net56 ( ANTENNA__228__A1 DIODE ) ( input56 X ) ( _228_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 235290 204850 ) ( 239430 * )
NEW met2 ( 235290 179400 ) ( * 204850 )
NEW met2 ( 234830 179400 ) ( 235290 * )
NEW met1 ( 234370 96730 ) ( 234830 * )
NEW met1 ( 227010 96050 ) ( 234370 * )
NEW met1 ( 234370 96050 ) ( * 96730 )
NEW met2 ( 234830 96730 ) ( * 179400 )
NEW met1 ( 235290 204850 ) M1M2_PR
NEW li1 ( 239430 204850 ) L1M1_PR_MR
NEW li1 ( 234370 96730 ) L1M1_PR_MR
NEW met1 ( 234830 96730 ) M1M2_PR
NEW li1 ( 227010 96050 ) L1M1_PR_MR ;
- net57 ( ANTENNA__229__A1 DIODE ) ( input57 X ) ( _229_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 231610 102850 ) ( 232070 * )
NEW met2 ( 231610 99110 ) ( * 102850 )
NEW met1 ( 231610 207570 ) ( 239430 * )
NEW met2 ( 231610 102850 ) ( * 207570 )
NEW li1 ( 232070 102850 ) L1M1_PR_MR
NEW met1 ( 231610 102850 ) M1M2_PR
NEW li1 ( 231610 99110 ) L1M1_PR_MR
NEW met1 ( 231610 99110 ) M1M2_PR
NEW met1 ( 231610 207570 ) M1M2_PR
NEW li1 ( 239430 207570 ) L1M1_PR_MR
NEW met1 ( 231610 99110 ) RECT ( -355 -70 0 70 ) ;
- net58 ( ANTENNA__191__A1 DIODE ) ( input58 X ) ( _191_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 221030 22610 ) ( * 22780 )
NEW met2 ( 221030 22780 ) ( 221950 * )
NEW met2 ( 221950 22780 ) ( * 62100 )
NEW met2 ( 221490 62100 ) ( 221950 * )
NEW met1 ( 209990 22610 ) ( 221030 * )
NEW met1 ( 221490 114750 ) ( 239890 * )
NEW met2 ( 221490 62100 ) ( * 114750 )
NEW li1 ( 221030 22610 ) L1M1_PR_MR
NEW met1 ( 221030 22610 ) M1M2_PR
NEW li1 ( 209990 22610 ) L1M1_PR_MR
NEW met1 ( 221490 114750 ) M1M2_PR
NEW li1 ( 239890 114750 ) L1M1_PR_MR
NEW met1 ( 221030 22610 ) RECT ( -355 -70 0 70 ) ;
- net59 ( ANTENNA__192__A1 DIODE ) ( input59 X ) ( _192_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 227010 120190 ) ( 239890 * )
NEW met2 ( 227010 48300 ) ( * 120190 )
NEW met1 ( 227010 17510 ) ( * 18530 )
NEW met1 ( 227010 18530 ) ( 227470 * )
NEW met2 ( 227470 18530 ) ( * 26350 )
NEW met2 ( 226550 26350 ) ( 227470 * )
NEW met2 ( 226550 26350 ) ( * 48300 )
NEW met2 ( 226550 48300 ) ( 227010 * )
NEW met1 ( 209530 15130 ) ( 227470 * )
NEW met2 ( 227470 15130 ) ( * 18530 )
NEW met1 ( 227010 120190 ) M1M2_PR
NEW li1 ( 239890 120190 ) L1M1_PR_MR
NEW li1 ( 227010 17510 ) L1M1_PR_MR
NEW met1 ( 227470 18530 ) M1M2_PR
NEW li1 ( 209530 15130 ) L1M1_PR_MR
NEW met1 ( 227470 15130 ) M1M2_PR ;
- net6 ( input6 X ) ( _206_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 232530 47770 ) ( * 49470 )
NEW li1 ( 232530 47770 ) L1M1_PR_MR
NEW met1 ( 232530 47770 ) M1M2_PR
NEW li1 ( 232530 49470 ) L1M1_PR_MR
NEW met1 ( 232530 49470 ) M1M2_PR
NEW met1 ( 232530 47770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 232530 49470 ) RECT ( -355 -70 0 70 ) ;
- net60 ( ANTENNA__195__A1 DIODE ) ( input60 X ) ( _195_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 238970 22950 ) ( 239890 * )
NEW met2 ( 238970 22950 ) ( * 62100 )
NEW met2 ( 238970 62100 ) ( 239430 * )
NEW met2 ( 207690 21250 ) ( * 22270 )
NEW met1 ( 207690 21250 ) ( 238970 * )
NEW met2 ( 238970 21250 ) ( * 22950 )
NEW met2 ( 239430 62100 ) ( * 123250 )
NEW li1 ( 239890 22950 ) L1M1_PR_MR
NEW met1 ( 238970 22950 ) M1M2_PR
NEW li1 ( 207690 22270 ) L1M1_PR_MR
NEW met1 ( 207690 22270 ) M1M2_PR
NEW met1 ( 207690 21250 ) M1M2_PR
NEW met1 ( 238970 21250 ) M1M2_PR
NEW li1 ( 239430 123250 ) L1M1_PR_MR
NEW met1 ( 239430 123250 ) M1M2_PR
NEW met1 ( 207690 22270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 239430 123250 ) RECT ( -355 -70 0 70 ) ;
- net61 ( ANTENNA__196__A1 DIODE ) ( input61 X ) ( _196_ A1 ) + USE SIGNAL
+ ROUTED met3 ( 236670 105060 ) ( 237590 * )
NEW met1 ( 239890 28390 ) ( * 29070 )
NEW met1 ( 236670 29070 ) ( 239890 * )
NEW met1 ( 219190 34850 ) ( 227470 * )
NEW met1 ( 227470 34170 ) ( * 34850 )
NEW met1 ( 227470 34170 ) ( 236210 * )
NEW met2 ( 236210 34170 ) ( * 34340 )
NEW met2 ( 236210 34340 ) ( 236670 * )
NEW met2 ( 236670 29070 ) ( * 105060 )
NEW met1 ( 237590 125630 ) ( 239890 * )
NEW met2 ( 237590 105060 ) ( * 125630 )
NEW met2 ( 236670 105060 ) M2M3_PR_M
NEW met2 ( 237590 105060 ) M2M3_PR_M
NEW li1 ( 239890 28390 ) L1M1_PR_MR
NEW met1 ( 236670 29070 ) M1M2_PR
NEW li1 ( 219190 34850 ) L1M1_PR_MR
NEW met1 ( 236210 34170 ) M1M2_PR
NEW met1 ( 237590 125630 ) M1M2_PR
NEW li1 ( 239890 125630 ) L1M1_PR_MR ;
- net62 ( ANTENNA__197__A1 DIODE ) ( input62 X ) ( _197_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 238510 33830 ) ( 239890 * )
NEW met1 ( 226090 39950 ) ( 238510 * )
NEW met2 ( 238510 102850 ) ( 238970 * )
NEW met2 ( 238970 102850 ) ( * 104210 )
NEW met2 ( 238510 104210 ) ( 238970 * )
NEW met2 ( 238510 104210 ) ( * 128690 )
NEW met1 ( 238510 128690 ) ( 239430 * )
NEW met2 ( 238510 33830 ) ( * 102850 )
NEW li1 ( 239890 33830 ) L1M1_PR_MR
NEW met1 ( 238510 33830 ) M1M2_PR
NEW li1 ( 226090 39950 ) L1M1_PR_MR
NEW met1 ( 238510 39950 ) M1M2_PR
NEW met1 ( 238510 128690 ) M1M2_PR
NEW li1 ( 239430 128690 ) L1M1_PR_MR
NEW met2 ( 238510 39950 ) RECT ( -70 -485 70 0 ) ;
- net63 ( ANTENNA__198__A1 DIODE ) ( input63 X ) ( _198_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 234370 31450 ) ( * 32300 )
NEW met3 ( 234370 32300 ) ( 240580 * )
NEW met1 ( 216430 32130 ) ( 220570 * )
NEW met2 ( 220570 32130 ) ( * 32300 )
NEW met3 ( 220570 32300 ) ( 234370 * )
NEW met3 ( 239890 132260 ) ( 240580 * )
NEW met2 ( 239890 132260 ) ( * 132770 )
NEW met4 ( 240580 32300 ) ( * 132260 )
NEW li1 ( 234370 31450 ) L1M1_PR_MR
NEW met1 ( 234370 31450 ) M1M2_PR
NEW met2 ( 234370 32300 ) M2M3_PR_M
NEW met3 ( 240580 32300 ) M3M4_PR_M
NEW li1 ( 216430 32130 ) L1M1_PR_MR
NEW met1 ( 220570 32130 ) M1M2_PR
NEW met2 ( 220570 32300 ) M2M3_PR_M
NEW met3 ( 240580 132260 ) M3M4_PR_M
NEW met2 ( 239890 132260 ) M2M3_PR_M
NEW li1 ( 239890 132770 ) L1M1_PR_MR
NEW met1 ( 239890 132770 ) M1M2_PR
NEW met1 ( 234370 31450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 239890 132770 ) RECT ( -355 -70 0 70 ) ;
- net64 ( ANTENNA__199__A1 DIODE ) ( input64 X ) ( _199_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 235290 93500 ) ( 236210 * )
NEW met1 ( 228850 45390 ) ( 236210 * )
NEW met1 ( 239890 39270 ) ( * 39610 )
NEW met1 ( 236210 39610 ) ( 239890 * )
NEW met2 ( 236210 39610 ) ( * 45390 )
NEW met2 ( 236210 45390 ) ( * 93500 )
NEW met1 ( 235290 134130 ) ( 239430 * )
NEW met2 ( 235290 93500 ) ( * 134130 )
NEW li1 ( 228850 45390 ) L1M1_PR_MR
NEW met1 ( 236210 45390 ) M1M2_PR
NEW li1 ( 239890 39270 ) L1M1_PR_MR
NEW met1 ( 236210 39610 ) M1M2_PR
NEW met1 ( 235290 134130 ) M1M2_PR
NEW li1 ( 239430 134130 ) L1M1_PR_MR ;
- net65 ( input65 X ) ( _440_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 144670 15810 ) ( 151110 * )
NEW met2 ( 151110 15810 ) ( * 19550 )
NEW met1 ( 151110 19550 ) ( 152950 * )
NEW li1 ( 144670 15810 ) L1M1_PR_MR
NEW met1 ( 151110 15810 ) M1M2_PR
NEW met1 ( 151110 19550 ) M1M2_PR
NEW li1 ( 152950 19550 ) L1M1_PR_MR ;
- net66 ( input66 X ) ( _441_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 152950 15810 ) ( 154330 * )
NEW met2 ( 152950 15810 ) ( * 22270 )
NEW met1 ( 151570 22270 ) ( 152950 * )
NEW li1 ( 154330 15810 ) L1M1_PR_MR
NEW met1 ( 152950 15810 ) M1M2_PR
NEW met1 ( 152950 22270 ) M1M2_PR
NEW li1 ( 151570 22270 ) L1M1_PR_MR ;
- net67 ( input67 X ) ( _440_ S ) + USE SIGNAL
+ ROUTED met1 ( 144210 14790 ) ( 145590 * )
NEW met2 ( 144210 14790 ) ( * 22270 )
NEW li1 ( 145590 14790 ) L1M1_PR_MR
NEW met1 ( 144210 14790 ) M1M2_PR
NEW li1 ( 144210 22270 ) L1M1_PR_MR
NEW met1 ( 144210 22270 ) M1M2_PR
NEW met1 ( 144210 22270 ) RECT ( -355 -70 0 70 ) ;
- net68 ( input68 X ) ( _441_ S ) + USE SIGNAL
+ ROUTED met2 ( 156170 14790 ) ( * 19550 )
NEW li1 ( 156170 19550 ) L1M1_PR_MR
NEW met1 ( 156170 19550 ) M1M2_PR
NEW met1 ( 156170 14790 ) M1M2_PR
NEW met1 ( 156170 19550 ) RECT ( -355 -70 0 70 ) ;
- net69 ( ANTENNA__441__A1 DIODE ) ( ANTENNA__124__A DIODE ) ( ANTENNA__103__A DIODE ) ( input69 X ) ( _103_ A ) ( _124_ A ) ( _441_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 50830 62100 ) ( 51750 * )
NEW met2 ( 52670 211650 ) ( * 213350 )
NEW met1 ( 50830 211650 ) ( 51290 * )
NEW met2 ( 50830 62100 ) ( * 211650 )
NEW met1 ( 147430 22270 ) ( 147890 * )
NEW met2 ( 147430 17340 ) ( * 22270 )
NEW met1 ( 152030 15130 ) ( 152950 * 0 )
NEW met2 ( 152030 15130 ) ( * 17340 )
NEW met3 ( 147430 17340 ) ( 152030 * )
NEW met1 ( 134090 213350 ) ( 152950 * )
NEW met2 ( 134090 211650 ) ( * 213350 )
NEW met1 ( 152950 213010 ) ( 156170 * )
NEW met1 ( 152950 213010 ) ( * 213350 )
NEW met1 ( 51290 211650 ) ( 134090 * )
NEW met3 ( 47150 20060 ) ( * 20230 )
NEW met3 ( 40940 20060 ) ( 47150 * )
NEW met3 ( 40940 19380 ) ( * 20060 )
NEW met3 ( 30820 19380 ) ( 40940 * )
NEW met4 ( 30820 14620 ) ( * 19380 )
NEW met3 ( 18630 14620 ) ( 30820 * )
NEW met2 ( 18630 14450 ) ( * 14620 )
NEW met1 ( 16790 14450 ) ( 18630 * )
NEW met1 ( 16790 14450 ) ( * 14790 )
NEW met1 ( 10350 14790 ) ( 16790 * )
NEW met3 ( 47150 20230 ) ( 48300 * )
NEW met3 ( 48300 20060 ) ( * 20230 )
NEW met3 ( 48300 20060 ) ( 51060 * )
NEW met3 ( 51060 19380 ) ( * 20060 )
NEW met3 ( 51060 19380 ) ( 56350 * )
NEW met3 ( 56350 18700 ) ( * 19380 )
NEW met3 ( 56350 18700 ) ( 64170 * )
NEW met2 ( 64170 17340 ) ( * 18700 )
NEW met3 ( 51060 32300 ) ( 51750 * )
NEW met4 ( 51060 20060 ) ( * 32300 )
NEW met2 ( 51750 32300 ) ( * 62100 )
NEW met3 ( 64170 17340 ) ( 147430 * )
NEW li1 ( 51290 211650 ) L1M1_PR_MR
NEW li1 ( 52670 213350 ) L1M1_PR_MR
NEW met1 ( 52670 213350 ) M1M2_PR
NEW met1 ( 52670 211650 ) M1M2_PR
NEW met1 ( 50830 211650 ) M1M2_PR
NEW li1 ( 147890 22270 ) L1M1_PR_MR
NEW met1 ( 147430 22270 ) M1M2_PR
NEW met2 ( 147430 17340 ) M2M3_PR_M
NEW met1 ( 152030 15130 ) M1M2_PR
NEW met2 ( 152030 17340 ) M2M3_PR_M
NEW li1 ( 152950 213350 ) L1M1_PR_MR
NEW met1 ( 134090 213350 ) M1M2_PR
NEW met1 ( 134090 211650 ) M1M2_PR
NEW li1 ( 156170 213010 ) L1M1_PR_MR
NEW met3 ( 30820 19380 ) M3M4_PR_M
NEW met3 ( 30820 14620 ) M3M4_PR_M
NEW met2 ( 18630 14620 ) M2M3_PR_M
NEW met1 ( 18630 14450 ) M1M2_PR
NEW li1 ( 10350 14790 ) L1M1_PR_MR
NEW met2 ( 64170 18700 ) M2M3_PR_M
NEW met2 ( 64170 17340 ) M2M3_PR_M
NEW met2 ( 51750 32300 ) M2M3_PR_M
NEW met3 ( 51060 32300 ) M3M4_PR_M
NEW met3 ( 51060 20060 ) M3M4_PR_M
NEW met1 ( 52670 213350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52670 211650 ) RECT ( -595 -70 0 70 )
NEW met3 ( 51060 20060 ) RECT ( -800 -150 0 150 ) ;
- net7 ( input7 X ) ( _209_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238050 55590 ) ( * 57630 )
NEW met1 ( 238050 57630 ) ( 239890 * )
NEW li1 ( 238050 55590 ) L1M1_PR_MR
NEW met1 ( 238050 55590 ) M1M2_PR
NEW met1 ( 238050 57630 ) M1M2_PR
NEW li1 ( 239890 57630 ) L1M1_PR_MR
NEW met1 ( 238050 55590 ) RECT ( -355 -70 0 70 ) ;
- net70 ( input70 X ) ( _107_ A ) + USE SIGNAL
+ ROUTED met2 ( 14030 15130 ) ( 14490 * )
NEW met2 ( 14030 15130 ) ( * 15810 )
NEW met1 ( 7130 15810 ) ( 14030 * )
NEW met2 ( 7130 15810 ) ( * 33150 )
NEW met1 ( 7130 33150 ) ( 8050 * )
NEW li1 ( 14490 15130 ) L1M1_PR_MR
NEW met1 ( 14490 15130 ) M1M2_PR
NEW met1 ( 14030 15810 ) M1M2_PR
NEW met1 ( 7130 15810 ) M1M2_PR
NEW met1 ( 7130 33150 ) M1M2_PR
NEW li1 ( 8050 33150 ) L1M1_PR_MR
NEW met1 ( 14490 15130 ) RECT ( 0 -70 355 70 ) ;
- net71 ( input71 X ) ( _112_ C ) + USE SIGNAL
+ ROUTED met1 ( 17250 14790 ) ( 20010 * )
NEW met2 ( 17250 14790 ) ( * 33490 )
NEW met1 ( 17250 33490 ) ( 27370 * )
NEW met1 ( 27370 33150 ) ( * 33490 )
NEW li1 ( 20010 14790 ) L1M1_PR_MR
NEW met1 ( 17250 14790 ) M1M2_PR
NEW met1 ( 17250 33490 ) M1M2_PR
NEW li1 ( 27370 33150 ) L1M1_PR_MR ;
- net72 ( input72 X ) ( _112_ B ) + USE SIGNAL
+ ROUTED met2 ( 20010 14110 ) ( * 35870 )
NEW met1 ( 20010 35870 ) ( 21850 * )
NEW li1 ( 20010 14110 ) L1M1_PR_MR
NEW met1 ( 20010 14110 ) M1M2_PR
NEW met1 ( 20010 35870 ) M1M2_PR
NEW li1 ( 21850 35870 ) L1M1_PR_MR
NEW met1 ( 20010 14110 ) RECT ( -355 -70 0 70 ) ;
- net73 ( input73 X ) ( _116_ A ) + USE SIGNAL
+ ROUTED met2 ( 27830 15130 ) ( * 33150 )
NEW met1 ( 27830 33150 ) ( 30590 * )
NEW li1 ( 27830 15130 ) L1M1_PR_MR
NEW met1 ( 27830 15130 ) M1M2_PR
NEW met1 ( 27830 33150 ) M1M2_PR
NEW li1 ( 30590 33150 ) L1M1_PR_MR
NEW met1 ( 27830 15130 ) RECT ( -355 -70 0 70 ) ;
- net74 ( input74 X ) ( _112_ D ) + USE SIGNAL
+ ROUTED met1 ( 20930 15470 ) ( 24610 * )
NEW met2 ( 24610 15470 ) ( * 18020 )
NEW met2 ( 24610 18020 ) ( 25070 * )
NEW met2 ( 25070 18020 ) ( * 35870 )
NEW li1 ( 20930 15470 ) L1M1_PR_MR
NEW met1 ( 24610 15470 ) M1M2_PR
NEW li1 ( 25070 35870 ) L1M1_PR_MR
NEW met1 ( 25070 35870 ) M1M2_PR
NEW met1 ( 25070 35870 ) RECT ( -355 -70 0 70 ) ;
- net75 ( input75 X ) ( _116_ C ) + USE SIGNAL
+ ROUTED met1 ( 28750 14790 ) ( 32430 * )
NEW met2 ( 32430 14790 ) ( * 33150 )
NEW met1 ( 32430 33150 ) ( 33810 * )
NEW li1 ( 28750 14790 ) L1M1_PR_MR
NEW met1 ( 32430 14790 ) M1M2_PR
NEW met1 ( 32430 33150 ) M1M2_PR
NEW li1 ( 33810 33150 ) L1M1_PR_MR ;
- net76 ( input76 X ) ( _116_ B ) + USE SIGNAL
+ ROUTED met2 ( 28290 14110 ) ( * 35870 )
NEW li1 ( 28290 14110 ) L1M1_PR_MR
NEW met1 ( 28290 14110 ) M1M2_PR
NEW li1 ( 28290 35870 ) L1M1_PR_MR
NEW met1 ( 28290 35870 ) M1M2_PR
NEW met1 ( 28290 14110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28290 35870 ) RECT ( -355 -70 0 70 ) ;
- net77 ( input77 X ) ( _115_ A ) + USE SIGNAL
+ ROUTED met1 ( 32430 17170 ) ( * 17510 )
NEW met1 ( 32430 17170 ) ( 33350 * )
NEW met1 ( 33350 17170 ) ( * 17510 )
NEW met1 ( 33350 17510 ) ( 35650 * )
NEW met2 ( 35650 17510 ) ( * 33150 )
NEW met1 ( 35650 33150 ) ( 37030 * )
NEW li1 ( 32430 17510 ) L1M1_PR_MR
NEW met1 ( 35650 17510 ) M1M2_PR
NEW met1 ( 35650 33150 ) M1M2_PR
NEW li1 ( 37030 33150 ) L1M1_PR_MR ;
- net78 ( input78 X ) ( _116_ D ) + USE SIGNAL
+ ROUTED met1 ( 29210 15470 ) ( 31970 * )
NEW met2 ( 31970 15470 ) ( * 35870 )
NEW li1 ( 29210 15470 ) L1M1_PR_MR
NEW met1 ( 31970 15470 ) M1M2_PR
NEW li1 ( 31970 35870 ) L1M1_PR_MR
NEW met1 ( 31970 35870 ) M1M2_PR
NEW met1 ( 31970 35870 ) RECT ( -355 -70 0 70 ) ;
- net79 ( input79 X ) ( _115_ C ) + USE SIGNAL
+ ROUTED met1 ( 31970 17850 ) ( 32890 * )
NEW met1 ( 31970 16830 ) ( * 17850 )
NEW met1 ( 31970 16830 ) ( 40250 * )
NEW met2 ( 40250 16830 ) ( * 20570 )
NEW met2 ( 39790 20570 ) ( 40250 * )
NEW met2 ( 39790 20570 ) ( * 33150 )
NEW met1 ( 39790 33150 ) ( 40250 * )
NEW li1 ( 32890 17850 ) L1M1_PR_MR
NEW met1 ( 40250 16830 ) M1M2_PR
NEW met1 ( 39790 33150 ) M1M2_PR
NEW li1 ( 40250 33150 ) L1M1_PR_MR ;
- net8 ( input8 X ) ( _210_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 238050 63070 ) ( 239890 * )
NEW met2 ( 238050 61030 ) ( * 63070 )
NEW met1 ( 238050 63070 ) M1M2_PR
NEW li1 ( 239890 63070 ) L1M1_PR_MR
NEW li1 ( 238050 61030 ) L1M1_PR_MR
NEW met1 ( 238050 61030 ) M1M2_PR
NEW met1 ( 238050 61030 ) RECT ( -355 -70 0 70 ) ;
- net80 ( input80 X ) ( _115_ B ) + USE SIGNAL
+ ROUTED met1 ( 33810 18530 ) ( 34270 * )
NEW met2 ( 34270 18530 ) ( * 23460 )
NEW met2 ( 34270 23460 ) ( 34730 * )
NEW met2 ( 34730 23460 ) ( * 25500 )
NEW met2 ( 34270 25500 ) ( 34730 * )
NEW met2 ( 34270 25500 ) ( * 27540 )
NEW met2 ( 34270 27540 ) ( 34730 * )
NEW met2 ( 34730 27540 ) ( * 35870 )
NEW met1 ( 34730 35870 ) ( 35190 * )
NEW li1 ( 33810 18530 ) L1M1_PR_MR
NEW met1 ( 34270 18530 ) M1M2_PR
NEW met1 ( 34730 35870 ) M1M2_PR
NEW li1 ( 35190 35870 ) L1M1_PR_MR ;
- net81 ( input81 X ) ( _111_ D ) + USE SIGNAL
+ ROUTED met1 ( 14030 20560 ) ( * 20570 )
NEW met1 ( 14030 20560 ) ( 14490 * )
NEW met1 ( 14490 20560 ) ( * 20570 )
NEW met1 ( 14490 20570 ) ( 14950 * )
NEW met1 ( 14950 20570 ) ( * 21250 )
NEW met1 ( 9430 21250 ) ( 14950 * )
NEW met2 ( 9430 21250 ) ( * 30770 )
NEW met1 ( 9430 30770 ) ( * 31110 )
NEW met1 ( 8050 31110 ) ( 9430 * )
NEW met2 ( 8050 31110 ) ( * 35870 )
NEW li1 ( 14030 20570 ) L1M1_PR_MR
NEW met1 ( 9430 21250 ) M1M2_PR
NEW met1 ( 9430 30770 ) M1M2_PR
NEW met1 ( 8050 31110 ) M1M2_PR
NEW li1 ( 8050 35870 ) L1M1_PR_MR
NEW met1 ( 8050 35870 ) M1M2_PR
NEW met1 ( 8050 35870 ) RECT ( -355 -70 0 70 ) ;
- net82 ( input82 X ) ( _117_ A ) ( _180_ C_N ) ( _184_ A ) + USE SIGNAL
+ ROUTED met2 ( 39790 35020 ) ( * 35870 )
NEW met1 ( 39790 35870 ) ( 40250 * )
NEW met1 ( 35650 15130 ) ( 41170 * )
NEW met2 ( 41170 15130 ) ( * 20740 )
NEW met4 ( 41860 20740 ) ( * 35020 )
NEW met1 ( 42550 20570 ) ( 43930 * )
NEW met2 ( 42550 20570 ) ( * 20740 )
NEW met3 ( 41860 20740 ) ( 42550 * )
NEW met1 ( 47610 20230 ) ( * 20570 )
NEW met1 ( 43930 20230 ) ( 47610 * )
NEW met1 ( 43930 20230 ) ( * 20570 )
NEW met3 ( 41170 20740 ) ( 41860 * )
NEW met3 ( 39790 35020 ) ( 41860 * )
NEW met2 ( 39790 35020 ) M2M3_PR_M
NEW met1 ( 39790 35870 ) M1M2_PR
NEW li1 ( 40250 35870 ) L1M1_PR_MR
NEW li1 ( 35650 15130 ) L1M1_PR_MR
NEW met1 ( 41170 15130 ) M1M2_PR
NEW met2 ( 41170 20740 ) M2M3_PR_M
NEW met3 ( 41860 20740 ) M3M4_PR_M
NEW met3 ( 41860 35020 ) M3M4_PR_M
NEW li1 ( 43930 20570 ) L1M1_PR_MR
NEW met1 ( 42550 20570 ) M1M2_PR
NEW met2 ( 42550 20740 ) M2M3_PR_M
NEW li1 ( 47610 20570 ) L1M1_PR_MR ;
- net83 ( input83 X ) ( _115_ D ) ( _179_ A ) + USE SIGNAL
+ ROUTED met1 ( 37490 28390 ) ( 40710 * )
NEW met2 ( 40710 28390 ) ( 41170 * )
NEW met2 ( 41170 28390 ) ( * 28730 )
NEW met2 ( 41170 28730 ) ( 41630 * )
NEW met2 ( 41630 28730 ) ( * 35870 )
NEW met1 ( 41630 35870 ) ( 42550 * )
NEW met1 ( 33810 17170 ) ( 37490 * )
NEW met2 ( 37490 17170 ) ( * 25500 )
NEW met2 ( 37030 25500 ) ( 37490 * )
NEW met2 ( 37030 25500 ) ( * 28390 )
NEW met1 ( 37030 28390 ) ( 37490 * )
NEW li1 ( 37490 28390 ) L1M1_PR_MR
NEW met1 ( 40710 28390 ) M1M2_PR
NEW met1 ( 41630 35870 ) M1M2_PR
NEW li1 ( 42550 35870 ) L1M1_PR_MR
NEW li1 ( 33810 17170 ) L1M1_PR_MR
NEW met1 ( 37490 17170 ) M1M2_PR
NEW met1 ( 37030 28390 ) M1M2_PR ;
- net84 ( input84 X ) ( _117_ B ) ( _179_ B ) + USE SIGNAL
+ ROUTED met2 ( 37030 29410 ) ( * 29580 )
NEW met3 ( 36340 29580 ) ( 37030 * )
NEW met4 ( 36340 20060 ) ( * 29580 )
NEW met3 ( 35190 20060 ) ( 36340 * )
NEW met2 ( 35190 14110 ) ( * 20060 )
NEW met2 ( 40710 29410 ) ( * 32130 )
NEW met1 ( 37030 29410 ) ( 40710 * )
NEW met1 ( 40710 32130 ) ( 48530 * )
NEW li1 ( 48530 32130 ) L1M1_PR_MR
NEW li1 ( 37030 29410 ) L1M1_PR_MR
NEW met1 ( 37030 29410 ) M1M2_PR
NEW met2 ( 37030 29580 ) M2M3_PR_M
NEW met3 ( 36340 29580 ) M3M4_PR_M
NEW met3 ( 36340 20060 ) M3M4_PR_M
NEW met2 ( 35190 20060 ) M2M3_PR_M
NEW li1 ( 35190 14110 ) L1M1_PR_MR
NEW met1 ( 35190 14110 ) M1M2_PR
NEW met1 ( 40710 32130 ) M1M2_PR
NEW met1 ( 40710 29410 ) M1M2_PR
NEW met1 ( 37030 29410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35190 14110 ) RECT ( 0 -70 355 70 ) ;
- net85 ( input85 X ) ( _117_ C_N ) ( _179_ C ) + USE SIGNAL
+ ROUTED met1 ( 34270 28390 ) ( 36570 * )
NEW met2 ( 34270 28390 ) ( * 37570 )
NEW met1 ( 34270 37570 ) ( 45770 * )
NEW met2 ( 33350 15130 ) ( * 15980 )
NEW met3 ( 33350 15980 ) ( 33580 * )
NEW met4 ( 33580 15980 ) ( * 25500 )
NEW met3 ( 33580 25500 ) ( 33810 * )
NEW met2 ( 33810 25500 ) ( * 28390 )
NEW met2 ( 33810 28390 ) ( 34270 * )
NEW li1 ( 36570 28390 ) L1M1_PR_MR
NEW met1 ( 34270 28390 ) M1M2_PR
NEW met1 ( 34270 37570 ) M1M2_PR
NEW li1 ( 45770 37570 ) L1M1_PR_MR
NEW li1 ( 33350 15130 ) L1M1_PR_MR
NEW met1 ( 33350 15130 ) M1M2_PR
NEW met2 ( 33350 15980 ) M2M3_PR_M
NEW met3 ( 33580 15980 ) M3M4_PR_M
NEW met3 ( 33580 25500 ) M3M4_PR_M
NEW met2 ( 33810 25500 ) M2M3_PR_M
NEW met1 ( 33350 15130 ) RECT ( -355 -70 0 70 )
NEW met3 ( 33350 15980 ) RECT ( -390 -150 0 150 )
NEW met3 ( 33580 25500 ) RECT ( -390 -150 0 150 ) ;
- net86 ( input86 X ) ( _105_ B ) + USE SIGNAL
+ ROUTED met1 ( 43930 14110 ) ( 45770 * )
NEW met2 ( 45770 14110 ) ( * 33150 )
NEW met1 ( 45770 33150 ) ( 48070 * )
NEW li1 ( 43930 14110 ) L1M1_PR_MR
NEW met1 ( 45770 14110 ) M1M2_PR
NEW met1 ( 45770 33150 ) M1M2_PR
NEW li1 ( 48070 33150 ) L1M1_PR_MR ;
- net87 ( input87 X ) ( _105_ A ) + USE SIGNAL
+ ROUTED met1 ( 42550 15130 ) ( 45310 * )
NEW met2 ( 45310 13260 ) ( * 15130 )
NEW met3 ( 45310 13260 ) ( 51290 * )
NEW met2 ( 51290 13260 ) ( * 20060 )
NEW met2 ( 51290 20060 ) ( 51750 * )
NEW met2 ( 51750 20060 ) ( * 30430 )
NEW li1 ( 42550 15130 ) L1M1_PR_MR
NEW met1 ( 45310 15130 ) M1M2_PR
NEW met2 ( 45310 13260 ) M2M3_PR_M
NEW met2 ( 51290 13260 ) M2M3_PR_M
NEW li1 ( 51750 30430 ) L1M1_PR_MR
NEW met1 ( 51750 30430 ) M1M2_PR
NEW met1 ( 51750 30430 ) RECT ( -355 -70 0 70 ) ;
- net88 ( input88 X ) ( _105_ D ) + USE SIGNAL
+ ROUTED met1 ( 48530 15470 ) ( * 15810 )
NEW met2 ( 48530 15810 ) ( 49450 * )
NEW met2 ( 49450 15810 ) ( * 18190 )
NEW met1 ( 49450 18190 ) ( 52210 * )
NEW met2 ( 52210 18190 ) ( * 33150 )
NEW met1 ( 51290 33150 ) ( 52210 * )
NEW met1 ( 43930 15470 ) ( 48530 * )
NEW li1 ( 43930 15470 ) L1M1_PR_MR
NEW met1 ( 48530 15810 ) M1M2_PR
NEW met1 ( 49450 18190 ) M1M2_PR
NEW met1 ( 52210 18190 ) M1M2_PR
NEW met1 ( 52210 33150 ) M1M2_PR
NEW li1 ( 51290 33150 ) L1M1_PR_MR ;
- net89 ( input89 X ) ( _105_ C ) + USE SIGNAL
+ ROUTED met1 ( 54510 34170 ) ( * 34510 )
NEW met1 ( 42090 14790 ) ( 43010 * )
NEW met2 ( 42090 14790 ) ( * 18190 )
NEW met1 ( 42090 18190 ) ( 43930 * )
NEW met2 ( 43930 18190 ) ( * 34170 )
NEW met1 ( 43930 34170 ) ( 54510 * )
NEW li1 ( 54510 34510 ) L1M1_PR_MR
NEW li1 ( 43010 14790 ) L1M1_PR_MR
NEW met1 ( 42090 14790 ) M1M2_PR
NEW met1 ( 42090 18190 ) M1M2_PR
NEW met1 ( 43930 18190 ) M1M2_PR
NEW met1 ( 43930 34170 ) M1M2_PR ;
- net9 ( input9 X ) ( _211_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 226550 58650 ) ( 232530 * )
NEW met2 ( 226550 58650 ) ( * 62050 )
NEW met1 ( 226550 62050 ) ( 232530 * )
NEW li1 ( 232530 58650 ) L1M1_PR_MR
NEW met1 ( 226550 58650 ) M1M2_PR
NEW met1 ( 226550 62050 ) M1M2_PR
NEW li1 ( 232530 62050 ) L1M1_PR_MR ;
- net90 ( input90 X ) ( _104_ B ) + USE SIGNAL
+ ROUTED met1 ( 67850 11390 ) ( * 12070 )
NEW met1 ( 60490 11390 ) ( 67850 * )
NEW met2 ( 60030 36210 ) ( 60490 * )
NEW met1 ( 52210 36210 ) ( 60030 * )
NEW met2 ( 60490 11390 ) ( * 36210 )
NEW li1 ( 67850 12070 ) L1M1_PR_MR
NEW met1 ( 60490 11390 ) M1M2_PR
NEW met1 ( 60030 36210 ) M1M2_PR
NEW li1 ( 52210 36210 ) L1M1_PR_MR ;
- net91 ( input91 X ) ( _104_ A ) + USE SIGNAL
+ ROUTED met1 ( 63250 11730 ) ( * 12070 )
NEW met1 ( 63250 12070 ) ( 65550 * )
NEW met1 ( 65550 12070 ) ( * 12120 )
NEW met1 ( 65550 12120 ) ( 66930 * )
NEW met1 ( 66930 12080 ) ( * 12120 )
NEW met1 ( 61410 11730 ) ( 63250 * )
NEW met1 ( 59570 27710 ) ( 61410 * )
NEW met2 ( 61410 11730 ) ( * 27710 )
NEW li1 ( 66930 12080 ) L1M1_PR_MR
NEW met1 ( 61410 11730 ) M1M2_PR
NEW met1 ( 61410 27710 ) M1M2_PR
NEW li1 ( 59570 27710 ) L1M1_PR_MR ;
- net92 ( input92 X ) ( _107_ C ) + USE SIGNAL
+ ROUTED met1 ( 14030 15130 ) ( * 15470 )
NEW met1 ( 14030 15470 ) ( 14490 * )
NEW met1 ( 14490 15470 ) ( * 15810 )
NEW met2 ( 14490 15810 ) ( * 15980 )
NEW met3 ( 13340 15980 ) ( 14490 * )
NEW met4 ( 13340 15980 ) ( * 33660 )
NEW met3 ( 13340 33660 ) ( 14030 * )
NEW met2 ( 14030 33660 ) ( * 35870 )
NEW met1 ( 11270 35870 ) ( 14030 * )
NEW li1 ( 14030 15130 ) L1M1_PR_MR
NEW met1 ( 14490 15810 ) M1M2_PR
NEW met2 ( 14490 15980 ) M2M3_PR_M
NEW met3 ( 13340 15980 ) M3M4_PR_M
NEW met3 ( 13340 33660 ) M3M4_PR_M
NEW met2 ( 14030 33660 ) M2M3_PR_M
NEW met1 ( 14030 35870 ) M1M2_PR
NEW li1 ( 11270 35870 ) L1M1_PR_MR ;
- net93 ( input93 X ) ( _106_ B ) + USE SIGNAL
+ ROUTED met1 ( 51290 14110 ) ( 51750 * )
NEW met1 ( 51750 14110 ) ( * 14790 )
NEW met1 ( 51750 14790 ) ( 57730 * )
NEW met1 ( 57730 14450 ) ( * 14790 )
NEW met2 ( 57730 14450 ) ( * 33150 )
NEW li1 ( 51290 14110 ) L1M1_PR_MR
NEW met1 ( 57730 14450 ) M1M2_PR
NEW li1 ( 57730 33150 ) L1M1_PR_MR
NEW met1 ( 57730 33150 ) M1M2_PR
NEW met1 ( 57730 33150 ) RECT ( -355 -70 0 70 ) ;
- net94 ( input94 X ) ( _106_ A ) + USE SIGNAL
+ ROUTED met1 ( 49910 15130 ) ( 50370 * )
NEW met2 ( 50370 15130 ) ( * 15810 )
NEW met1 ( 50370 15810 ) ( 61870 * )
NEW met2 ( 61870 15810 ) ( * 24990 )
NEW met1 ( 61870 24990 ) ( 64630 * )
NEW li1 ( 49910 15130 ) L1M1_PR_MR
NEW met1 ( 50370 15130 ) M1M2_PR
NEW met1 ( 50370 15810 ) M1M2_PR
NEW met1 ( 61870 15810 ) M1M2_PR
NEW met1 ( 61870 24990 ) M1M2_PR
NEW li1 ( 64630 24990 ) L1M1_PR_MR ;
- net95 ( input95 X ) ( _107_ B ) + USE SIGNAL
+ ROUTED met2 ( 13570 14110 ) ( * 37570 )
NEW li1 ( 13570 14110 ) L1M1_PR_MR
NEW met1 ( 13570 14110 ) M1M2_PR
NEW li1 ( 13570 37570 ) L1M1_PR_MR
NEW met1 ( 13570 37570 ) M1M2_PR
NEW met1 ( 13570 14110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 13570 37570 ) RECT ( -355 -70 0 70 ) ;
- net96 ( input96 X ) ( _113_ A ) + USE SIGNAL
+ ROUTED met3 ( 11730 20060 ) ( 12420 * )
NEW met4 ( 12420 20060 ) ( * 35020 )
NEW met3 ( 12190 35020 ) ( 12420 * )
NEW met2 ( 12190 35020 ) ( * 38590 )
NEW met2 ( 11730 12070 ) ( * 20060 )
NEW met1 ( 11730 12070 ) ( 14950 * )
NEW met1 ( 11730 12070 ) M1M2_PR
NEW met2 ( 11730 20060 ) M2M3_PR_M
NEW met3 ( 12420 20060 ) M3M4_PR_M
NEW met3 ( 12420 35020 ) M3M4_PR_M
NEW met2 ( 12190 35020 ) M2M3_PR_M
NEW li1 ( 12190 38590 ) L1M1_PR_MR
NEW met1 ( 12190 38590 ) M1M2_PR
NEW li1 ( 14950 12070 ) L1M1_PR_MR
NEW met3 ( 12420 35020 ) RECT ( 0 -150 390 150 )
NEW met1 ( 12190 38590 ) RECT ( -355 -70 0 70 ) ;
- net97 ( input97 X ) ( _107_ D ) + USE SIGNAL
+ ROUTED met2 ( 11730 36210 ) ( * 36380 )
NEW met2 ( 11270 36380 ) ( 11730 * )
NEW met2 ( 11270 15470 ) ( * 36380 )
NEW met1 ( 11270 15470 ) ( 13110 * )
NEW met1 ( 11730 36210 ) ( 16790 * )
NEW met1 ( 11730 36210 ) M1M2_PR
NEW met1 ( 11270 15470 ) M1M2_PR
NEW li1 ( 13110 15470 ) L1M1_PR_MR
NEW li1 ( 16790 36210 ) L1M1_PR_MR ;
- net98 ( input98 X ) ( _113_ C ) + USE SIGNAL
+ ROUTED met3 ( 15180 20060 ) ( 15410 * )
NEW met4 ( 15180 20060 ) ( * 35020 )
NEW met3 ( 15180 35020 ) ( 15410 * )
NEW met2 ( 15410 35020 ) ( * 38590 )
NEW met2 ( 15410 12410 ) ( * 20060 )
NEW li1 ( 15410 12410 ) L1M1_PR_MR
NEW met1 ( 15410 12410 ) M1M2_PR
NEW met2 ( 15410 20060 ) M2M3_PR_M
NEW met3 ( 15180 20060 ) M3M4_PR_M
NEW met3 ( 15180 35020 ) M3M4_PR_M
NEW met2 ( 15410 35020 ) M2M3_PR_M
NEW li1 ( 15410 38590 ) L1M1_PR_MR
NEW met1 ( 15410 38590 ) M1M2_PR
NEW met1 ( 15410 12410 ) RECT ( -355 -70 0 70 )
NEW met3 ( 15410 20060 ) RECT ( 0 -150 390 150 )
NEW met3 ( 15180 35020 ) RECT ( -390 -150 0 150 )
NEW met1 ( 15410 38590 ) RECT ( -355 -70 0 70 ) ;
- net99 ( input99 X ) ( _113_ B ) + USE SIGNAL
+ ROUTED met3 ( 15870 15300 ) ( 16100 * )
NEW met4 ( 16100 15300 ) ( * 35020 )
NEW met3 ( 16100 35020 ) ( 19090 * )
NEW met2 ( 19090 35020 ) ( * 38590 )
NEW met2 ( 15870 13090 ) ( * 15300 )
NEW li1 ( 15870 13090 ) L1M1_PR_MR
NEW met1 ( 15870 13090 ) M1M2_PR
NEW met2 ( 15870 15300 ) M2M3_PR_M
NEW met3 ( 16100 15300 ) M3M4_PR_M
NEW met3 ( 16100 35020 ) M3M4_PR_M
NEW met2 ( 19090 35020 ) M2M3_PR_M
NEW li1 ( 19090 38590 ) L1M1_PR_MR
NEW met1 ( 19090 38590 ) M1M2_PR
NEW met1 ( 15870 13090 ) RECT ( -355 -70 0 70 )
NEW met3 ( 15870 15300 ) RECT ( -390 -150 0 150 )
NEW met1 ( 19090 38590 ) RECT ( -355 -70 0 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) ( ANTENNA__440__A1 DIODE ) ( _440_ A1 ) + USE CLOCK
+ ROUTED met2 ( 230 3740 0 ) ( * 10370 )
NEW met1 ( 230 10370 ) ( 139610 * )
NEW met2 ( 139610 10370 ) ( * 13800 )
NEW met1 ( 140070 15470 ) ( 145130 * )
NEW met2 ( 140070 15470 ) ( * 19550 )
NEW met2 ( 139610 13800 ) ( 140070 * )
NEW met2 ( 140070 13800 ) ( * 15470 )
NEW met1 ( 230 10370 ) M1M2_PR
NEW met1 ( 139610 10370 ) M1M2_PR
NEW li1 ( 145130 15470 ) L1M1_PR_MR
NEW met1 ( 140070 15470 ) M1M2_PR
NEW li1 ( 140070 19550 ) L1M1_PR_MR
NEW met1 ( 140070 19550 ) M1M2_PR
NEW met1 ( 140070 19550 ) RECT ( -355 -70 0 70 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( ANTENNA_input69_A DIODE ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 7590 15130 ) ( 8510 * )
NEW met2 ( 8510 15130 ) ( * 52190 )
NEW met1 ( 7590 52190 ) ( 8510 * )
NEW met1 ( 690 15130 ) ( 7590 * )
NEW met2 ( 690 3740 0 ) ( * 15130 )
NEW li1 ( 7590 15130 ) L1M1_PR_MR
NEW met1 ( 8510 15130 ) M1M2_PR
NEW met1 ( 8510 52190 ) M1M2_PR
NEW li1 ( 7590 52190 ) L1M1_PR_MR
NEW met1 ( 690 15130 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( output246 X ) + USE SIGNAL
+ ROUTED met1 ( 1150 24990 ) ( 7590 * )
NEW met2 ( 1150 3740 0 ) ( * 24990 )
NEW met1 ( 1150 24990 ) M1M2_PR
NEW li1 ( 7590 24990 ) L1M1_PR_MR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( ANTENNA_input70_A DIODE ) ( input70 A ) + USE SIGNAL
+ ROUTED met2 ( 2990 3740 0 ) ( * 13800 )
NEW met1 ( 7130 33830 ) ( * 34170 )
NEW met1 ( 7130 34170 ) ( 10810 * )
NEW met2 ( 10810 34170 ) ( * 52190 )
NEW met1 ( 10350 52190 ) ( 10810 * )
NEW met2 ( 2530 13800 ) ( 2990 * )
NEW met2 ( 2530 13800 ) ( * 33830 )
NEW met1 ( 2530 33830 ) ( 7130 * )
NEW li1 ( 7130 33830 ) L1M1_PR_MR
NEW met1 ( 10810 34170 ) M1M2_PR
NEW met1 ( 10810 52190 ) M1M2_PR
NEW li1 ( 10350 52190 ) L1M1_PR_MR
NEW met1 ( 2530 33830 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( ANTENNA_input71_A DIODE ) ( input71 A ) + USE SIGNAL
+ ROUTED met2 ( 20010 3740 0 ) ( * 6460 )
NEW met3 ( 20010 6460 ) ( 28980 * )
NEW met4 ( 28980 6460 ) ( * 13800 )
NEW met1 ( 28290 33830 ) ( 29670 * )
NEW met2 ( 29670 22100 ) ( * 33830 )
NEW met2 ( 29210 22100 ) ( 29670 * )
NEW met2 ( 29210 15980 ) ( * 22100 )
NEW met3 ( 29210 15980 ) ( 29900 * )
NEW met4 ( 29900 13800 ) ( * 15980 )
NEW met4 ( 28980 13800 ) ( 29900 * )
NEW met1 ( 27830 44030 ) ( 29670 * )
NEW met2 ( 29670 33830 ) ( * 44030 )
NEW met2 ( 20010 6460 ) M2M3_PR_M
NEW met3 ( 28980 6460 ) M3M4_PR_M
NEW li1 ( 28290 33830 ) L1M1_PR_MR
NEW met1 ( 29670 33830 ) M1M2_PR
NEW met2 ( 29210 15980 ) M2M3_PR_M
NEW met3 ( 29900 15980 ) M3M4_PR_M
NEW li1 ( 27830 44030 ) L1M1_PR_MR
NEW met1 ( 29670 44030 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( ANTENNA_input72_A DIODE ) ( input72 A ) + USE SIGNAL
+ ROUTED met1 ( 22770 36890 ) ( 23690 * )
NEW met2 ( 23690 20910 ) ( * 36890 )
NEW met2 ( 23230 20910 ) ( 23690 * )
NEW met2 ( 23230 19380 ) ( * 20910 )
NEW met3 ( 23230 19380 ) ( 23460 * )
NEW met4 ( 23460 12580 ) ( * 19380 )
NEW met3 ( 21390 12580 ) ( 23460 * )
NEW met2 ( 21390 3740 0 ) ( * 12580 )
NEW met1 ( 24150 46750 ) ( 24610 * )
NEW met2 ( 24150 36890 ) ( * 46750 )
NEW met2 ( 23690 36890 ) ( 24150 * )
NEW li1 ( 22770 36890 ) L1M1_PR_MR
NEW met1 ( 23690 36890 ) M1M2_PR
NEW met2 ( 23230 19380 ) M2M3_PR_M
NEW met3 ( 23460 19380 ) M3M4_PR_M
NEW met3 ( 23460 12580 ) M3M4_PR_M
NEW met2 ( 21390 12580 ) M2M3_PR_M
NEW li1 ( 24610 46750 ) L1M1_PR_MR
NEW met1 ( 24150 46750 ) M1M2_PR
NEW met3 ( 23460 19380 ) RECT ( 0 -150 390 150 ) ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( ANTENNA_input73_A DIODE ) ( input73 A ) + USE SIGNAL
+ ROUTED met2 ( 22770 3740 0 ) ( * 6630 )
NEW met1 ( 22770 6630 ) ( 25990 * )
NEW met1 ( 31510 33490 ) ( * 33830 )
NEW met1 ( 27830 33490 ) ( 31510 * )
NEW met1 ( 27830 33490 ) ( * 33830 )
NEW met1 ( 26910 33830 ) ( 27830 * )
NEW met2 ( 26910 25500 ) ( * 33830 )
NEW met3 ( 26220 25500 ) ( 26910 * )
NEW met4 ( 26220 13940 ) ( * 25500 )
NEW met3 ( 25990 13940 ) ( 26220 * )
NEW met1 ( 30590 44030 ) ( 31050 * )
NEW met2 ( 31050 33490 ) ( * 44030 )
NEW met2 ( 25990 6630 ) ( * 13940 )
NEW met1 ( 22770 6630 ) M1M2_PR
NEW met1 ( 25990 6630 ) M1M2_PR
NEW li1 ( 31510 33830 ) L1M1_PR_MR
NEW met1 ( 26910 33830 ) M1M2_PR
NEW met2 ( 26910 25500 ) M2M3_PR_M
NEW met3 ( 26220 25500 ) M3M4_PR_M
NEW met3 ( 26220 13940 ) M3M4_PR_M
NEW met2 ( 25990 13940 ) M2M3_PR_M
NEW li1 ( 30590 44030 ) L1M1_PR_MR
NEW met1 ( 31050 44030 ) M1M2_PR
NEW met1 ( 31050 33490 ) M1M2_PR
NEW met3 ( 26220 13940 ) RECT ( 0 -150 390 150 )
NEW met1 ( 31050 33490 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( ANTENNA_input74_A DIODE ) ( input74 A ) + USE SIGNAL
+ ROUTED met1 ( 25990 36890 ) ( 26450 * )
NEW met2 ( 26450 14790 ) ( * 36890 )
NEW met1 ( 24610 14790 ) ( 26450 * )
NEW met1 ( 26450 46750 ) ( 27830 * )
NEW met2 ( 26450 36890 ) ( * 46750 )
NEW met2 ( 24610 3740 0 ) ( * 14790 )
NEW li1 ( 25990 36890 ) L1M1_PR_MR
NEW met1 ( 26450 36890 ) M1M2_PR
NEW met1 ( 26450 14790 ) M1M2_PR
NEW met1 ( 24610 14790 ) M1M2_PR
NEW li1 ( 27830 46750 ) L1M1_PR_MR
NEW met1 ( 26450 46750 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( ANTENNA_input75_A DIODE ) ( input75 A ) + USE SIGNAL
+ ROUTED met2 ( 25990 3740 0 ) ( * 5780 )
NEW met3 ( 25990 5780 ) ( 32660 * )
NEW met1 ( 34730 33490 ) ( * 33830 )
NEW met1 ( 34730 33490 ) ( 36570 * )
NEW met2 ( 36570 27540 ) ( * 33490 )
NEW met3 ( 32660 27540 ) ( 36570 * )
NEW met1 ( 33350 44370 ) ( 36570 * )
NEW met2 ( 36570 33490 ) ( * 44370 )
NEW met4 ( 32660 5780 ) ( * 27540 )
NEW met2 ( 25990 5780 ) M2M3_PR_M
NEW met3 ( 32660 5780 ) M3M4_PR_M
NEW li1 ( 34730 33830 ) L1M1_PR_MR
NEW met1 ( 36570 33490 ) M1M2_PR
NEW met2 ( 36570 27540 ) M2M3_PR_M
NEW met3 ( 32660 27540 ) M3M4_PR_M
NEW li1 ( 33350 44370 ) L1M1_PR_MR
NEW met1 ( 36570 44370 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( ANTENNA_input76_A DIODE ) ( input76 A ) + USE SIGNAL
+ ROUTED met1 ( 28290 36890 ) ( 29210 * )
NEW met2 ( 28290 36380 ) ( * 36890 )
NEW met3 ( 27140 36380 ) ( 28290 * )
NEW met4 ( 27140 15980 ) ( * 36380 )
NEW met3 ( 27140 15980 ) ( 27370 * )
NEW met1 ( 28290 44710 ) ( 35650 * )
NEW met2 ( 28290 36890 ) ( * 44710 )
NEW met2 ( 27370 3740 0 ) ( * 15980 )
NEW li1 ( 29210 36890 ) L1M1_PR_MR
NEW met1 ( 28290 36890 ) M1M2_PR
NEW met2 ( 28290 36380 ) M2M3_PR_M
NEW met3 ( 27140 36380 ) M3M4_PR_M
NEW met3 ( 27140 15980 ) M3M4_PR_M
NEW met2 ( 27370 15980 ) M2M3_PR_M
NEW li1 ( 35650 44710 ) L1M1_PR_MR
NEW met1 ( 28290 44710 ) M1M2_PR
NEW met3 ( 27140 15980 ) RECT ( -390 -150 0 150 ) ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( ANTENNA_input77_A DIODE ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 28750 3740 0 ) ( * 5780 )
NEW met2 ( 28750 5780 ) ( 29210 * )
NEW met2 ( 29210 5780 ) ( * 12580 )
NEW met3 ( 29210 12580 ) ( 36340 * )
NEW met1 ( 36110 33830 ) ( 37950 * )
NEW met2 ( 36110 14620 ) ( * 33830 )
NEW met3 ( 36110 14620 ) ( 36340 * )
NEW met2 ( 38410 33830 ) ( * 41650 )
NEW met1 ( 37950 33830 ) ( 38410 * )
NEW met4 ( 36340 12580 ) ( * 14620 )
NEW met2 ( 29210 12580 ) M2M3_PR_M
NEW met3 ( 36340 12580 ) M3M4_PR_M
NEW li1 ( 37950 33830 ) L1M1_PR_MR
NEW met1 ( 36110 33830 ) M1M2_PR
NEW met2 ( 36110 14620 ) M2M3_PR_M
NEW met3 ( 36340 14620 ) M3M4_PR_M
NEW li1 ( 38410 41650 ) L1M1_PR_MR
NEW met1 ( 38410 41650 ) M1M2_PR
NEW met1 ( 38410 33830 ) M1M2_PR
NEW met3 ( 36110 14620 ) RECT ( -390 -150 0 150 )
NEW met1 ( 38410 41650 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( ANTENNA_input78_A DIODE ) ( input78 A ) + USE SIGNAL
+ ROUTED met1 ( 30130 36890 ) ( 32890 * )
NEW met2 ( 30130 17510 ) ( * 36890 )
NEW met2 ( 30130 17510 ) ( 30590 * )
NEW met2 ( 31970 36890 ) ( * 46750 )
NEW met2 ( 30590 3740 0 ) ( * 17510 )
NEW li1 ( 32890 36890 ) L1M1_PR_MR
NEW met1 ( 30130 36890 ) M1M2_PR
NEW li1 ( 31970 46750 ) L1M1_PR_MR
NEW met1 ( 31970 46750 ) M1M2_PR
NEW met1 ( 31970 36890 ) M1M2_PR
NEW met1 ( 31970 46750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 31970 36890 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( ANTENNA_input79_A DIODE ) ( input79 A ) + USE SIGNAL
+ ROUTED met2 ( 41170 33660 ) ( * 33830 )
NEW met3 ( 37260 33660 ) ( 41170 * )
NEW met4 ( 37260 11220 ) ( * 33660 )
NEW met3 ( 31970 11220 ) ( 37260 * )
NEW met2 ( 31970 3740 0 ) ( * 11220 )
NEW met2 ( 41170 33830 ) ( * 41310 )
NEW li1 ( 41170 33830 ) L1M1_PR_MR
NEW met1 ( 41170 33830 ) M1M2_PR
NEW met2 ( 41170 33660 ) M2M3_PR_M
NEW met3 ( 37260 33660 ) M3M4_PR_M
NEW met3 ( 37260 11220 ) M3M4_PR_M
NEW met2 ( 31970 11220 ) M2M3_PR_M
NEW li1 ( 41170 41310 ) L1M1_PR_MR
NEW met1 ( 41170 41310 ) M1M2_PR
NEW met1 ( 41170 33830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 41170 41310 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( ANTENNA_input80_A DIODE ) ( input80 A ) + USE SIGNAL
+ ROUTED met2 ( 36110 36380 ) ( * 36890 )
NEW met3 ( 36110 36380 ) ( 38180 * )
NEW met4 ( 38180 9860 ) ( * 36380 )
NEW met3 ( 33350 9860 ) ( 38180 * )
NEW met2 ( 33350 3740 0 ) ( * 9860 )
NEW met1 ( 36110 44030 ) ( 38410 * )
NEW met2 ( 36110 36890 ) ( * 44030 )
NEW li1 ( 36110 36890 ) L1M1_PR_MR
NEW met1 ( 36110 36890 ) M1M2_PR
NEW met2 ( 36110 36380 ) M2M3_PR_M
NEW met3 ( 38180 36380 ) M3M4_PR_M
NEW met3 ( 38180 9860 ) M3M4_PR_M
NEW met2 ( 33350 9860 ) M2M3_PR_M
NEW li1 ( 38410 44030 ) L1M1_PR_MR
NEW met1 ( 36110 44030 ) M1M2_PR
NEW met1 ( 36110 36890 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( ANTENNA_input81_A DIODE ) ( input81 A ) + USE SIGNAL
+ ROUTED met1 ( 12190 49470 ) ( 12650 * )
NEW met1 ( 3450 36890 ) ( 7130 * )
NEW li1 ( 3450 16490 ) ( * 36890 )
NEW met1 ( 3450 16490 ) ( 4830 * )
NEW met2 ( 4830 3740 0 ) ( * 16490 )
NEW met2 ( 11730 39100 ) ( 12190 * )
NEW met2 ( 11730 37230 ) ( * 39100 )
NEW met1 ( 7130 37230 ) ( 11730 * )
NEW met1 ( 7130 36890 ) ( * 37230 )
NEW met2 ( 12190 39100 ) ( * 49470 )
NEW li1 ( 12650 49470 ) L1M1_PR_MR
NEW met1 ( 12190 49470 ) M1M2_PR
NEW li1 ( 7130 36890 ) L1M1_PR_MR
NEW li1 ( 3450 36890 ) L1M1_PR_MR
NEW li1 ( 3450 16490 ) L1M1_PR_MR
NEW met1 ( 4830 16490 ) M1M2_PR
NEW met1 ( 11730 37230 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( ANTENNA_input82_A DIODE ) ( input82 A ) + USE SIGNAL
+ ROUTED met2 ( 35190 3740 0 ) ( * 6970 )
NEW met1 ( 35190 6970 ) ( 38870 * )
NEW met1 ( 38870 36890 ) ( 39330 * )
NEW met1 ( 38870 44030 ) ( 41630 * )
NEW met2 ( 38870 36890 ) ( * 44030 )
NEW met2 ( 38870 6970 ) ( * 36890 )
NEW met1 ( 35190 6970 ) M1M2_PR
NEW met1 ( 38870 6970 ) M1M2_PR
NEW li1 ( 39330 36890 ) L1M1_PR_MR
NEW met1 ( 38870 36890 ) M1M2_PR
NEW li1 ( 41630 44030 ) L1M1_PR_MR
NEW met1 ( 38870 44030 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( ANTENNA_input83_A DIODE ) ( input83 A ) + USE SIGNAL
+ ROUTED met2 ( 43470 17340 ) ( * 36890 )
NEW met3 ( 39790 17340 ) ( 43470 * )
NEW met2 ( 39790 15980 ) ( * 17340 )
NEW met2 ( 39790 15980 ) ( 40250 * )
NEW met2 ( 40250 7990 ) ( * 15980 )
NEW met1 ( 36570 7990 ) ( 40250 * )
NEW met2 ( 36570 3740 0 ) ( * 7990 )
NEW met1 ( 43470 41310 ) ( 43930 * )
NEW met2 ( 43470 36890 ) ( * 41310 )
NEW li1 ( 43470 36890 ) L1M1_PR_MR
NEW met1 ( 43470 36890 ) M1M2_PR
NEW met2 ( 43470 17340 ) M2M3_PR_M
NEW met2 ( 39790 17340 ) M2M3_PR_M
NEW met1 ( 40250 7990 ) M1M2_PR
NEW met1 ( 36570 7990 ) M1M2_PR
NEW li1 ( 43930 41310 ) L1M1_PR_MR
NEW met1 ( 43470 41310 ) M1M2_PR
NEW met1 ( 43470 36890 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( ANTENNA_input84_A DIODE ) ( input84 A ) + USE SIGNAL
+ ROUTED met1 ( 49450 31450 ) ( 50830 * )
NEW met2 ( 50830 27710 ) ( * 31450 )
NEW met2 ( 50370 27710 ) ( 50830 * )
NEW met2 ( 50370 19380 ) ( * 27710 )
NEW met1 ( 50830 38590 ) ( 51290 * )
NEW met2 ( 50830 31450 ) ( * 38590 )
NEW met2 ( 37950 3740 0 ) ( * 9690 )
NEW met1 ( 37950 9690 ) ( 41630 * )
NEW met2 ( 41630 9690 ) ( * 10370 )
NEW met2 ( 41630 10370 ) ( 42090 * )
NEW met2 ( 42090 10370 ) ( * 14110 )
NEW met2 ( 42090 14110 ) ( 42550 * )
NEW met2 ( 42550 14110 ) ( * 19380 )
NEW met3 ( 42550 19380 ) ( 46230 * )
NEW met2 ( 46230 19380 ) ( 47150 * )
NEW met2 ( 47150 18700 ) ( * 19380 )
NEW met3 ( 47150 18700 ) ( 47380 * )
NEW met3 ( 47380 18700 ) ( * 19380 )
NEW met3 ( 47380 19380 ) ( 50370 * )
NEW li1 ( 49450 31450 ) L1M1_PR_MR
NEW met1 ( 50830 31450 ) M1M2_PR
NEW met2 ( 50370 19380 ) M2M3_PR_M
NEW li1 ( 51290 38590 ) L1M1_PR_MR
NEW met1 ( 50830 38590 ) M1M2_PR
NEW met1 ( 37950 9690 ) M1M2_PR
NEW met1 ( 41630 9690 ) M1M2_PR
NEW met2 ( 42550 19380 ) M2M3_PR_M
NEW met2 ( 46230 19380 ) M2M3_PR_M
NEW met2 ( 47150 18700 ) M2M3_PR_M ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( ANTENNA_input85_A DIODE ) ( input85 A ) + USE SIGNAL
+ ROUTED met1 ( 46690 36550 ) ( * 36890 )
NEW met1 ( 43010 36550 ) ( 46690 * )
NEW met2 ( 43010 24140 ) ( * 36550 )
NEW met3 ( 40940 24140 ) ( 43010 * )
NEW met4 ( 40940 13940 ) ( * 24140 )
NEW met3 ( 39330 13940 ) ( 40940 * )
NEW met2 ( 46690 36890 ) ( * 41310 )
NEW met2 ( 39330 3740 0 ) ( * 13940 )
NEW li1 ( 46690 36890 ) L1M1_PR_MR
NEW met1 ( 43010 36550 ) M1M2_PR
NEW met2 ( 43010 24140 ) M2M3_PR_M
NEW met3 ( 40940 24140 ) M3M4_PR_M
NEW met3 ( 40940 13940 ) M3M4_PR_M
NEW met2 ( 39330 13940 ) M2M3_PR_M
NEW li1 ( 46690 41310 ) L1M1_PR_MR
NEW met1 ( 46690 41310 ) M1M2_PR
NEW met1 ( 46690 36890 ) M1M2_PR
NEW met1 ( 46690 41310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 46690 36890 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( ANTENNA_input86_A DIODE ) ( input86 A ) + USE SIGNAL
+ ROUTED met2 ( 41170 3740 0 ) ( * 12580 )
NEW met2 ( 41170 12580 ) ( 41630 * )
NEW met2 ( 41630 12580 ) ( * 13260 )
NEW met3 ( 41630 13260 ) ( 41860 * )
NEW met4 ( 47380 13940 ) ( * 21420 )
NEW met3 ( 41860 13940 ) ( 47380 * )
NEW met3 ( 41860 13260 ) ( * 13940 )
NEW met1 ( 48990 33830 ) ( 49450 * )
NEW met2 ( 49450 24820 ) ( * 33830 )
NEW met3 ( 49450 24820 ) ( 51290 * )
NEW met2 ( 51290 21420 ) ( * 24820 )
NEW met2 ( 49450 33830 ) ( * 41310 )
NEW met3 ( 47380 21420 ) ( 51290 * )
NEW met2 ( 41630 13260 ) M2M3_PR_M
NEW met3 ( 47380 21420 ) M3M4_PR_M
NEW met3 ( 47380 13940 ) M3M4_PR_M
NEW li1 ( 48990 33830 ) L1M1_PR_MR
NEW met1 ( 49450 33830 ) M1M2_PR
NEW met2 ( 49450 24820 ) M2M3_PR_M
NEW met2 ( 51290 24820 ) M2M3_PR_M
NEW met2 ( 51290 21420 ) M2M3_PR_M
NEW li1 ( 49450 41310 ) L1M1_PR_MR
NEW met1 ( 49450 41310 ) M1M2_PR
NEW met1 ( 49450 41310 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( ANTENNA_input87_A DIODE ) ( input87 A ) + USE SIGNAL
+ ROUTED met1 ( 52670 31110 ) ( * 31450 )
NEW met2 ( 54050 31450 ) ( * 38590 )
NEW met1 ( 52670 31450 ) ( 54050 * )
NEW met2 ( 42550 3740 0 ) ( * 11900 )
NEW met3 ( 42550 11900 ) ( 46460 * )
NEW met4 ( 46460 11900 ) ( * 24140 )
NEW met3 ( 46460 24140 ) ( 47150 * )
NEW met2 ( 47150 24140 ) ( * 31110 )
NEW met1 ( 47150 31110 ) ( 52670 * )
NEW li1 ( 52670 31450 ) L1M1_PR_MR
NEW li1 ( 54050 38590 ) L1M1_PR_MR
NEW met1 ( 54050 38590 ) M1M2_PR
NEW met1 ( 54050 31450 ) M1M2_PR
NEW met2 ( 42550 11900 ) M2M3_PR_M
NEW met3 ( 46460 11900 ) M3M4_PR_M
NEW met3 ( 46460 24140 ) M3M4_PR_M
NEW met2 ( 47150 24140 ) M2M3_PR_M
NEW met1 ( 47150 31110 ) M1M2_PR
NEW met1 ( 54050 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( ANTENNA_input88_A DIODE ) ( input88 A ) + USE SIGNAL
+ ROUTED met1 ( 51290 33830 ) ( 52210 * )
NEW met2 ( 51290 29410 ) ( * 33830 )
NEW met1 ( 51290 36550 ) ( 57730 * )
NEW met1 ( 51290 36210 ) ( * 36550 )
NEW met2 ( 51290 33830 ) ( * 36210 )
NEW met2 ( 43930 3740 0 ) ( * 15980 )
NEW met3 ( 43930 15980 ) ( 45540 * )
NEW met4 ( 45540 15980 ) ( * 23460 )
NEW met3 ( 45540 23460 ) ( 46690 * )
NEW met2 ( 46690 23460 ) ( * 29410 )
NEW met1 ( 46690 29410 ) ( 51290 * )
NEW li1 ( 52210 33830 ) L1M1_PR_MR
NEW met1 ( 51290 33830 ) M1M2_PR
NEW met1 ( 51290 29410 ) M1M2_PR
NEW li1 ( 57730 36550 ) L1M1_PR_MR
NEW met1 ( 51290 36210 ) M1M2_PR
NEW met2 ( 43930 15980 ) M2M3_PR_M
NEW met3 ( 45540 15980 ) M3M4_PR_M
NEW met3 ( 45540 23460 ) M3M4_PR_M
NEW met2 ( 46690 23460 ) M2M3_PR_M
NEW met1 ( 46690 29410 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( ANTENNA_input89_A DIODE ) ( input89 A ) + USE SIGNAL
+ ROUTED met1 ( 53130 33830 ) ( 55430 * )
NEW met2 ( 53130 33660 ) ( * 33830 )
NEW met3 ( 49220 33660 ) ( 53130 * )
NEW met4 ( 49220 17340 ) ( * 33660 )
NEW met2 ( 56810 33830 ) ( * 38590 )
NEW met1 ( 55430 33830 ) ( 56810 * )
NEW met2 ( 45310 3740 0 ) ( * 6460 )
NEW met3 ( 41860 6460 ) ( 45310 * )
NEW met4 ( 41860 6460 ) ( * 18020 )
NEW met3 ( 41860 18020 ) ( 44620 * )
NEW met3 ( 44620 17340 ) ( * 18020 )
NEW met3 ( 44620 17340 ) ( 49220 * )
NEW li1 ( 55430 33830 ) L1M1_PR_MR
NEW met1 ( 53130 33830 ) M1M2_PR
NEW met2 ( 53130 33660 ) M2M3_PR_M
NEW met3 ( 49220 33660 ) M3M4_PR_M
NEW met3 ( 49220 17340 ) M3M4_PR_M
NEW li1 ( 56810 38590 ) L1M1_PR_MR
NEW met1 ( 56810 38590 ) M1M2_PR
NEW met1 ( 56810 33830 ) M1M2_PR
NEW met2 ( 45310 6460 ) M2M3_PR_M
NEW met3 ( 41860 6460 ) M3M4_PR_M
NEW met3 ( 41860 18020 ) M3M4_PR_M
NEW met1 ( 56810 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( ANTENNA_input90_A DIODE ) ( input90 A ) + USE SIGNAL
+ ROUTED met2 ( 52210 36890 ) ( * 41310 )
NEW met1 ( 51290 36890 ) ( 52210 * )
NEW met2 ( 47150 3740 0 ) ( * 10030 )
NEW met1 ( 29670 10030 ) ( 47150 * )
NEW met2 ( 29670 10030 ) ( * 14450 )
NEW met2 ( 29670 14450 ) ( 30130 * )
NEW met2 ( 30130 14450 ) ( * 16660 )
NEW met3 ( 29900 16660 ) ( 30130 * )
NEW met4 ( 29900 16660 ) ( * 32300 )
NEW met3 ( 29900 32300 ) ( 47610 * )
NEW met2 ( 47610 32300 ) ( * 36890 )
NEW met1 ( 47610 36890 ) ( 51290 * )
NEW li1 ( 51290 36890 ) L1M1_PR_MR
NEW li1 ( 52210 41310 ) L1M1_PR_MR
NEW met1 ( 52210 41310 ) M1M2_PR
NEW met1 ( 52210 36890 ) M1M2_PR
NEW met1 ( 47150 10030 ) M1M2_PR
NEW met1 ( 29670 10030 ) M1M2_PR
NEW met2 ( 30130 16660 ) M2M3_PR_M
NEW met3 ( 29900 16660 ) M3M4_PR_M
NEW met3 ( 29900 32300 ) M3M4_PR_M
NEW met2 ( 47610 32300 ) M2M3_PR_M
NEW met1 ( 47610 36890 ) M1M2_PR
NEW met1 ( 52210 41310 ) RECT ( -355 -70 0 70 )
NEW met3 ( 30130 16660 ) RECT ( 0 -150 390 150 ) ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( ANTENNA_input91_A DIODE ) ( input91 A ) + USE SIGNAL
+ ROUTED met2 ( 58650 5270 ) ( * 28390 )
NEW met1 ( 48530 5270 ) ( 58650 * )
NEW met2 ( 48530 3740 0 ) ( * 5270 )
NEW met1 ( 58650 35870 ) ( 60490 * )
NEW met2 ( 58650 28390 ) ( * 35870 )
NEW li1 ( 58650 28390 ) L1M1_PR_MR
NEW met1 ( 58650 28390 ) M1M2_PR
NEW met1 ( 58650 5270 ) M1M2_PR
NEW met1 ( 48530 5270 ) M1M2_PR
NEW li1 ( 60490 35870 ) L1M1_PR_MR
NEW met1 ( 58650 35870 ) M1M2_PR
NEW met1 ( 58650 28390 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( ANTENNA_input92_A DIODE ) ( input92 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 3740 0 ) ( * 13800 )
NEW met1 ( 10350 36550 ) ( * 36890 )
NEW met1 ( 4830 36550 ) ( 10350 * )
NEW li1 ( 4830 19890 ) ( * 36550 )
NEW met1 ( 4830 19890 ) ( 7590 * )
NEW met2 ( 7590 13800 ) ( * 19890 )
NEW met2 ( 7130 13800 ) ( 7590 * )
NEW met1 ( 11270 52190 ) ( 12650 * )
NEW met2 ( 11270 36890 ) ( * 52190 )
NEW met1 ( 10350 36890 ) ( 11270 * )
NEW li1 ( 10350 36890 ) L1M1_PR_MR
NEW li1 ( 4830 36550 ) L1M1_PR_MR
NEW li1 ( 4830 19890 ) L1M1_PR_MR
NEW met1 ( 7590 19890 ) M1M2_PR
NEW li1 ( 12650 52190 ) L1M1_PR_MR
NEW met1 ( 11270 52190 ) M1M2_PR
NEW met1 ( 11270 36890 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( ANTENNA_input93_A DIODE ) ( input93 A ) + USE SIGNAL
+ ROUTED met1 ( 58190 33830 ) ( 58650 * )
NEW met2 ( 58190 33660 ) ( * 33830 )
NEW met3 ( 57500 33660 ) ( 58190 * )
NEW met4 ( 57500 11900 ) ( * 33660 )
NEW met3 ( 49910 11900 ) ( 57500 * )
NEW met2 ( 49910 3740 0 ) ( * 11900 )
NEW met1 ( 58650 33830 ) ( 63710 * )
NEW li1 ( 58650 33830 ) L1M1_PR_MR
NEW met1 ( 58190 33830 ) M1M2_PR
NEW met2 ( 58190 33660 ) M2M3_PR_M
NEW met3 ( 57500 33660 ) M3M4_PR_M
NEW met3 ( 57500 11900 ) M3M4_PR_M
NEW met2 ( 49910 11900 ) M2M3_PR_M
NEW li1 ( 63710 33830 ) L1M1_PR_MR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( ANTENNA_input94_A DIODE ) ( input94 A ) + USE SIGNAL
+ ROUTED met2 ( 65550 26010 ) ( * 30430 )
NEW met1 ( 65550 30430 ) ( 67390 * )
NEW met2 ( 65550 21250 ) ( * 26010 )
NEW met2 ( 51290 3740 0 ) ( * 6460 )
NEW met2 ( 51290 6460 ) ( 52210 * )
NEW met2 ( 52210 17340 ) ( 53130 * )
NEW met2 ( 53130 17340 ) ( * 21250 )
NEW met2 ( 52210 6460 ) ( * 17340 )
NEW met1 ( 53130 21250 ) ( 65550 * )
NEW li1 ( 65550 26010 ) L1M1_PR_MR
NEW met1 ( 65550 26010 ) M1M2_PR
NEW met1 ( 65550 30430 ) M1M2_PR
NEW li1 ( 67390 30430 ) L1M1_PR_MR
NEW met1 ( 65550 21250 ) M1M2_PR
NEW met1 ( 53130 21250 ) M1M2_PR
NEW met1 ( 65550 26010 ) RECT ( 0 -70 355 70 ) ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( ANTENNA_input95_A DIODE ) ( input95 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 36210 ) ( * 36550 )
NEW met1 ( 4370 36210 ) ( 11270 * )
NEW li1 ( 4370 14790 ) ( * 36210 )
NEW met1 ( 4370 14790 ) ( 8970 * )
NEW met2 ( 10350 40290 ) ( * 49810 )
NEW met1 ( 7590 40290 ) ( 10350 * )
NEW met2 ( 7590 38590 ) ( * 40290 )
NEW met2 ( 7130 38590 ) ( 7590 * )
NEW met2 ( 7130 36210 ) ( * 38590 )
NEW met2 ( 8970 3740 0 ) ( * 14790 )
NEW met1 ( 11270 36550 ) ( 13800 * )
NEW met1 ( 13800 36550 ) ( * 36890 )
NEW met1 ( 13800 36890 ) ( 14490 * )
NEW met1 ( 10350 49810 ) ( 15410 * )
NEW li1 ( 4370 36210 ) L1M1_PR_MR
NEW li1 ( 4370 14790 ) L1M1_PR_MR
NEW met1 ( 8970 14790 ) M1M2_PR
NEW met1 ( 10350 49810 ) M1M2_PR
NEW met1 ( 10350 40290 ) M1M2_PR
NEW met1 ( 7590 40290 ) M1M2_PR
NEW met1 ( 7130 36210 ) M1M2_PR
NEW li1 ( 14490 36890 ) L1M1_PR_MR
NEW li1 ( 15410 49810 ) L1M1_PR_MR
NEW met1 ( 7130 36210 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( ANTENNA_input96_A DIODE ) ( input96 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 39270 ) ( 12650 * )
NEW met2 ( 12650 34340 ) ( * 39270 )
NEW met2 ( 12190 34340 ) ( 12650 * )
NEW met2 ( 12190 32130 ) ( * 34340 )
NEW met1 ( 10350 32130 ) ( 12190 * )
NEW met2 ( 10350 23460 ) ( * 32130 )
NEW met3 ( 9660 23460 ) ( 10350 * )
NEW met3 ( 9660 22100 ) ( * 23460 )
NEW met3 ( 8970 22100 ) ( 9660 * )
NEW met2 ( 8970 15470 ) ( * 22100 )
NEW met1 ( 8970 15470 ) ( 10810 * )
NEW met2 ( 12650 39270 ) ( * 50150 )
NEW met2 ( 10810 3740 0 ) ( * 15470 )
NEW met1 ( 12650 50150 ) ( 19090 * )
NEW li1 ( 11270 39270 ) L1M1_PR_MR
NEW met1 ( 12650 39270 ) M1M2_PR
NEW met1 ( 12190 32130 ) M1M2_PR
NEW met1 ( 10350 32130 ) M1M2_PR
NEW met2 ( 10350 23460 ) M2M3_PR_M
NEW met2 ( 8970 22100 ) M2M3_PR_M
NEW met1 ( 8970 15470 ) M1M2_PR
NEW met1 ( 10810 15470 ) M1M2_PR
NEW met1 ( 12650 50150 ) M1M2_PR
NEW li1 ( 19090 50150 ) L1M1_PR_MR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( ANTENNA_input97_A DIODE ) ( input97 A ) + USE SIGNAL
+ ROUTED met2 ( 12650 3740 0 ) ( * 12410 )
NEW met1 ( 10350 12410 ) ( 12650 * )
NEW met1 ( 10350 14110 ) ( * 14450 )
NEW met1 ( 2990 14450 ) ( 10350 * )
NEW met2 ( 2990 14450 ) ( * 34510 )
NEW met2 ( 10350 12410 ) ( * 14110 )
NEW met2 ( 17710 36890 ) ( * 52190 )
NEW met1 ( 16330 52190 ) ( 17710 * )
NEW met2 ( 17710 34510 ) ( * 36890 )
NEW met1 ( 2990 34510 ) ( 17710 * )
NEW met1 ( 12650 12410 ) M1M2_PR
NEW met1 ( 10350 12410 ) M1M2_PR
NEW met1 ( 10350 14110 ) M1M2_PR
NEW met1 ( 2990 14450 ) M1M2_PR
NEW met1 ( 2990 34510 ) M1M2_PR
NEW li1 ( 17710 36890 ) L1M1_PR_MR
NEW met1 ( 17710 36890 ) M1M2_PR
NEW met1 ( 17710 52190 ) M1M2_PR
NEW li1 ( 16330 52190 ) L1M1_PR_MR
NEW met1 ( 17710 34510 ) M1M2_PR
NEW met1 ( 17710 36890 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( ANTENNA_input98_A DIODE ) ( input98 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 39270 ) ( 14490 * )
NEW met2 ( 14030 36380 ) ( * 39270 )
NEW met3 ( 14030 36380 ) ( 14260 * )
NEW met4 ( 14260 14620 ) ( * 36380 )
NEW met3 ( 14030 14620 ) ( 14260 * )
NEW met1 ( 14030 49470 ) ( 21850 * )
NEW met2 ( 14030 39270 ) ( * 49470 )
NEW met2 ( 14030 3740 0 ) ( * 14620 )
NEW li1 ( 14490 39270 ) L1M1_PR_MR
NEW met1 ( 14030 39270 ) M1M2_PR
NEW met2 ( 14030 36380 ) M2M3_PR_M
NEW met3 ( 14260 36380 ) M3M4_PR_M
NEW met3 ( 14260 14620 ) M3M4_PR_M
NEW met2 ( 14030 14620 ) M2M3_PR_M
NEW li1 ( 21850 49470 ) L1M1_PR_MR
NEW met1 ( 14030 49470 ) M1M2_PR
NEW met3 ( 14260 36380 ) RECT ( 0 -150 390 150 )
NEW met3 ( 14260 14620 ) RECT ( 0 -150 390 150 ) ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( ANTENNA_input99_A DIODE ) ( input99 A ) + USE SIGNAL
+ ROUTED met1 ( 19090 52190 ) ( 20470 * )
NEW met1 ( 20010 39270 ) ( 20470 * )
NEW met2 ( 20470 25670 ) ( * 39270 )
NEW met1 ( 18630 25670 ) ( 20470 * )
NEW met2 ( 18630 21420 ) ( * 25670 )
NEW met3 ( 18630 19380 ) ( * 21420 )
NEW met3 ( 15180 19380 ) ( 18630 * )
NEW met4 ( 15180 11900 ) ( * 19380 )
NEW met3 ( 15180 11900 ) ( 15410 * )
NEW met2 ( 15410 3740 0 ) ( * 11900 )
NEW met2 ( 20470 39270 ) ( * 52190 )
NEW li1 ( 19090 52190 ) L1M1_PR_MR
NEW met1 ( 20470 52190 ) M1M2_PR
NEW li1 ( 20010 39270 ) L1M1_PR_MR
NEW met1 ( 20470 39270 ) M1M2_PR
NEW met1 ( 20470 25670 ) M1M2_PR
NEW met1 ( 18630 25670 ) M1M2_PR
NEW met2 ( 18630 21420 ) M2M3_PR_M
NEW met3 ( 15180 19380 ) M3M4_PR_M
NEW met3 ( 15180 11900 ) M3M4_PR_M
NEW met2 ( 15410 11900 ) M2M3_PR_M
NEW met3 ( 15180 11900 ) RECT ( -390 -150 0 150 ) ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( ANTENNA_input100_A DIODE ) ( input100 A ) + USE SIGNAL
+ ROUTED met1 ( 16790 42330 ) ( 17250 * )
NEW met1 ( 17250 42330 ) ( 20470 * )
NEW met2 ( 16790 3740 0 ) ( * 42330 )
NEW li1 ( 17250 42330 ) L1M1_PR_MR
NEW met1 ( 16790 42330 ) M1M2_PR
NEW li1 ( 20470 42330 ) L1M1_PR_MR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( ANTENNA_input101_A DIODE ) ( input101 A ) + USE SIGNAL
+ ROUTED met1 ( 22770 39270 ) ( 23230 * )
NEW met2 ( 22770 39100 ) ( * 39270 )
NEW met3 ( 10580 39100 ) ( 22770 * )
NEW met4 ( 10580 9180 ) ( * 39100 )
NEW met3 ( 10580 9180 ) ( 18630 * )
NEW met2 ( 18630 3740 0 ) ( * 9180 )
NEW met1 ( 23230 39270 ) ( 25530 * )
NEW li1 ( 23230 39270 ) L1M1_PR_MR
NEW met1 ( 22770 39270 ) M1M2_PR
NEW met2 ( 22770 39100 ) M2M3_PR_M
NEW met3 ( 10580 39100 ) M3M4_PR_M
NEW met3 ( 10580 9180 ) M3M4_PR_M
NEW met2 ( 18630 9180 ) M2M3_PR_M
NEW li1 ( 25530 39270 ) L1M1_PR_MR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( ANTENNA_input102_A DIODE ) ( input102 A ) + USE SIGNAL
+ ROUTED met1 ( 1610 39270 ) ( 7130 * )
NEW met2 ( 7590 42500 ) ( * 46750 )
NEW met2 ( 7130 42500 ) ( 7590 * )
NEW met2 ( 7130 39270 ) ( * 42500 )
NEW met2 ( 1610 3740 0 ) ( * 39270 )
NEW li1 ( 7130 39270 ) L1M1_PR_MR
NEW met1 ( 1610 39270 ) M1M2_PR
NEW li1 ( 7590 46750 ) L1M1_PR_MR
NEW met1 ( 7590 46750 ) M1M2_PR
NEW met1 ( 7130 39270 ) M1M2_PR
NEW met1 ( 7590 46750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 39270 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( ANTENNA_input103_A DIODE ) ( input103 A ) + USE SIGNAL
+ ROUTED met2 ( 10810 17510 ) ( * 24990 )
NEW met1 ( 3450 17510 ) ( 10810 * )
NEW met2 ( 3450 3740 0 ) ( * 17510 )
NEW li1 ( 10810 17510 ) L1M1_PR_MR
NEW met1 ( 10810 17510 ) M1M2_PR
NEW li1 ( 10810 24990 ) L1M1_PR_MR
NEW met1 ( 10810 24990 ) M1M2_PR
NEW met1 ( 3450 17510 ) M1M2_PR
NEW met1 ( 10810 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10810 24990 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( ANTENNA_input104_A DIODE ) ( input104 A ) + USE SIGNAL
+ ROUTED met1 ( 20930 11730 ) ( 21390 * )
NEW met2 ( 20930 6460 ) ( * 11730 )
NEW met2 ( 20470 6460 ) ( 20930 * )
NEW met2 ( 20470 3740 0 ) ( * 6460 )
NEW met1 ( 21390 11730 ) ( 23690 * )
NEW met3 ( 23460 20060 ) ( 23690 * )
NEW met4 ( 23460 20060 ) ( * 41820 )
NEW met3 ( 23460 41820 ) ( 23690 * )
NEW met2 ( 23690 41650 ) ( * 41820 )
NEW met2 ( 23690 11730 ) ( * 20060 )
NEW li1 ( 21390 11730 ) L1M1_PR_MR
NEW met1 ( 20930 11730 ) M1M2_PR
NEW met1 ( 23690 11730 ) M1M2_PR
NEW met2 ( 23690 20060 ) M2M3_PR_M
NEW met3 ( 23460 20060 ) M3M4_PR_M
NEW met3 ( 23460 41820 ) M3M4_PR_M
NEW met2 ( 23690 41820 ) M2M3_PR_M
NEW li1 ( 23690 41650 ) L1M1_PR_MR
NEW met1 ( 23690 41650 ) M1M2_PR
NEW met3 ( 23690 20060 ) RECT ( 0 -150 390 150 )
NEW met3 ( 23460 41820 ) RECT ( -390 -150 0 150 )
NEW met1 ( 23690 41650 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( ANTENNA_input105_A DIODE ) ( input105 A ) + USE SIGNAL
+ ROUTED met1 ( 21850 12070 ) ( 25990 * )
NEW met2 ( 21850 3740 0 ) ( * 12070 )
NEW met1 ( 25990 12070 ) ( 31970 * )
NEW li1 ( 31970 14110 ) ( * 15130 )
NEW li1 ( 31510 15130 ) ( 31970 * )
NEW met1 ( 29670 15130 ) ( 31510 * )
NEW met2 ( 29670 15130 ) ( * 20570 )
NEW met1 ( 29670 20570 ) ( 32890 * )
NEW met1 ( 32890 20570 ) ( * 20910 )
NEW met2 ( 32890 20910 ) ( * 38930 )
NEW met1 ( 28750 38930 ) ( 32890 * )
NEW met2 ( 31970 12070 ) ( * 14110 )
NEW li1 ( 25990 12070 ) L1M1_PR_MR
NEW met1 ( 21850 12070 ) M1M2_PR
NEW met1 ( 31970 12070 ) M1M2_PR
NEW li1 ( 31970 14110 ) L1M1_PR_MR
NEW met1 ( 31970 14110 ) M1M2_PR
NEW li1 ( 31510 15130 ) L1M1_PR_MR
NEW met1 ( 29670 15130 ) M1M2_PR
NEW met1 ( 29670 20570 ) M1M2_PR
NEW met1 ( 32890 20910 ) M1M2_PR
NEW met1 ( 32890 38930 ) M1M2_PR
NEW li1 ( 28750 38930 ) L1M1_PR_MR
NEW met1 ( 31970 14110 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( ANTENNA_input106_A DIODE ) ( input106 A ) + USE SIGNAL
+ ROUTED met1 ( 28290 11730 ) ( 34270 * )
NEW met2 ( 28290 8670 ) ( * 11730 )
NEW met1 ( 23690 8670 ) ( 28290 * )
NEW met2 ( 23690 3740 0 ) ( * 8670 )
NEW met1 ( 34270 12070 ) ( 34730 * )
NEW met1 ( 34270 11730 ) ( * 12070 )
NEW met2 ( 34270 14110 ) ( 34730 * )
NEW met2 ( 34270 14110 ) ( * 17850 )
NEW met1 ( 33350 17850 ) ( 34270 * )
NEW met2 ( 33350 17850 ) ( * 38590 )
NEW met2 ( 34730 12070 ) ( * 14110 )
NEW li1 ( 34270 11730 ) L1M1_PR_MR
NEW met1 ( 28290 11730 ) M1M2_PR
NEW met1 ( 28290 8670 ) M1M2_PR
NEW met1 ( 23690 8670 ) M1M2_PR
NEW met1 ( 34730 12070 ) M1M2_PR
NEW met1 ( 34270 17850 ) M1M2_PR
NEW met1 ( 33350 17850 ) M1M2_PR
NEW li1 ( 33350 38590 ) L1M1_PR_MR
NEW met1 ( 33350 38590 ) M1M2_PR
NEW met1 ( 33350 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( ANTENNA_input107_A DIODE ) ( input107 A ) + USE SIGNAL
+ ROUTED met2 ( 25990 17510 ) ( * 41650 )
NEW met1 ( 25070 17510 ) ( 25990 * )
NEW met2 ( 25070 3740 0 ) ( * 17510 )
NEW li1 ( 25990 17510 ) L1M1_PR_MR
NEW met1 ( 25990 17510 ) M1M2_PR
NEW li1 ( 25990 41650 ) L1M1_PR_MR
NEW met1 ( 25990 41650 ) M1M2_PR
NEW met1 ( 25070 17510 ) M1M2_PR
NEW met1 ( 25990 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25990 41650 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( ANTENNA_input108_A DIODE ) ( input108 A ) + USE SIGNAL
+ ROUTED met1 ( 35190 11730 ) ( 38870 * )
NEW met2 ( 35190 8500 ) ( * 11730 )
NEW met2 ( 34730 8500 ) ( 35190 * )
NEW met2 ( 34730 4590 ) ( * 8500 )
NEW met1 ( 26450 4590 ) ( 34730 * )
NEW met2 ( 26450 3740 0 ) ( * 4590 )
NEW met3 ( 35190 11900 ) ( 35420 * )
NEW met2 ( 35190 11730 ) ( * 11900 )
NEW met3 ( 35420 26180 ) ( 37490 * )
NEW met2 ( 37490 26180 ) ( * 38590 )
NEW met4 ( 35420 11900 ) ( * 26180 )
NEW li1 ( 38870 11730 ) L1M1_PR_MR
NEW met1 ( 35190 11730 ) M1M2_PR
NEW met1 ( 34730 4590 ) M1M2_PR
NEW met1 ( 26450 4590 ) M1M2_PR
NEW met3 ( 35420 11900 ) M3M4_PR_M
NEW met2 ( 35190 11900 ) M2M3_PR_M
NEW met3 ( 35420 26180 ) M3M4_PR_M
NEW met2 ( 37490 26180 ) M2M3_PR_M
NEW li1 ( 37490 38590 ) L1M1_PR_MR
NEW met1 ( 37490 38590 ) M1M2_PR
NEW met3 ( 35420 11900 ) RECT ( 0 -150 390 150 )
NEW met1 ( 37490 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( ANTENNA_input109_A DIODE ) ( input109 A ) + USE SIGNAL
+ ROUTED met1 ( 34270 20910 ) ( * 21250 )
NEW met1 ( 29670 21250 ) ( 34270 * )
NEW met2 ( 29670 21250 ) ( * 21420 )
NEW met3 ( 28980 21420 ) ( 29670 * )
NEW met4 ( 28980 15300 ) ( * 21420 )
NEW met3 ( 28750 15300 ) ( 28980 * )
NEW met2 ( 28750 13260 ) ( * 15300 )
NEW met2 ( 27830 13260 ) ( 28750 * )
NEW met2 ( 27830 3740 0 ) ( * 13260 )
NEW met1 ( 30590 41310 ) ( 32890 * )
NEW met2 ( 30590 23460 ) ( * 41310 )
NEW met3 ( 29670 23460 ) ( 30590 * )
NEW met3 ( 29670 21420 ) ( * 23460 )
NEW li1 ( 34270 20910 ) L1M1_PR_MR
NEW met1 ( 29670 21250 ) M1M2_PR
NEW met2 ( 29670 21420 ) M2M3_PR_M
NEW met3 ( 28980 21420 ) M3M4_PR_M
NEW met3 ( 28980 15300 ) M3M4_PR_M
NEW met2 ( 28750 15300 ) M2M3_PR_M
NEW li1 ( 32890 41310 ) L1M1_PR_MR
NEW met1 ( 30590 41310 ) M1M2_PR
NEW met2 ( 30590 23460 ) M2M3_PR_M
NEW met3 ( 28980 15300 ) RECT ( 0 -150 390 150 ) ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( ANTENNA_input110_A DIODE ) ( input110 A ) + USE SIGNAL
+ ROUTED met2 ( 69690 10030 ) ( * 13800 )
NEW met2 ( 69690 13800 ) ( 71070 * )
NEW met2 ( 71070 13800 ) ( * 14790 )
NEW met1 ( 71070 14790 ) ( 80270 * )
NEW met1 ( 39790 11730 ) ( 47150 * )
NEW met1 ( 39790 11390 ) ( * 11730 )
NEW met1 ( 37030 11390 ) ( 39790 * )
NEW met2 ( 37030 7310 ) ( * 11390 )
NEW met1 ( 34500 7310 ) ( 37030 * )
NEW met1 ( 34500 6630 ) ( * 7310 )
NEW met1 ( 29670 6630 ) ( 34500 * )
NEW met1 ( 29670 5950 ) ( * 6630 )
NEW met2 ( 29670 3740 0 ) ( * 5950 )
NEW met2 ( 50370 10030 ) ( * 11730 )
NEW met1 ( 47150 11730 ) ( 50370 * )
NEW met1 ( 50370 10030 ) ( 69690 * )
NEW met1 ( 69690 10030 ) M1M2_PR
NEW met1 ( 71070 14790 ) M1M2_PR
NEW li1 ( 80270 14790 ) L1M1_PR_MR
NEW li1 ( 47150 11730 ) L1M1_PR_MR
NEW met1 ( 37030 11390 ) M1M2_PR
NEW met1 ( 37030 7310 ) M1M2_PR
NEW met1 ( 29670 5950 ) M1M2_PR
NEW met1 ( 50370 10030 ) M1M2_PR
NEW met1 ( 50370 11730 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( ANTENNA_input111_A DIODE ) ( input111 A ) + USE SIGNAL
+ ROUTED met1 ( 29210 22950 ) ( 31510 * )
NEW met2 ( 29210 22950 ) ( * 41650 )
NEW met2 ( 30590 18020 ) ( 31050 * )
NEW met2 ( 30590 18020 ) ( * 22950 )
NEW met2 ( 31050 3740 0 ) ( * 18020 )
NEW li1 ( 31510 22950 ) L1M1_PR_MR
NEW met1 ( 29210 22950 ) M1M2_PR
NEW li1 ( 29210 41650 ) L1M1_PR_MR
NEW met1 ( 29210 41650 ) M1M2_PR
NEW met1 ( 30590 22950 ) M1M2_PR
NEW met1 ( 29210 41650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 30590 22950 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( ANTENNA_input112_A DIODE ) ( input112 A ) + USE SIGNAL
+ ROUTED met1 ( 36110 22950 ) ( 39330 * )
NEW met2 ( 39330 22950 ) ( * 41990 )
NEW met1 ( 36110 41990 ) ( 39330 * )
NEW met1 ( 32430 14110 ) ( 34730 * )
NEW met1 ( 34730 14110 ) ( * 14790 )
NEW met2 ( 34730 14790 ) ( * 22950 )
NEW met1 ( 34730 22950 ) ( 36110 * )
NEW met2 ( 32430 3740 0 ) ( * 14110 )
NEW li1 ( 36110 22950 ) L1M1_PR_MR
NEW met1 ( 39330 22950 ) M1M2_PR
NEW met1 ( 39330 41990 ) M1M2_PR
NEW li1 ( 36110 41990 ) L1M1_PR_MR
NEW met1 ( 32430 14110 ) M1M2_PR
NEW met1 ( 34730 14790 ) M1M2_PR
NEW met1 ( 34730 22950 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( ANTENNA_input113_A DIODE ) ( input113 A ) + USE SIGNAL
+ ROUTED met1 ( 41630 17510 ) ( 44850 * )
NEW met2 ( 41630 14450 ) ( * 17510 )
NEW met2 ( 41170 14450 ) ( 41630 * )
NEW met1 ( 37950 14450 ) ( 41170 * )
NEW met2 ( 37950 13090 ) ( * 14450 )
NEW met1 ( 33810 13090 ) ( 37950 * )
NEW met2 ( 33810 3740 0 ) ( * 13090 )
NEW met1 ( 45310 38590 ) ( 48070 * )
NEW met2 ( 48070 22950 ) ( * 38590 )
NEW met2 ( 46230 22950 ) ( 48070 * )
NEW met2 ( 46230 21420 ) ( * 22950 )
NEW met3 ( 44850 21420 ) ( 46230 * )
NEW met2 ( 44850 17510 ) ( * 21420 )
NEW li1 ( 44850 17510 ) L1M1_PR_MR
NEW met1 ( 41630 17510 ) M1M2_PR
NEW met1 ( 41170 14450 ) M1M2_PR
NEW met1 ( 37950 14450 ) M1M2_PR
NEW met1 ( 37950 13090 ) M1M2_PR
NEW met1 ( 33810 13090 ) M1M2_PR
NEW li1 ( 45310 38590 ) L1M1_PR_MR
NEW met1 ( 48070 38590 ) M1M2_PR
NEW met2 ( 46230 21420 ) M2M3_PR_M
NEW met2 ( 44850 21420 ) M2M3_PR_M
NEW met1 ( 44850 17510 ) M1M2_PR
NEW met1 ( 44850 17510 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( ANTENNA_input114_A DIODE ) ( input114 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 22950 ) ( 8970 * )
NEW met2 ( 8970 22950 ) ( * 46750 )
NEW met1 ( 8970 46750 ) ( 9890 * )
NEW met2 ( 5290 3740 0 ) ( * 22950 )
NEW met1 ( 5290 22950 ) ( 7130 * )
NEW li1 ( 7130 22950 ) L1M1_PR_MR
NEW met1 ( 8970 22950 ) M1M2_PR
NEW met1 ( 8970 46750 ) M1M2_PR
NEW li1 ( 9890 46750 ) L1M1_PR_MR
NEW met1 ( 5290 22950 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( ANTENNA_input115_A DIODE ) ( input115 A ) + USE SIGNAL
+ ROUTED met2 ( 36570 8500 ) ( * 12410 )
NEW met3 ( 36340 8500 ) ( 36570 * )
NEW met3 ( 36340 7820 ) ( * 8500 )
NEW met3 ( 35650 7820 ) ( 36340 * )
NEW met2 ( 35650 3740 0 ) ( * 7820 )
NEW met2 ( 48530 12410 ) ( * 12580 )
NEW met3 ( 48530 12580 ) ( 64630 * )
NEW met2 ( 64630 12580 ) ( * 27710 )
NEW met1 ( 48990 17510 ) ( 49450 * )
NEW met2 ( 48990 16830 ) ( * 17510 )
NEW met1 ( 48990 16830 ) ( 50830 * )
NEW met2 ( 50830 15980 ) ( * 16830 )
NEW met3 ( 50140 15980 ) ( 50830 * )
NEW met4 ( 50140 12580 ) ( * 15980 )
NEW met1 ( 36570 12410 ) ( 48530 * )
NEW met1 ( 36570 12410 ) M1M2_PR
NEW met2 ( 36570 8500 ) M2M3_PR_M
NEW met2 ( 35650 7820 ) M2M3_PR_M
NEW met1 ( 48530 12410 ) M1M2_PR
NEW met2 ( 48530 12580 ) M2M3_PR_M
NEW met2 ( 64630 12580 ) M2M3_PR_M
NEW li1 ( 64630 27710 ) L1M1_PR_MR
NEW met1 ( 64630 27710 ) M1M2_PR
NEW li1 ( 49450 17510 ) L1M1_PR_MR
NEW met1 ( 48990 17510 ) M1M2_PR
NEW met1 ( 48990 16830 ) M1M2_PR
NEW met1 ( 50830 16830 ) M1M2_PR
NEW met2 ( 50830 15980 ) M2M3_PR_M
NEW met3 ( 50140 15980 ) M3M4_PR_M
NEW met3 ( 50140 12580 ) M3M4_PR_M
NEW met1 ( 64630 27710 ) RECT ( -355 -70 0 70 )
NEW met3 ( 50140 12580 ) RECT ( -800 -150 0 150 ) ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( ANTENNA_input116_A DIODE ) ( input116 A ) + USE SIGNAL
+ ROUTED met1 ( 48070 7990 ) ( * 8330 )
NEW met1 ( 37030 8330 ) ( 48070 * )
NEW li1 ( 37030 6630 ) ( * 8330 )
NEW met2 ( 37030 3740 0 ) ( * 6630 )
NEW met2 ( 60030 11900 ) ( * 12070 )
NEW met3 ( 60030 11900 ) ( 66010 * )
NEW met2 ( 66010 11900 ) ( * 24990 )
NEW met1 ( 66010 24990 ) ( 67850 * )
NEW met2 ( 60030 7990 ) ( * 11900 )
NEW met1 ( 48070 7990 ) ( 60030 * )
NEW li1 ( 37030 8330 ) L1M1_PR_MR
NEW li1 ( 37030 6630 ) L1M1_PR_MR
NEW met1 ( 37030 6630 ) M1M2_PR
NEW li1 ( 60030 12070 ) L1M1_PR_MR
NEW met1 ( 60030 12070 ) M1M2_PR
NEW met2 ( 60030 11900 ) M2M3_PR_M
NEW met2 ( 66010 11900 ) M2M3_PR_M
NEW met1 ( 66010 24990 ) M1M2_PR
NEW li1 ( 67850 24990 ) L1M1_PR_MR
NEW met1 ( 60030 7990 ) M1M2_PR
NEW met1 ( 37030 6630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60030 12070 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( ANTENNA_input117_A DIODE ) ( input117 A ) + USE SIGNAL
+ ROUTED met1 ( 38870 26010 ) ( 40250 * )
NEW met2 ( 40250 26010 ) ( * 38590 )
NEW met1 ( 38410 26010 ) ( 38870 * )
NEW met2 ( 38410 3740 0 ) ( * 26010 )
NEW li1 ( 38870 26010 ) L1M1_PR_MR
NEW met1 ( 40250 26010 ) M1M2_PR
NEW li1 ( 40250 38590 ) L1M1_PR_MR
NEW met1 ( 40250 38590 ) M1M2_PR
NEW met1 ( 38410 26010 ) M1M2_PR
NEW met1 ( 40250 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( ANTENNA_input118_A DIODE ) ( input118 A ) + USE SIGNAL
+ ROUTED met2 ( 39790 3740 0 ) ( * 12580 )
NEW met3 ( 39790 12580 ) ( 42780 * )
NEW met1 ( 57270 15130 ) ( 57730 * )
NEW met2 ( 57270 15130 ) ( * 16660 )
NEW met3 ( 42780 16660 ) ( 57270 * )
NEW met2 ( 60950 19380 ) ( * 22270 )
NEW met3 ( 60260 19380 ) ( 60950 * )
NEW met4 ( 60260 16660 ) ( * 19380 )
NEW met3 ( 57270 16660 ) ( 60260 * )
NEW met4 ( 42780 12580 ) ( * 16660 )
NEW met1 ( 60950 22270 ) ( 73830 * )
NEW li1 ( 73830 22270 ) L1M1_PR_MR
NEW met2 ( 39790 12580 ) M2M3_PR_M
NEW met3 ( 42780 12580 ) M3M4_PR_M
NEW li1 ( 57730 15130 ) L1M1_PR_MR
NEW met1 ( 57270 15130 ) M1M2_PR
NEW met2 ( 57270 16660 ) M2M3_PR_M
NEW met3 ( 42780 16660 ) M3M4_PR_M
NEW met1 ( 60950 22270 ) M1M2_PR
NEW met2 ( 60950 19380 ) M2M3_PR_M
NEW met3 ( 60260 19380 ) M3M4_PR_M
NEW met3 ( 60260 16660 ) M3M4_PR_M ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( ANTENNA_input119_A DIODE ) ( input119 A ) + USE SIGNAL
+ ROUTED met2 ( 41630 3740 0 ) ( * 7990 )
NEW met1 ( 41630 7990 ) ( 47610 * )
NEW met1 ( 47610 16830 ) ( * 17170 )
NEW met2 ( 47610 7990 ) ( * 16830 )
NEW met1 ( 54050 17510 ) ( * 17850 )
NEW met1 ( 54050 17850 ) ( 57270 * )
NEW met2 ( 57270 17850 ) ( * 20740 )
NEW met3 ( 57270 20740 ) ( 70610 * )
NEW met2 ( 70610 20740 ) ( * 24990 )
NEW met1 ( 54050 17170 ) ( * 17510 )
NEW met1 ( 47610 17170 ) ( 54050 * )
NEW met1 ( 41630 7990 ) M1M2_PR
NEW met1 ( 47610 7990 ) M1M2_PR
NEW met1 ( 47610 16830 ) M1M2_PR
NEW li1 ( 54050 17510 ) L1M1_PR_MR
NEW met1 ( 57270 17850 ) M1M2_PR
NEW met2 ( 57270 20740 ) M2M3_PR_M
NEW met2 ( 70610 20740 ) M2M3_PR_M
NEW li1 ( 70610 24990 ) L1M1_PR_MR
NEW met1 ( 70610 24990 ) M1M2_PR
NEW met1 ( 70610 24990 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( ANTENNA_input120_A DIODE ) ( input120 A ) + USE SIGNAL
+ ROUTED met2 ( 43010 3740 0 ) ( * 13260 )
NEW met3 ( 43010 13260 ) ( 44620 * )
NEW met4 ( 44620 13260 ) ( * 22100 )
NEW met1 ( 51750 20570 ) ( 52210 * )
NEW met1 ( 51750 20570 ) ( * 21250 )
NEW met1 ( 51750 21250 ) ( 52670 * )
NEW met1 ( 52670 20910 ) ( * 21250 )
NEW met1 ( 52670 20910 ) ( 53590 * )
NEW met2 ( 53590 20910 ) ( * 35870 )
NEW met1 ( 53590 35870 ) ( 54510 * )
NEW met3 ( 44620 22100 ) ( 53590 * )
NEW met2 ( 43010 13260 ) M2M3_PR_M
NEW met3 ( 44620 13260 ) M3M4_PR_M
NEW met3 ( 44620 22100 ) M3M4_PR_M
NEW li1 ( 52210 20570 ) L1M1_PR_MR
NEW met1 ( 53590 20910 ) M1M2_PR
NEW met1 ( 53590 35870 ) M1M2_PR
NEW li1 ( 54510 35870 ) L1M1_PR_MR
NEW met2 ( 53590 22100 ) M2M3_PR_M
NEW met2 ( 53590 22100 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( ANTENNA_input121_A DIODE ) ( input121 A ) + USE SIGNAL
+ ROUTED met1 ( 62790 30430 ) ( 64630 * )
NEW met2 ( 62790 12070 ) ( * 30430 )
NEW met2 ( 44390 3740 0 ) ( * 4590 )
NEW li1 ( 44390 4590 ) ( * 9350 )
NEW met1 ( 44390 9350 ) ( 61870 * )
NEW met2 ( 61870 9350 ) ( * 12070 )
NEW met1 ( 61870 12070 ) ( 62790 * )
NEW met1 ( 62790 12070 ) M1M2_PR
NEW li1 ( 62330 12070 ) L1M1_PR_MR
NEW met1 ( 62790 30430 ) M1M2_PR
NEW li1 ( 64630 30430 ) L1M1_PR_MR
NEW li1 ( 44390 4590 ) L1M1_PR_MR
NEW met1 ( 44390 4590 ) M1M2_PR
NEW li1 ( 44390 9350 ) L1M1_PR_MR
NEW met1 ( 61870 9350 ) M1M2_PR
NEW met1 ( 61870 12070 ) M1M2_PR
NEW met1 ( 62330 12070 ) RECT ( 0 -70 595 70 )
NEW met1 ( 44390 4590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( ANTENNA_input122_A DIODE ) ( input122 A ) + USE SIGNAL
+ ROUTED met1 ( 48990 22950 ) ( 49450 * )
NEW met2 ( 48990 22950 ) ( * 38590 )
NEW met1 ( 48300 22950 ) ( 48990 * )
NEW met2 ( 45770 3740 0 ) ( * 11900 )
NEW met2 ( 45770 11900 ) ( 46230 * )
NEW met2 ( 46230 11900 ) ( * 15810 )
NEW met2 ( 46230 15810 ) ( 46690 * )
NEW met2 ( 46690 15810 ) ( * 18530 )
NEW met1 ( 41630 18530 ) ( 46690 * )
NEW met2 ( 41630 18530 ) ( * 22780 )
NEW met2 ( 41170 22780 ) ( 41630 * )
NEW met3 ( 41170 22780 ) ( * 22950 )
NEW met3 ( 41170 22950 ) ( 41630 * )
NEW met3 ( 41630 22950 ) ( * 23460 )
NEW met3 ( 41630 23460 ) ( 44850 * )
NEW met2 ( 44850 23460 ) ( 45310 * )
NEW met2 ( 45310 23460 ) ( * 23970 )
NEW met1 ( 45310 23970 ) ( 46690 * )
NEW met1 ( 46690 23290 ) ( * 23970 )
NEW met1 ( 46690 23290 ) ( 48300 * )
NEW met1 ( 48300 22950 ) ( * 23290 )
NEW li1 ( 49450 22950 ) L1M1_PR_MR
NEW met1 ( 48990 22950 ) M1M2_PR
NEW li1 ( 48990 38590 ) L1M1_PR_MR
NEW met1 ( 48990 38590 ) M1M2_PR
NEW met1 ( 46690 18530 ) M1M2_PR
NEW met1 ( 41630 18530 ) M1M2_PR
NEW met2 ( 41170 22780 ) M2M3_PR_M
NEW met2 ( 44850 23460 ) M2M3_PR_M
NEW met1 ( 45310 23970 ) M1M2_PR
NEW met1 ( 48990 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( ANTENNA_input123_A DIODE ) ( input123 A ) + USE SIGNAL
+ ROUTED met2 ( 47610 3740 0 ) ( * 6970 )
NEW met1 ( 46690 6970 ) ( 47610 * )
NEW met2 ( 46690 6970 ) ( * 14110 )
NEW met1 ( 56350 17510 ) ( 58650 * )
NEW met2 ( 56350 17510 ) ( * 23290 )
NEW met1 ( 56350 23290 ) ( 59110 * )
NEW met2 ( 59110 23290 ) ( * 33150 )
NEW met1 ( 59110 33150 ) ( 60950 * )
NEW met2 ( 48530 13940 ) ( * 14110 )
NEW met3 ( 48530 13940 ) ( 51980 * )
NEW met3 ( 51980 13940 ) ( * 14620 )
NEW met3 ( 51980 14620 ) ( 56350 * )
NEW met2 ( 56350 14620 ) ( * 17510 )
NEW met1 ( 46690 14110 ) ( 48530 * )
NEW met1 ( 47610 6970 ) M1M2_PR
NEW met1 ( 46690 6970 ) M1M2_PR
NEW met1 ( 46690 14110 ) M1M2_PR
NEW li1 ( 58650 17510 ) L1M1_PR_MR
NEW met1 ( 56350 17510 ) M1M2_PR
NEW met1 ( 56350 23290 ) M1M2_PR
NEW met1 ( 59110 23290 ) M1M2_PR
NEW met1 ( 59110 33150 ) M1M2_PR
NEW li1 ( 60950 33150 ) L1M1_PR_MR
NEW met1 ( 48530 14110 ) M1M2_PR
NEW met2 ( 48530 13940 ) M2M3_PR_M
NEW met2 ( 56350 14620 ) M2M3_PR_M ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( ANTENNA_input124_A DIODE ) ( input124 A ) + USE SIGNAL
+ ROUTED met1 ( 61870 15130 ) ( 62330 * )
NEW met2 ( 61870 13260 ) ( * 15130 )
NEW met2 ( 61870 13260 ) ( 62330 * )
NEW met2 ( 62330 8670 ) ( * 13260 )
NEW met2 ( 61870 8670 ) ( 62330 * )
NEW met2 ( 61870 6630 ) ( * 8670 )
NEW met1 ( 48990 6630 ) ( 61870 * )
NEW met2 ( 48990 3740 0 ) ( * 6630 )
NEW met1 ( 65090 27710 ) ( 67390 * )
NEW met2 ( 65090 15810 ) ( * 27710 )
NEW met1 ( 63250 15810 ) ( 65090 * )
NEW met1 ( 63250 15130 ) ( * 15810 )
NEW met1 ( 62330 15130 ) ( 63250 * )
NEW li1 ( 62330 15130 ) L1M1_PR_MR
NEW met1 ( 61870 15130 ) M1M2_PR
NEW met1 ( 61870 6630 ) M1M2_PR
NEW met1 ( 48990 6630 ) M1M2_PR
NEW li1 ( 67390 27710 ) L1M1_PR_MR
NEW met1 ( 65090 27710 ) M1M2_PR
NEW met1 ( 65090 15810 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( ANTENNA_input125_A DIODE ) ( input125 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 17170 ) ( 14490 * )
NEW met1 ( 14490 17170 ) ( * 17510 )
NEW met1 ( 14490 17510 ) ( 16790 * )
NEW met1 ( 16790 17510 ) ( * 18190 )
NEW met1 ( 16790 18190 ) ( 18630 * )
NEW met2 ( 18630 18190 ) ( * 20910 )
NEW met1 ( 18630 20910 ) ( * 21250 )
NEW met1 ( 15410 21250 ) ( 18630 * )
NEW met2 ( 15410 21250 ) ( * 28220 )
NEW met2 ( 14950 28220 ) ( 15410 * )
NEW met2 ( 14950 28220 ) ( * 44030 )
NEW met2 ( 7590 3740 0 ) ( * 13090 )
NEW met1 ( 4830 13090 ) ( 7590 * )
NEW li1 ( 4830 13090 ) ( * 17170 )
NEW met1 ( 4830 17170 ) ( 11270 * )
NEW met1 ( 11270 17170 ) ( * 17510 )
NEW met1 ( 11270 17510 ) ( 14490 * )
NEW li1 ( 14030 17170 ) L1M1_PR_MR
NEW met1 ( 18630 18190 ) M1M2_PR
NEW met1 ( 18630 20910 ) M1M2_PR
NEW met1 ( 15410 21250 ) M1M2_PR
NEW li1 ( 14950 44030 ) L1M1_PR_MR
NEW met1 ( 14950 44030 ) M1M2_PR
NEW met1 ( 7590 13090 ) M1M2_PR
NEW li1 ( 4830 13090 ) L1M1_PR_MR
NEW li1 ( 4830 17170 ) L1M1_PR_MR
NEW met1 ( 14950 44030 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( ANTENNA_input126_A DIODE ) ( input126 A ) + USE SIGNAL
+ ROUTED met2 ( 68310 22610 ) ( * 27710 )
NEW met1 ( 68310 27710 ) ( 70610 * )
NEW met2 ( 50370 3740 0 ) ( * 6970 )
NEW met1 ( 50370 6970 ) ( 53130 * )
NEW met2 ( 54050 15130 ) ( * 22950 )
NEW met1 ( 53130 15130 ) ( 54050 * )
NEW met1 ( 56350 22610 ) ( * 22950 )
NEW met1 ( 54050 22950 ) ( 56350 * )
NEW met2 ( 53130 6970 ) ( * 15130 )
NEW met1 ( 56350 22610 ) ( 68310 * )
NEW met1 ( 68310 22610 ) M1M2_PR
NEW met1 ( 68310 27710 ) M1M2_PR
NEW li1 ( 70610 27710 ) L1M1_PR_MR
NEW met1 ( 50370 6970 ) M1M2_PR
NEW met1 ( 53130 6970 ) M1M2_PR
NEW li1 ( 54050 22950 ) L1M1_PR_MR
NEW met1 ( 54050 22950 ) M1M2_PR
NEW met1 ( 54050 15130 ) M1M2_PR
NEW met1 ( 53130 15130 ) M1M2_PR
NEW met1 ( 54050 22950 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( ANTENNA_input127_A DIODE ) ( input127 A ) + USE SIGNAL
+ ROUTED met1 ( 51750 5950 ) ( 54050 * )
NEW met2 ( 51750 3740 0 ) ( * 5950 )
NEW met1 ( 54050 12070 ) ( 54510 * )
NEW met3 ( 54050 13940 ) ( 56810 * )
NEW met2 ( 56810 13940 ) ( * 24990 )
NEW met1 ( 56810 24990 ) ( 58650 * )
NEW met1 ( 58650 24990 ) ( * 25330 )
NEW met2 ( 54050 5950 ) ( * 13940 )
NEW met1 ( 58650 25330 ) ( 73370 * )
NEW li1 ( 73370 25330 ) L1M1_PR_MR
NEW met1 ( 54050 5950 ) M1M2_PR
NEW met1 ( 51750 5950 ) M1M2_PR
NEW li1 ( 54510 12070 ) L1M1_PR_MR
NEW met1 ( 54050 12070 ) M1M2_PR
NEW met2 ( 54050 13940 ) M2M3_PR_M
NEW met2 ( 56810 13940 ) M2M3_PR_M
NEW met1 ( 56810 24990 ) M1M2_PR
NEW met2 ( 54050 12070 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( ANTENNA_input128_A DIODE ) ( input128 A ) + USE SIGNAL
+ ROUTED met2 ( 9890 20910 ) ( * 47430 )
NEW met1 ( 9890 47430 ) ( 12650 * )
NEW met2 ( 9430 20740 ) ( 9890 * )
NEW met2 ( 9890 20740 ) ( * 20910 )
NEW met2 ( 9430 3740 0 ) ( * 20740 )
NEW li1 ( 9890 20910 ) L1M1_PR_MR
NEW met1 ( 9890 20910 ) M1M2_PR
NEW met1 ( 9890 47430 ) M1M2_PR
NEW li1 ( 12650 47430 ) L1M1_PR_MR
NEW met1 ( 9890 20910 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( ANTENNA_input129_A DIODE ) ( input129 A ) + USE SIGNAL
+ ROUTED met3 ( 9660 13260 ) ( 11270 * )
NEW met2 ( 11270 3740 0 ) ( * 13260 )
NEW met2 ( 10350 14620 ) ( * 22610 )
NEW met3 ( 9660 14620 ) ( 10350 * )
NEW met4 ( 9660 13260 ) ( * 14620 )
NEW met2 ( 16330 22610 ) ( * 26690 )
NEW met1 ( 16330 26690 ) ( 18170 * )
NEW met2 ( 18170 26690 ) ( * 44030 )
NEW met1 ( 18170 44030 ) ( 19090 * )
NEW met1 ( 10350 22610 ) ( 16330 * )
NEW met3 ( 9660 13260 ) M3M4_PR_M
NEW met2 ( 11270 13260 ) M2M3_PR_M
NEW met1 ( 10350 22610 ) M1M2_PR
NEW met2 ( 10350 14620 ) M2M3_PR_M
NEW met3 ( 9660 14620 ) M3M4_PR_M
NEW li1 ( 13570 22610 ) L1M1_PR_MR
NEW met1 ( 16330 22610 ) M1M2_PR
NEW met1 ( 16330 26690 ) M1M2_PR
NEW met1 ( 18170 26690 ) M1M2_PR
NEW met1 ( 18170 44030 ) M1M2_PR
NEW li1 ( 19090 44030 ) L1M1_PR_MR
NEW met1 ( 13570 22610 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( ANTENNA_input130_A DIODE ) ( input130 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 26010 ) ( 14490 * )
NEW met2 ( 14490 23970 ) ( * 26010 )
NEW met1 ( 13110 23970 ) ( 14490 * )
NEW met2 ( 13110 3740 0 ) ( * 23970 )
NEW met1 ( 14490 46750 ) ( 15410 * )
NEW met2 ( 14490 26010 ) ( * 46750 )
NEW li1 ( 14030 26010 ) L1M1_PR_MR
NEW met1 ( 14490 26010 ) M1M2_PR
NEW met1 ( 14490 23970 ) M1M2_PR
NEW met1 ( 13110 23970 ) M1M2_PR
NEW li1 ( 15410 46750 ) L1M1_PR_MR
NEW met1 ( 14490 46750 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( ANTENNA_input131_A DIODE ) ( input131 A ) + USE SIGNAL
+ ROUTED met1 ( 21390 22610 ) ( 22310 * )
NEW met2 ( 22310 22610 ) ( * 22780 )
NEW met3 ( 17940 22780 ) ( 22310 * )
NEW met4 ( 17940 9860 ) ( * 22780 )
NEW met3 ( 14490 9860 ) ( 17940 * )
NEW met2 ( 14490 3740 0 ) ( * 9860 )
NEW met2 ( 21850 43860 ) ( * 44030 )
NEW met3 ( 17940 43860 ) ( 21850 * )
NEW met4 ( 17940 22780 ) ( * 43860 )
NEW li1 ( 21390 22610 ) L1M1_PR_MR
NEW met1 ( 22310 22610 ) M1M2_PR
NEW met2 ( 22310 22780 ) M2M3_PR_M
NEW met3 ( 17940 22780 ) M3M4_PR_M
NEW met3 ( 17940 9860 ) M3M4_PR_M
NEW met2 ( 14490 9860 ) M2M3_PR_M
NEW li1 ( 21850 44030 ) L1M1_PR_MR
NEW met1 ( 21850 44030 ) M1M2_PR
NEW met2 ( 21850 43860 ) M2M3_PR_M
NEW met3 ( 17940 43860 ) M3M4_PR_M
NEW met1 ( 21850 44030 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( ANTENNA_input132_A DIODE ) ( input132 A ) + USE SIGNAL
+ ROUTED met2 ( 15870 3740 0 ) ( * 9010 )
NEW met1 ( 15870 9010 ) ( 18170 * )
NEW met2 ( 18630 26350 ) ( * 46750 )
NEW met2 ( 18170 26180 ) ( 18630 * )
NEW met2 ( 18630 26180 ) ( * 26350 )
NEW met2 ( 18170 9010 ) ( * 26180 )
NEW met1 ( 15870 9010 ) M1M2_PR
NEW met1 ( 18170 9010 ) M1M2_PR
NEW li1 ( 18630 26350 ) L1M1_PR_MR
NEW met1 ( 18630 26350 ) M1M2_PR
NEW li1 ( 18630 46750 ) L1M1_PR_MR
NEW met1 ( 18630 46750 ) M1M2_PR
NEW met1 ( 18630 26350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 18630 46750 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( ANTENNA_input133_A DIODE ) ( input133 A ) + USE SIGNAL
+ ROUTED met1 ( 21850 22950 ) ( 25990 * )
NEW met2 ( 21850 22100 ) ( * 22950 )
NEW met3 ( 18860 22100 ) ( 21850 * )
NEW met4 ( 18860 12580 ) ( * 22100 )
NEW met3 ( 17710 12580 ) ( 18860 * )
NEW met2 ( 17710 3740 0 ) ( * 12580 )
NEW met2 ( 24610 43180 ) ( * 44030 )
NEW met3 ( 19780 43180 ) ( 24610 * )
NEW met4 ( 19780 22100 ) ( * 43180 )
NEW met4 ( 18860 22100 ) ( 19780 * )
NEW li1 ( 25990 22950 ) L1M1_PR_MR
NEW met1 ( 21850 22950 ) M1M2_PR
NEW met2 ( 21850 22100 ) M2M3_PR_M
NEW met3 ( 18860 22100 ) M3M4_PR_M
NEW met3 ( 18860 12580 ) M3M4_PR_M
NEW met2 ( 17710 12580 ) M2M3_PR_M
NEW li1 ( 24610 44030 ) L1M1_PR_MR
NEW met1 ( 24610 44030 ) M1M2_PR
NEW met2 ( 24610 43180 ) M2M3_PR_M
NEW met3 ( 19780 43180 ) M3M4_PR_M
NEW met1 ( 24610 44030 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( ANTENNA_input134_A DIODE ) ( input134 A ) + USE SIGNAL
+ ROUTED met2 ( 19090 3740 0 ) ( * 11900 )
NEW met3 ( 19090 11900 ) ( 19780 * )
NEW met1 ( 22770 26350 ) ( 23230 * )
NEW met2 ( 22770 26350 ) ( * 28220 )
NEW met2 ( 22770 28220 ) ( 23230 * )
NEW met2 ( 23230 28220 ) ( * 46750 )
NEW met1 ( 22310 46750 ) ( 23230 * )
NEW met3 ( 19780 19380 ) ( 20470 * )
NEW met2 ( 20470 19380 ) ( * 20060 )
NEW met2 ( 20470 20060 ) ( 20930 * )
NEW met2 ( 20930 20060 ) ( * 23970 )
NEW met2 ( 20470 23970 ) ( 20930 * )
NEW met2 ( 20470 23970 ) ( * 24990 )
NEW met2 ( 20470 24990 ) ( 20930 * )
NEW met2 ( 20930 24990 ) ( * 26350 )
NEW met1 ( 20930 26350 ) ( 22770 * )
NEW met4 ( 19780 11900 ) ( * 19380 )
NEW met2 ( 19090 11900 ) M2M3_PR_M
NEW met3 ( 19780 11900 ) M3M4_PR_M
NEW li1 ( 23230 26350 ) L1M1_PR_MR
NEW met1 ( 22770 26350 ) M1M2_PR
NEW met1 ( 23230 46750 ) M1M2_PR
NEW li1 ( 22310 46750 ) L1M1_PR_MR
NEW met3 ( 19780 19380 ) M3M4_PR_M
NEW met2 ( 20470 19380 ) M2M3_PR_M
NEW met1 ( 20930 26350 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output247 X ) + USE SIGNAL
+ ROUTED met1 ( 3910 20570 ) ( 7590 * )
NEW met2 ( 7590 20570 ) ( * 27710 )
NEW met2 ( 3910 3740 0 ) ( * 20570 )
NEW met1 ( 3910 20570 ) M1M2_PR
NEW met1 ( 7590 20570 ) M1M2_PR
NEW li1 ( 7590 27710 ) L1M1_PR_MR
NEW met1 ( 7590 27710 ) M1M2_PR
NEW met1 ( 7590 27710 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output248 X ) + USE SIGNAL
+ ROUTED met2 ( 20930 3740 0 ) ( * 5950 )
NEW met1 ( 20930 5950 ) ( 24150 * )
NEW met1 ( 24150 27710 ) ( 24610 * )
NEW met2 ( 24150 5950 ) ( * 27710 )
NEW met1 ( 20930 5950 ) M1M2_PR
NEW met1 ( 24150 5950 ) M1M2_PR
NEW met1 ( 24150 27710 ) M1M2_PR
NEW li1 ( 24610 27710 ) L1M1_PR_MR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output249 X ) + USE SIGNAL
+ ROUTED met2 ( 22310 3740 0 ) ( * 7140 )
NEW met2 ( 22310 7140 ) ( 23230 * )
NEW met1 ( 23230 17170 ) ( 26910 * )
NEW met2 ( 26910 17170 ) ( * 24990 )
NEW met1 ( 26910 24990 ) ( 27830 * )
NEW met2 ( 23230 7140 ) ( * 17170 )
NEW met1 ( 23230 17170 ) M1M2_PR
NEW met1 ( 26910 17170 ) M1M2_PR
NEW met1 ( 26910 24990 ) M1M2_PR
NEW li1 ( 27830 24990 ) L1M1_PR_MR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output250 X ) + USE SIGNAL
+ ROUTED met2 ( 24150 3740 0 ) ( * 5100 )
NEW met3 ( 24150 5100 ) ( 31740 * )
NEW met4 ( 31740 5100 ) ( * 20740 )
NEW met3 ( 31740 20740 ) ( 39330 * )
NEW met2 ( 39330 20740 ) ( * 22270 )
NEW met1 ( 39330 22270 ) ( 41170 * )
NEW met2 ( 24150 5100 ) M2M3_PR_M
NEW met3 ( 31740 5100 ) M3M4_PR_M
NEW met3 ( 31740 20740 ) M3M4_PR_M
NEW met2 ( 39330 20740 ) M2M3_PR_M
NEW met1 ( 39330 22270 ) M1M2_PR
NEW li1 ( 41170 22270 ) L1M1_PR_MR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output251 X ) + USE SIGNAL
+ ROUTED met3 ( 25530 15980 ) ( 26220 * )
NEW met3 ( 26220 15980 ) ( * 16660 )
NEW met3 ( 26220 16660 ) ( 28750 * )
NEW met2 ( 28750 16660 ) ( * 27710 )
NEW met1 ( 28290 27710 ) ( 28750 * )
NEW met2 ( 25530 3740 0 ) ( * 15980 )
NEW met2 ( 25530 15980 ) M2M3_PR_M
NEW met2 ( 28750 16660 ) M2M3_PR_M
NEW met1 ( 28750 27710 ) M1M2_PR
NEW li1 ( 28290 27710 ) L1M1_PR_MR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output252 X ) + USE SIGNAL
+ ROUTED met2 ( 26910 3740 0 ) ( * 16490 )
NEW met2 ( 26910 16490 ) ( 27370 * )
NEW met2 ( 27370 16490 ) ( * 28050 )
NEW met1 ( 27370 28050 ) ( 29210 * )
NEW met1 ( 29210 27710 ) ( * 28050 )
NEW met1 ( 29210 27710 ) ( 31970 * )
NEW met1 ( 27370 28050 ) M1M2_PR
NEW li1 ( 31970 27710 ) L1M1_PR_MR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output253 X ) + USE SIGNAL
+ ROUTED met2 ( 28290 3740 0 ) ( * 4420 )
NEW met3 ( 28290 4420 ) ( 39100 * )
NEW met4 ( 39100 4420 ) ( * 26860 )
NEW met3 ( 39100 26860 ) ( 41170 * )
NEW met2 ( 41170 26860 ) ( * 27540 )
NEW met2 ( 41170 27540 ) ( 41630 * )
NEW met2 ( 41630 27540 ) ( * 27710 )
NEW met1 ( 41170 27710 ) ( 41630 * )
NEW met2 ( 28290 4420 ) M2M3_PR_M
NEW met3 ( 39100 4420 ) M3M4_PR_M
NEW met3 ( 39100 26860 ) M3M4_PR_M
NEW met2 ( 41170 26860 ) M2M3_PR_M
NEW met1 ( 41630 27710 ) M1M2_PR
NEW li1 ( 41170 27710 ) L1M1_PR_MR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output254 X ) + USE SIGNAL
+ ROUTED met3 ( 30130 13940 ) ( 31740 * )
NEW met3 ( 31740 13940 ) ( * 15300 )
NEW met3 ( 31740 15300 ) ( 37260 * )
NEW met3 ( 37260 14620 ) ( * 15300 )
NEW met2 ( 30130 3740 0 ) ( * 13940 )
NEW met4 ( 51060 11220 ) ( * 14620 )
NEW met3 ( 51060 11220 ) ( 54510 * )
NEW met2 ( 54510 11220 ) ( * 14110 )
NEW met1 ( 54050 14110 ) ( 54510 * )
NEW met3 ( 37260 14620 ) ( 51060 * )
NEW met2 ( 30130 13940 ) M2M3_PR_M
NEW met3 ( 51060 14620 ) M3M4_PR_M
NEW met3 ( 51060 11220 ) M3M4_PR_M
NEW met2 ( 54510 11220 ) M2M3_PR_M
NEW met1 ( 54510 14110 ) M1M2_PR
NEW li1 ( 54050 14110 ) L1M1_PR_MR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output255 X ) + USE SIGNAL
+ ROUTED met1 ( 31510 30430 ) ( 32430 * )
NEW met2 ( 31510 3740 0 ) ( * 30430 )
NEW met1 ( 31510 30430 ) M1M2_PR
NEW li1 ( 32430 30430 ) L1M1_PR_MR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output256 X ) + USE SIGNAL
+ ROUTED met1 ( 32890 20230 ) ( 34730 * )
NEW met1 ( 34730 20230 ) ( * 20910 )
NEW met1 ( 34730 20910 ) ( 35190 * )
NEW met2 ( 35190 20910 ) ( * 30430 )
NEW met1 ( 35190 30430 ) ( 36110 * )
NEW met2 ( 32890 3740 0 ) ( * 20230 )
NEW met1 ( 32890 20230 ) M1M2_PR
NEW met1 ( 35190 20910 ) M1M2_PR
NEW met1 ( 35190 30430 ) M1M2_PR
NEW li1 ( 36110 30430 ) L1M1_PR_MR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output257 X ) + USE SIGNAL
+ ROUTED met2 ( 34270 3740 0 ) ( * 11900 )
NEW met3 ( 34270 11900 ) ( 34500 * )
NEW met4 ( 34500 11900 ) ( * 30260 )
NEW met3 ( 34500 30260 ) ( 41170 * )
NEW met3 ( 41170 29580 ) ( * 30260 )
NEW met2 ( 41170 29410 ) ( * 29580 )
NEW met1 ( 41170 29410 ) ( 45310 * )
NEW met2 ( 34270 11900 ) M2M3_PR_M
NEW met3 ( 34500 11900 ) M3M4_PR_M
NEW met3 ( 34500 30260 ) M3M4_PR_M
NEW met2 ( 41170 29580 ) M2M3_PR_M
NEW met1 ( 41170 29410 ) M1M2_PR
NEW li1 ( 45310 29410 ) L1M1_PR_MR
NEW met3 ( 34270 11900 ) RECT ( -390 -150 0 150 ) ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output258 X ) + USE SIGNAL
+ ROUTED met2 ( 6210 3740 0 ) ( * 13800 )
NEW met2 ( 6210 13800 ) ( 6670 * )
NEW met2 ( 6670 13800 ) ( * 25500 )
NEW met2 ( 5750 25500 ) ( 6670 * )
NEW met2 ( 5750 25500 ) ( * 29070 )
NEW met1 ( 5750 29070 ) ( 11270 * )
NEW met1 ( 5750 29070 ) M1M2_PR
NEW li1 ( 11270 29070 ) L1M1_PR_MR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output259 X ) + USE SIGNAL
+ ROUTED met2 ( 36110 3740 0 ) ( * 13800 )
NEW met2 ( 36110 13800 ) ( 36570 * )
NEW met2 ( 36570 13800 ) ( * 15300 )
NEW met2 ( 36570 15300 ) ( 37950 * )
NEW met2 ( 37950 15300 ) ( * 30430 )
NEW met1 ( 37950 30430 ) ( 39790 * )
NEW met1 ( 37950 30430 ) M1M2_PR
NEW li1 ( 39790 30430 ) L1M1_PR_MR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output260 X ) + USE SIGNAL
+ ROUTED met2 ( 37490 3740 0 ) ( * 14790 )
NEW met1 ( 37490 14790 ) ( 40710 * )
NEW met2 ( 40710 14790 ) ( * 18700 )
NEW met3 ( 40710 18700 ) ( 42780 * )
NEW met4 ( 42780 18700 ) ( * 26860 )
NEW met3 ( 42780 26860 ) ( 45310 * )
NEW met2 ( 45310 26860 ) ( * 30430 )
NEW met1 ( 37490 14790 ) M1M2_PR
NEW met1 ( 40710 14790 ) M1M2_PR
NEW met2 ( 40710 18700 ) M2M3_PR_M
NEW met3 ( 42780 18700 ) M3M4_PR_M
NEW met3 ( 42780 26860 ) M3M4_PR_M
NEW met2 ( 45310 26860 ) M2M3_PR_M
NEW li1 ( 45310 30430 ) L1M1_PR_MR
NEW met1 ( 45310 30430 ) M1M2_PR
NEW met1 ( 45310 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output261 X ) + USE SIGNAL
+ ROUTED met2 ( 71070 9690 ) ( * 11390 )
NEW met2 ( 38870 3740 0 ) ( * 6290 )
NEW met1 ( 38870 6290 ) ( 41630 * )
NEW met1 ( 41630 6290 ) ( * 6970 )
NEW met1 ( 41630 6970 ) ( 42090 * )
NEW met2 ( 42090 6970 ) ( * 9690 )
NEW met1 ( 42090 9690 ) ( 71070 * )
NEW met1 ( 71070 9690 ) M1M2_PR
NEW li1 ( 71070 11390 ) L1M1_PR_MR
NEW met1 ( 71070 11390 ) M1M2_PR
NEW met1 ( 38870 6290 ) M1M2_PR
NEW met1 ( 42090 6970 ) M1M2_PR
NEW met1 ( 42090 9690 ) M1M2_PR
NEW met1 ( 71070 11390 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output262 X ) + USE SIGNAL
+ ROUTED met2 ( 40250 3740 0 ) ( * 7310 )
NEW met2 ( 40250 7310 ) ( 40710 * )
NEW met2 ( 40710 7310 ) ( * 12070 )
NEW li1 ( 43930 12070 ) ( * 12750 )
NEW met1 ( 43930 12750 ) ( 47150 * )
NEW met2 ( 47150 12750 ) ( * 17340 )
NEW met2 ( 47150 17340 ) ( 47610 * )
NEW met2 ( 47610 17340 ) ( * 19550 )
NEW met1 ( 47610 19550 ) ( 48990 * )
NEW met1 ( 48990 19550 ) ( * 19890 )
NEW met1 ( 48990 19890 ) ( 53130 * )
NEW met1 ( 53130 19550 ) ( * 19890 )
NEW met1 ( 53130 19550 ) ( 58190 * )
NEW met1 ( 40710 12070 ) ( 43930 * )
NEW met1 ( 40710 12070 ) M1M2_PR
NEW li1 ( 43930 12070 ) L1M1_PR_MR
NEW li1 ( 43930 12750 ) L1M1_PR_MR
NEW met1 ( 47150 12750 ) M1M2_PR
NEW met1 ( 47610 19550 ) M1M2_PR
NEW li1 ( 58190 19550 ) L1M1_PR_MR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output263 X ) + USE SIGNAL
+ ROUTED met2 ( 42090 3740 0 ) ( * 5610 )
NEW met2 ( 55430 5610 ) ( * 12750 )
NEW met1 ( 55430 12750 ) ( 60030 * )
NEW met2 ( 60030 12750 ) ( * 18530 )
NEW met1 ( 60030 18190 ) ( * 18530 )
NEW met1 ( 60030 18190 ) ( 63710 * )
NEW met1 ( 42090 5610 ) ( 55430 * )
NEW met1 ( 42090 5610 ) M1M2_PR
NEW met1 ( 55430 5610 ) M1M2_PR
NEW met1 ( 55430 12750 ) M1M2_PR
NEW met1 ( 60030 12750 ) M1M2_PR
NEW met1 ( 60030 18530 ) M1M2_PR
NEW li1 ( 63710 18190 ) L1M1_PR_MR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output264 X ) + USE SIGNAL
+ ROUTED met2 ( 59110 18530 ) ( * 19550 )
NEW met1 ( 59110 19550 ) ( 61870 * )
NEW met1 ( 48300 18530 ) ( 59110 * )
NEW met2 ( 43470 3740 0 ) ( * 16660 )
NEW met2 ( 43470 16660 ) ( 43930 * )
NEW met2 ( 43930 16660 ) ( * 17170 )
NEW met2 ( 43930 17170 ) ( 44390 * )
NEW met2 ( 44390 17170 ) ( * 18190 )
NEW met1 ( 44390 18190 ) ( 48300 * )
NEW met1 ( 48300 18190 ) ( * 18530 )
NEW met1 ( 59110 18530 ) M1M2_PR
NEW met1 ( 59110 19550 ) M1M2_PR
NEW li1 ( 61870 19550 ) L1M1_PR_MR
NEW met1 ( 44390 18190 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output265 X ) + USE SIGNAL
+ ROUTED met2 ( 44850 3740 0 ) ( * 9860 )
NEW met3 ( 44850 9860 ) ( 54740 * )
NEW met4 ( 54740 9860 ) ( * 22100 )
NEW met3 ( 54740 22100 ) ( 54970 * )
NEW met2 ( 54970 22100 ) ( 55430 * )
NEW met2 ( 55430 22100 ) ( * 22270 )
NEW met1 ( 55430 22270 ) ( 59110 * )
NEW met2 ( 44850 9860 ) M2M3_PR_M
NEW met3 ( 54740 9860 ) M3M4_PR_M
NEW met3 ( 54740 22100 ) M3M4_PR_M
NEW met2 ( 54970 22100 ) M2M3_PR_M
NEW met1 ( 55430 22270 ) M1M2_PR
NEW li1 ( 59110 22270 ) L1M1_PR_MR
NEW met3 ( 54740 22100 ) RECT ( -390 -150 0 150 ) ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output266 X ) + USE SIGNAL
+ ROUTED met2 ( 46230 3740 0 ) ( * 6630 )
NEW met1 ( 46230 6630 ) ( * 6970 )
NEW met1 ( 45310 6970 ) ( 46230 * )
NEW met2 ( 45310 6970 ) ( * 12070 )
NEW met1 ( 53590 11730 ) ( * 12070 )
NEW met1 ( 53590 11730 ) ( 60950 * )
NEW met1 ( 60950 11730 ) ( * 12750 )
NEW met1 ( 60950 12750 ) ( 67850 * )
NEW met1 ( 67850 12750 ) ( * 13090 )
NEW met1 ( 67850 13090 ) ( 74750 * )
NEW met1 ( 45310 12070 ) ( 53590 * )
NEW met1 ( 46230 6630 ) M1M2_PR
NEW met1 ( 45310 6970 ) M1M2_PR
NEW met1 ( 45310 12070 ) M1M2_PR
NEW li1 ( 74750 13090 ) L1M1_PR_MR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output267 X ) + USE SIGNAL
+ ROUTED met2 ( 48070 3740 0 ) ( * 15300 )
NEW met2 ( 63710 15300 ) ( * 19550 )
NEW met1 ( 63710 19550 ) ( 65550 * )
NEW met3 ( 48070 15300 ) ( 63710 * )
NEW met2 ( 48070 15300 ) M2M3_PR_M
NEW met2 ( 63710 15300 ) M2M3_PR_M
NEW met1 ( 63710 19550 ) M1M2_PR
NEW li1 ( 65550 19550 ) L1M1_PR_MR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output268 X ) + USE SIGNAL
+ ROUTED met2 ( 49450 3740 0 ) ( * 12410 )
NEW met1 ( 49450 12410 ) ( 51750 * )
NEW met2 ( 51750 12410 ) ( * 19550 )
NEW met1 ( 51750 19550 ) ( 52670 * )
NEW met2 ( 52670 19550 ) ( * 25330 )
NEW met1 ( 52670 25330 ) ( 58190 * )
NEW met1 ( 49450 12410 ) M1M2_PR
NEW met1 ( 51750 12410 ) M1M2_PR
NEW met1 ( 51750 19550 ) M1M2_PR
NEW met1 ( 52670 19550 ) M1M2_PR
NEW met1 ( 52670 25330 ) M1M2_PR
NEW li1 ( 58190 25330 ) L1M1_PR_MR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output269 X ) + USE SIGNAL
+ ROUTED met1 ( 8050 30430 ) ( 8970 * )
NEW met2 ( 8050 3740 0 ) ( * 30430 )
NEW met1 ( 8050 30430 ) M1M2_PR
NEW li1 ( 8970 30430 ) L1M1_PR_MR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output270 X ) + USE SIGNAL
+ ROUTED met2 ( 50830 3740 0 ) ( * 6290 )
NEW met1 ( 50830 6290 ) ( 73830 * )
NEW met2 ( 73830 6290 ) ( * 14450 )
NEW met1 ( 50830 6290 ) M1M2_PR
NEW met1 ( 73830 6290 ) M1M2_PR
NEW li1 ( 73830 14450 ) L1M1_PR_MR
NEW met1 ( 73830 14450 ) M1M2_PR
NEW met1 ( 73830 14450 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output271 X ) + USE SIGNAL
+ ROUTED met2 ( 52670 3740 0 ) ( * 16830 )
NEW met1 ( 52670 16830 ) ( 71070 * )
NEW li1 ( 71070 16830 ) L1M1_PR_MR
NEW met1 ( 52670 16830 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output272 X ) + USE SIGNAL
+ ROUTED met2 ( 9890 3740 0 ) ( * 18190 )
NEW met1 ( 9890 18190 ) ( 14490 * )
NEW met2 ( 14490 18190 ) ( * 20570 )
NEW met2 ( 14490 20570 ) ( 14950 * )
NEW met2 ( 14950 20570 ) ( * 27710 )
NEW met1 ( 9890 18190 ) M1M2_PR
NEW met1 ( 14490 18190 ) M1M2_PR
NEW li1 ( 14950 27710 ) L1M1_PR_MR
NEW met1 ( 14950 27710 ) M1M2_PR
NEW met1 ( 14950 27710 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output273 X ) + USE SIGNAL
+ ROUTED met1 ( 12190 30430 ) ( 13110 * )
NEW met2 ( 12190 3740 0 ) ( * 30430 )
NEW met1 ( 12190 30430 ) M1M2_PR
NEW li1 ( 13110 30430 ) L1M1_PR_MR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output274 X ) + USE SIGNAL
+ ROUTED met2 ( 13570 3740 0 ) ( * 13090 )
NEW met1 ( 12650 13090 ) ( 13570 * )
NEW met2 ( 12650 13090 ) ( * 32130 )
NEW met1 ( 12650 32130 ) ( 15870 * )
NEW met1 ( 15870 31790 ) ( * 32130 )
NEW met1 ( 15870 31790 ) ( 16790 * )
NEW met1 ( 16790 31790 ) ( * 32130 )
NEW met1 ( 13570 13090 ) M1M2_PR
NEW met1 ( 12650 13090 ) M1M2_PR
NEW met1 ( 12650 32130 ) M1M2_PR
NEW li1 ( 16790 32130 ) L1M1_PR_MR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output275 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 3740 0 ) ( * 11390 )
NEW met1 ( 14950 11390 ) ( 18630 * )
NEW met2 ( 18630 11390 ) ( * 12410 )
NEW met2 ( 18630 12410 ) ( 19090 * )
NEW met2 ( 19090 12410 ) ( * 30430 )
NEW met1 ( 19090 30430 ) ( 20470 * )
NEW met1 ( 14950 11390 ) M1M2_PR
NEW met1 ( 18630 11390 ) M1M2_PR
NEW met1 ( 19090 30430 ) M1M2_PR
NEW li1 ( 20470 30430 ) L1M1_PR_MR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output276 X ) + USE SIGNAL
+ ROUTED met2 ( 16330 3740 0 ) ( * 11220 )
NEW met3 ( 16330 11220 ) ( 17020 * )
NEW met3 ( 17020 11220 ) ( * 13260 )
NEW met3 ( 17020 13260 ) ( 17710 * )
NEW met1 ( 17710 33150 ) ( 19550 * )
NEW met2 ( 17710 13260 ) ( * 33150 )
NEW met2 ( 16330 11220 ) M2M3_PR_M
NEW met2 ( 17710 13260 ) M2M3_PR_M
NEW met1 ( 17710 33150 ) M1M2_PR
NEW li1 ( 19550 33150 ) L1M1_PR_MR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output277 X ) + USE SIGNAL
+ ROUTED met2 ( 18170 3740 0 ) ( * 8330 )
NEW met1 ( 18170 8330 ) ( 19550 * )
NEW met2 ( 19550 8330 ) ( * 14450 )
NEW met1 ( 19550 14450 ) ( 25990 * )
NEW met2 ( 25990 14450 ) ( * 16660 )
NEW met2 ( 25530 16660 ) ( 25990 * )
NEW met2 ( 25530 16660 ) ( * 30430 )
NEW met1 ( 24150 30430 ) ( 25530 * )
NEW met1 ( 18170 8330 ) M1M2_PR
NEW met1 ( 19550 8330 ) M1M2_PR
NEW met1 ( 19550 14450 ) M1M2_PR
NEW met1 ( 25990 14450 ) M1M2_PR
NEW met1 ( 25530 30430 ) M1M2_PR
NEW li1 ( 24150 30430 ) L1M1_PR_MR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output278 X ) + USE SIGNAL
+ ROUTED met2 ( 19550 3740 0 ) ( * 7310 )
NEW met2 ( 19550 7310 ) ( 20470 * )
NEW met2 ( 20470 7310 ) ( * 14790 )
NEW met2 ( 20470 14790 ) ( 20930 * )
NEW met1 ( 20930 14790 ) ( 21850 * )
NEW met2 ( 21850 14790 ) ( * 17510 )
NEW met1 ( 20930 17510 ) ( 21850 * )
NEW met1 ( 20930 17510 ) ( * 18530 )
NEW met1 ( 20930 18530 ) ( 24610 * )
NEW met2 ( 24610 18530 ) ( * 33150 )
NEW met1 ( 23230 33150 ) ( 24610 * )
NEW met1 ( 20930 14790 ) M1M2_PR
NEW met1 ( 21850 14790 ) M1M2_PR
NEW met1 ( 21850 17510 ) M1M2_PR
NEW met1 ( 24610 18530 ) M1M2_PR
NEW met1 ( 24610 33150 ) M1M2_PR
NEW li1 ( 23230 33150 ) L1M1_PR_MR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( ANTENNA_input135_A DIODE ) ( input135 A ) + USE SIGNAL
+ ROUTED met1 ( 2070 44710 ) ( 7130 * )
NEW met2 ( 7130 44710 ) ( * 49470 )
NEW met2 ( 2070 3740 0 ) ( * 44710 )
NEW li1 ( 7130 44710 ) L1M1_PR_MR
NEW met1 ( 2070 44710 ) M1M2_PR
NEW li1 ( 7130 49470 ) L1M1_PR_MR
NEW met1 ( 7130 49470 ) M1M2_PR
NEW met1 ( 7130 44710 ) M1M2_PR
NEW met1 ( 7130 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 44710 ) RECT ( -595 -70 0 70 ) ;
- wbs_we_i ( PIN wbs_we_i ) ( ANTENNA_input136_A DIODE ) ( input136 A ) + USE SIGNAL
+ ROUTED met1 ( 4370 12070 ) ( 11270 * )
NEW met2 ( 2530 3740 0 ) ( * 12070 )
NEW met1 ( 2530 12070 ) ( 4370 * )
NEW met2 ( 4370 18020 ) ( 4830 * )
NEW met2 ( 4830 18020 ) ( * 49810 )
NEW met1 ( 4830 49810 ) ( 9890 * )
NEW met2 ( 4370 12070 ) ( * 18020 )
NEW li1 ( 11270 12070 ) L1M1_PR_MR
NEW met1 ( 4370 12070 ) M1M2_PR
NEW met1 ( 2530 12070 ) M1M2_PR
NEW met1 ( 4830 49810 ) M1M2_PR
NEW li1 ( 9890 49810 ) L1M1_PR_MR ;
- web0 ( PIN web0 ) ( output279 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 212500 ) ( * 212670 )
NEW met3 ( 240350 212500 ) ( 246100 * 0 )
NEW li1 ( 240350 212670 ) L1M1_PR_MR
NEW met1 ( 240350 212670 ) M1M2_PR
NEW met2 ( 240350 212500 ) M2M3_PR_M
NEW met1 ( 240350 212670 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN