blob: 72220c8dda574cc433433e2e2c981686d5c8c830 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 2 ;
- chip_controller chip_controller + FIXED ( 200000 1800000 ) N ;
- custom_sram custom_sram + FIXED ( 1500000 1800000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 1550160 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 450160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 450160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 450160 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 30160 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 30160 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 30160 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 30160 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 30160 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 450160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 450160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 450160 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 30160 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 30160 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 30160 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 30160 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -181550 1550160 ) ( -178450 1788510 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1788510 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1788510 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1788510 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1788510 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1788510 )
+ LAYER met4 ( -1261550 -1788510 ) ( -1258450 1788510 )
+ LAYER met4 ( -1441550 -1788510 ) ( -1438450 1788510 )
+ LAYER met4 ( -1621550 -1788510 ) ( -1618450 1788510 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 1788510 )
+ LAYER met4 ( -1981550 -1788510 ) ( -1978450 1788510 )
+ LAYER met4 ( -2161550 450160 ) ( -2158450 1788510 )
+ LAYER met4 ( -2341550 450160 ) ( -2338450 1788510 )
+ LAYER met4 ( -2521550 450160 ) ( -2518450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+ LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+ LAYER met4 ( -181550 -1788510 ) ( -178450 30160 )
+ LAYER met4 ( -361550 -1788510 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -1788510 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -1788510 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -1788510 ) ( -1078450 30160 )
+ LAYER met4 ( -2161550 -1788510 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1788510 ) ( -2338450 30160 )
+ LAYER met4 ( -2521550 -1788510 ) ( -2518450 30160 )
+ LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+ LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+ LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+ LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+ LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+ LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+ LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+ LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+ LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+ LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+ LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+ LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+ LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+ LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+ LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+ LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+ LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+ LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+ LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+ LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+ LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+ LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+ FIXED ( 2747720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -181550 1550160 ) ( -178450 1798110 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1798110 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1798110 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1798110 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1798110 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1798110 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1798110 )
+ LAYER met4 ( -1441550 -1798110 ) ( -1438450 1798110 )
+ LAYER met4 ( -1621550 -1798110 ) ( -1618450 1798110 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 1798110 )
+ LAYER met4 ( -1981550 -1798110 ) ( -1978450 1798110 )
+ LAYER met4 ( -2161550 450160 ) ( -2158450 1798110 )
+ LAYER met4 ( -2341550 450160 ) ( -2338450 1798110 )
+ LAYER met4 ( -2521550 450160 ) ( -2518450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+ LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+ LAYER met4 ( -181550 -1798110 ) ( -178450 30160 )
+ LAYER met4 ( -361550 -1798110 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -1798110 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -1798110 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -1798110 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -1798110 ) ( -1258450 30160 )
+ LAYER met4 ( -2161550 -1798110 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1798110 ) ( -2338450 30160 )
+ LAYER met4 ( -2521550 -1798110 ) ( -2518450 30160 )
+ LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+ LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+ LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+ LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+ LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+ LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+ LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+ LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+ LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+ LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+ LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+ LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+ LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+ LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+ LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+ LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+ LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+ LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+ LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+ LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+ LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+ LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+ FIXED ( 2766320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 1550160 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 1550160 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 1550160 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 1550160 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 1550160 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 1550160 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 1550160 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 450160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 450160 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 30160 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 30160 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 30160 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 30160 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 30160 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 30160 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 30160 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 30160 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 30160 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 1550160 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 1550160 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 1550160 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 1550160 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 1550160 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 1550160 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 1550160 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 450160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 450160 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 30160 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 30160 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 30160 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 30160 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 30160 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 30160 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 30160 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 30160 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 30160 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 1550160 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 1550160 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 1550160 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 1550160 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 1550160 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 1550160 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 1550160 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 450160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 450160 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 30160 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 30160 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 30160 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 30160 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 30160 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 30160 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 30160 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 30160 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 30160 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 1550160 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 1550160 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 1550160 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 1550160 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 1550160 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 1550160 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 1550160 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 450160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 450160 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 30160 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 30160 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 30160 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 30160 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 30160 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 30160 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 30160 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 30160 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 30160 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2597040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 3310000 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 3310000 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 3310000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 3310000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 3310000 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 3310000 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 3310000 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2210000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2210000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 2210000 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 1790000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 3310000 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 3310000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 3310000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 3310000 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 3310000 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 3310000 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2210000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2210000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 2210000 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 1790000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 3310000 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 3310000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 3310000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 3310000 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 3310000 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 3310000 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2210000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2210000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 2210000 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 1790000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 3310000 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 3310000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 3310000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 3310000 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 3310000 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 3310000 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 3310000 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2210000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2210000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 2210000 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 1790000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 3310000 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 3310000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 3310000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 3310000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 3310000 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 3310000 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 3310000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2210000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 2210000 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 1790000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 3310000 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 3310000 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 3310000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 3310000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 3310000 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 3310000 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 3310000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2210000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 2210000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 1790000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2673840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 3310000 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 3310000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 3310000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 3310000 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 3310000 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 3310000 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 3310000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2210000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 2210000 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 1790000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 3310000 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 3310000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 3310000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 3310000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 3310000 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 3310000 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 3310000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2210000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 2210000 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 1790000 ) ;
END SPECIALNETS
NETS 1161 ;
- addr0_to_sram\[0\] ( custom_sram a[0] ) ( chip_controller addr0_to_sram[0] ) + USE SIGNAL
+ ROUTED met3 ( 1486950 1835660 ) ( 1500060 * 0 )
NEW met2 ( 1486950 1799790 ) ( * 1835660 )
NEW met3 ( 198260 1805740 ) ( 200100 * 0 )
NEW met4 ( 198260 1796220 ) ( * 1805740 )
NEW met3 ( 198260 1796220 ) ( 202170 * )
NEW met2 ( 202170 1796220 ) ( * 1799790 )
NEW met1 ( 202170 1799790 ) ( 1486950 * )
NEW met2 ( 1486950 1835660 ) M2M3_PR_M
NEW met1 ( 1486950 1799790 ) M1M2_PR
NEW met3 ( 198260 1805740 ) M3M4_PR_M
NEW met3 ( 198260 1796220 ) M3M4_PR_M
NEW met2 ( 202170 1796220 ) M2M3_PR_M
NEW met1 ( 202170 1799790 ) M1M2_PR ;
- addr0_to_sram\[10\] ( custom_sram a[10] ) ( chip_controller addr0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 2437460 0 ) ( 2713770 * )
NEW met3 ( 599380 1875780 0 ) ( 607430 * )
NEW met2 ( 607430 1870170 ) ( * 1875780 )
NEW met2 ( 790510 1809990 ) ( * 1870170 )
NEW met2 ( 2713770 1809990 ) ( * 2437460 )
NEW met1 ( 607430 1870170 ) ( 790510 * )
NEW met1 ( 790510 1809990 ) ( 2713770 * )
NEW met2 ( 2713770 2437460 ) M2M3_PR_M
NEW met2 ( 607430 1875780 ) M2M3_PR_M
NEW met1 ( 607430 1870170 ) M1M2_PR
NEW met1 ( 790510 1809990 ) M1M2_PR
NEW met1 ( 790510 1870170 ) M1M2_PR
NEW met1 ( 2713770 1809990 ) M1M2_PR ;
- addr0_to_sram\[11\] ( custom_sram a[11] ) ( chip_controller addr0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 707710 1788230 ) ( * 2477410 )
NEW met2 ( 267950 1788230 ) ( * 1800300 0 )
NEW met1 ( 267950 1788230 ) ( 707710 * )
NEW met2 ( 1490170 2477410 ) ( * 2478260 )
NEW met3 ( 1490170 2478260 ) ( 1500060 * 0 )
NEW met1 ( 707710 2477410 ) ( 1490170 * )
NEW met1 ( 707710 1788230 ) M1M2_PR
NEW met1 ( 707710 2477410 ) M1M2_PR
NEW met1 ( 267950 1788230 ) M1M2_PR
NEW met1 ( 1490170 2477410 ) M1M2_PR
NEW met2 ( 1490170 2478260 ) M2M3_PR_M ;
- addr0_to_sram\[12\] ( custom_sram a[12] ) ( chip_controller addr0_to_sram[12] ) + USE SIGNAL
+ ROUTED met3 ( 198030 1884620 ) ( 200100 * 0 )
NEW met2 ( 196190 2014800 ) ( 198030 * )
NEW met2 ( 198030 1884620 ) ( * 2014800 )
NEW met2 ( 196190 2014800 ) ( * 2615110 )
NEW met2 ( 1490170 2615110 ) ( * 2621060 )
NEW met3 ( 1490170 2621060 ) ( 1500060 * 0 )
NEW met1 ( 196190 2615110 ) ( 1490170 * )
NEW met1 ( 196190 2615110 ) M1M2_PR
NEW met2 ( 198030 1884620 ) M2M3_PR_M
NEW met1 ( 1490170 2615110 ) M1M2_PR
NEW met2 ( 1490170 2621060 ) M2M3_PR_M ;
- addr0_to_sram\[13\] ( custom_sram a[13] ) ( chip_controller addr0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 279910 1791970 ) ( * 1800300 0 )
NEW met2 ( 2077130 1791970 ) ( * 1800300 0 )
NEW met1 ( 279910 1791970 ) ( 2077130 * )
NEW met1 ( 279910 1791970 ) M1M2_PR
NEW met1 ( 2077130 1791970 ) M1M2_PR ;
- addr0_to_sram\[14\] ( custom_sram a[14] ) ( chip_controller addr0_to_sram[14] ) + USE SIGNAL
+ ROUTED met1 ( 302910 2211190 ) ( 317630 * )
NEW met1 ( 317630 2211190 ) ( * 2211530 )
NEW met1 ( 1446930 1938850 ) ( 1466250 * )
NEW met2 ( 302910 2199460 0 ) ( * 2211190 )
NEW met1 ( 585350 2207450 ) ( 600070 * )
NEW met2 ( 600070 2198610 ) ( * 2207450 )
NEW met1 ( 600070 2198610 ) ( 611570 * )
NEW li1 ( 611570 2198610 ) ( * 2199630 )
NEW met2 ( 585350 2207450 ) ( * 2211530 )
NEW met1 ( 1249130 2100350 ) ( 1269830 * )
NEW met2 ( 1269830 2069750 ) ( * 2100350 )
NEW met1 ( 1269830 2069750 ) ( 1286850 * )
NEW met2 ( 1249130 2100350 ) ( * 2114630 )
NEW met2 ( 1286850 2024870 ) ( * 2069750 )
NEW met2 ( 1466250 1823590 ) ( * 1938850 )
NEW met2 ( 1446930 1938850 ) ( * 1993930 )
NEW met2 ( 2695830 1808630 ) ( * 1809140 )
NEW met2 ( 2695370 1809140 ) ( 2695830 * )
NEW met2 ( 2695370 1809140 ) ( * 2546100 )
NEW met2 ( 2695370 2546100 ) ( 2696750 * )
NEW met2 ( 2696750 2546100 ) ( * 2586380 )
NEW met3 ( 2696750 2586380 ) ( 2696980 * )
NEW met3 ( 2696980 2586380 ) ( * 2587740 0 )
NEW met1 ( 317630 2211530 ) ( 585350 * )
NEW met1 ( 1218310 2141490 ) ( 1228430 * )
NEW met2 ( 1228430 2114630 ) ( * 2141490 )
NEW met1 ( 1228430 2114630 ) ( 1249130 * )
NEW met1 ( 1286850 2024870 ) ( 1406910 * )
NEW met1 ( 1466250 1823590 ) ( 1490630 * )
NEW met2 ( 1199450 2179570 ) ( * 2199630 )
NEW met1 ( 1199450 2179570 ) ( 1218310 * )
NEW met1 ( 611570 2199630 ) ( 1199450 * )
NEW met2 ( 1218310 2141490 ) ( * 2179570 )
NEW met2 ( 1406910 1993930 ) ( * 2024870 )
NEW met1 ( 1406910 1993930 ) ( 1446930 * )
NEW met2 ( 1490630 1808630 ) ( * 1823590 )
NEW met1 ( 1490630 1808630 ) ( 2695830 * )
NEW met1 ( 302910 2211190 ) M1M2_PR
NEW met1 ( 585350 2211530 ) M1M2_PR
NEW met1 ( 1286850 2024870 ) M1M2_PR
NEW met1 ( 1249130 2114630 ) M1M2_PR
NEW met1 ( 1466250 1823590 ) M1M2_PR
NEW met1 ( 1446930 1938850 ) M1M2_PR
NEW met1 ( 1466250 1938850 ) M1M2_PR
NEW met1 ( 585350 2207450 ) M1M2_PR
NEW met1 ( 600070 2207450 ) M1M2_PR
NEW met1 ( 600070 2198610 ) M1M2_PR
NEW li1 ( 611570 2198610 ) L1M1_PR_MR
NEW li1 ( 611570 2199630 ) L1M1_PR_MR
NEW met1 ( 1249130 2100350 ) M1M2_PR
NEW met1 ( 1269830 2100350 ) M1M2_PR
NEW met1 ( 1269830 2069750 ) M1M2_PR
NEW met1 ( 1286850 2069750 ) M1M2_PR
NEW met1 ( 1446930 1993930 ) M1M2_PR
NEW met1 ( 2695830 1808630 ) M1M2_PR
NEW met2 ( 2696750 2586380 ) M2M3_PR_M
NEW met1 ( 1218310 2141490 ) M1M2_PR
NEW met1 ( 1228430 2141490 ) M1M2_PR
NEW met1 ( 1228430 2114630 ) M1M2_PR
NEW met1 ( 1406910 2024870 ) M1M2_PR
NEW met1 ( 1490630 1823590 ) M1M2_PR
NEW met1 ( 1199450 2199630 ) M1M2_PR
NEW met1 ( 1199450 2179570 ) M1M2_PR
NEW met1 ( 1218310 2179570 ) M1M2_PR
NEW met1 ( 1406910 1993930 ) M1M2_PR
NEW met1 ( 1490630 1808630 ) M1M2_PR ;
- addr0_to_sram\[15\] ( custom_sram a[15] ) ( chip_controller addr0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 301990 1788570 ) ( * 1800300 0 )
NEW met2 ( 2215590 1792310 ) ( * 1800300 0 )
NEW li1 ( 348450 1788570 ) ( * 1792310 )
NEW met1 ( 301990 1788570 ) ( 348450 * )
NEW met1 ( 348450 1792310 ) ( 2215590 * )
NEW met1 ( 301990 1788570 ) M1M2_PR
NEW met1 ( 2215590 1792310 ) M1M2_PR
NEW li1 ( 348450 1788570 ) L1M1_PR_MR
NEW li1 ( 348450 1792310 ) L1M1_PR_MR ;
- addr0_to_sram\[16\] ( custom_sram a[16] ) ( chip_controller addr0_to_sram[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1907740 0 ) ( 607430 * )
NEW met2 ( 607430 1904850 ) ( * 1907740 )
NEW met2 ( 1183350 1789930 ) ( * 1904850 )
NEW met2 ( 2307590 1789930 ) ( * 1800300 0 )
NEW met1 ( 607430 1904850 ) ( 1183350 * )
NEW met1 ( 1183350 1789930 ) ( 2307590 * )
NEW met2 ( 607430 1907740 ) M2M3_PR_M
NEW met1 ( 607430 1904850 ) M1M2_PR
NEW met1 ( 1183350 1789930 ) M1M2_PR
NEW met1 ( 1183350 1904850 ) M1M2_PR
NEW met1 ( 2307590 1789930 ) M1M2_PR ;
- addr0_to_sram\[17\] ( custom_sram a[17] ) ( chip_controller addr0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 316250 2199460 0 ) ( 316710 * )
NEW met2 ( 316710 2199460 ) ( * 2829310 )
NEW met2 ( 1490170 2829310 ) ( * 2835260 )
NEW met3 ( 1490170 2835260 ) ( 1500060 * 0 )
NEW met1 ( 316710 2829310 ) ( 1490170 * )
NEW met1 ( 316710 2829310 ) M1M2_PR
NEW met1 ( 1490170 2829310 ) M1M2_PR
NEW met2 ( 1490170 2835260 ) M2M3_PR_M ;
- addr0_to_sram\[18\] ( custom_sram a[18] ) ( chip_controller addr0_to_sram[18] ) + USE SIGNAL
+ ROUTED met1 ( 1356770 2021470 ) ( 1372870 * )
NEW met2 ( 1451530 1842630 ) ( * 1859290 )
NEW met1 ( 1451530 1842630 ) ( 1481430 * )
NEW met3 ( 2696980 2636020 ) ( 2711930 * )
NEW met2 ( 1246370 2128570 ) ( * 2193170 )
NEW met2 ( 1372870 2000730 ) ( * 2021470 )
NEW met2 ( 1356770 2021470 ) ( * 2128570 )
NEW met2 ( 1481430 1809820 ) ( * 1842630 )
NEW met4 ( 2694220 1809820 ) ( * 2546100 )
NEW met4 ( 2694220 2546100 ) ( 2696980 * )
NEW met4 ( 2696980 2546100 ) ( * 2636020 )
NEW met3 ( 2699740 2662540 0 ) ( 2711930 * )
NEW met2 ( 2711930 2636020 ) ( * 2662540 )
NEW met3 ( 327290 2208980 ) ( 551310 * )
NEW met1 ( 1246370 2128570 ) ( 1356770 * )
NEW met1 ( 1432210 1859290 ) ( 1451530 * )
NEW met1 ( 1392190 1931710 ) ( 1415190 * )
NEW met2 ( 327290 2199460 0 ) ( * 2208980 )
NEW li1 ( 551310 2193170 ) ( * 2206430 )
NEW met2 ( 551310 2206430 ) ( * 2208980 )
NEW met1 ( 551310 2193170 ) ( 1246370 * )
NEW met1 ( 1415190 1890570 ) ( 1432210 * )
NEW met2 ( 1415190 1890570 ) ( * 1931710 )
NEW met2 ( 1432210 1859290 ) ( * 1890570 )
NEW met1 ( 1372870 2000730 ) ( 1392190 * )
NEW met2 ( 1392190 1931710 ) ( * 2000730 )
NEW met3 ( 1481430 1809820 ) ( 2694220 * )
NEW met1 ( 1246370 2128570 ) M1M2_PR
NEW met1 ( 1356770 2021470 ) M1M2_PR
NEW met1 ( 1372870 2021470 ) M1M2_PR
NEW met1 ( 1356770 2128570 ) M1M2_PR
NEW met1 ( 1451530 1859290 ) M1M2_PR
NEW met1 ( 1451530 1842630 ) M1M2_PR
NEW met1 ( 1481430 1842630 ) M1M2_PR
NEW met3 ( 2696980 2636020 ) M3M4_PR_M
NEW met2 ( 2711930 2636020 ) M2M3_PR_M
NEW met1 ( 1246370 2193170 ) M1M2_PR
NEW met1 ( 1372870 2000730 ) M1M2_PR
NEW met2 ( 1481430 1809820 ) M2M3_PR_M
NEW met3 ( 2694220 1809820 ) M3M4_PR_M
NEW met2 ( 2711930 2662540 ) M2M3_PR_M
NEW met2 ( 327290 2208980 ) M2M3_PR_M
NEW met2 ( 551310 2208980 ) M2M3_PR_M
NEW met1 ( 1432210 1859290 ) M1M2_PR
NEW met1 ( 1392190 1931710 ) M1M2_PR
NEW met1 ( 1415190 1931710 ) M1M2_PR
NEW li1 ( 551310 2206430 ) L1M1_PR_MR
NEW met1 ( 551310 2206430 ) M1M2_PR
NEW li1 ( 551310 2193170 ) L1M1_PR_MR
NEW met1 ( 1415190 1890570 ) M1M2_PR
NEW met1 ( 1432210 1890570 ) M1M2_PR
NEW met1 ( 1392190 2000730 ) M1M2_PR
NEW met1 ( 551310 2206430 ) RECT ( -355 -70 0 70 ) ;
- addr0_to_sram\[19\] ( custom_sram a[19] ) ( chip_controller addr0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1933580 0 ) ( 607890 * )
NEW met2 ( 607890 1933580 ) ( * 1938850 )
NEW met1 ( 607890 1938850 ) ( 852610 * )
NEW met2 ( 2270330 3298340 ) ( * 3298510 )
NEW met2 ( 2270330 3298340 ) ( 2271710 * 0 )
NEW met1 ( 852610 3298510 ) ( 2270330 * )
NEW met2 ( 852610 1938850 ) ( * 3298510 )
NEW met2 ( 607890 1933580 ) M2M3_PR_M
NEW met1 ( 607890 1938850 ) M1M2_PR
NEW met1 ( 852610 1938850 ) M1M2_PR
NEW met1 ( 852610 3298510 ) M1M2_PR
NEW met1 ( 2270330 3298510 ) M1M2_PR ;
- addr0_to_sram\[1\] ( custom_sram a[1] ) ( chip_controller addr0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 210910 1792820 ) ( * 1800300 0 )
NEW li1 ( 1584470 3292050 ) ( * 3296470 )
NEW met2 ( 1584470 3296300 ) ( * 3296470 )
NEW met2 ( 1584470 3296300 ) ( 1585850 * 0 )
NEW met1 ( 831910 3292050 ) ( 1584470 * )
NEW met3 ( 210910 1792820 ) ( 831910 * )
NEW met2 ( 831910 1792820 ) ( * 3292050 )
NEW met2 ( 210910 1792820 ) M2M3_PR_M
NEW met1 ( 831910 3292050 ) M1M2_PR
NEW li1 ( 1584470 3292050 ) L1M1_PR_MR
NEW li1 ( 1584470 3296470 ) L1M1_PR_MR
NEW met1 ( 1584470 3296470 ) M1M2_PR
NEW met2 ( 831910 1792820 ) M2M3_PR_M
NEW met1 ( 1584470 3296470 ) RECT ( -355 -70 0 70 ) ;
- addr0_to_sram\[2\] ( custom_sram a[2] ) ( chip_controller addr0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 219190 1788910 ) ( * 1800300 0 )
NEW met2 ( 687470 1788910 ) ( * 1973530 )
NEW met1 ( 219190 1788910 ) ( 687470 * )
NEW met2 ( 1486030 1973530 ) ( * 1978460 )
NEW met3 ( 1486030 1978460 ) ( 1500060 * 0 )
NEW met1 ( 687470 1973530 ) ( 1486030 * )
NEW met1 ( 219190 1788910 ) M1M2_PR
NEW met1 ( 687470 1788910 ) M1M2_PR
NEW met1 ( 687470 1973530 ) M1M2_PR
NEW met1 ( 1486030 1973530 ) M1M2_PR
NEW met2 ( 1486030 1978460 ) M2M3_PR_M ;
- addr0_to_sram\[3\] ( custom_sram a[3] ) ( chip_controller addr0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 1641970 3296300 ) ( * 3296470 )
NEW met2 ( 1641970 3296300 ) ( 1642890 * 0 )
NEW met2 ( 227470 1793500 ) ( * 1800300 0 )
NEW met2 ( 983710 1793500 ) ( * 3292390 )
NEW met1 ( 1628400 3296470 ) ( 1641970 * )
NEW met1 ( 1628400 3292390 ) ( * 3296470 )
NEW met1 ( 983710 3292390 ) ( 1628400 * )
NEW met3 ( 227470 1793500 ) ( 983710 * )
NEW met1 ( 983710 3292390 ) M1M2_PR
NEW met1 ( 1641970 3296470 ) M1M2_PR
NEW met2 ( 227470 1793500 ) M2M3_PR_M
NEW met2 ( 983710 1793500 ) M2M3_PR_M ;
- addr0_to_sram\[4\] ( custom_sram a[4] ) ( chip_controller addr0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 225170 2199460 0 ) ( * 2221050 )
NEW met1 ( 225170 2221050 ) ( 645610 * )
NEW met2 ( 1486030 2121260 ) ( * 2125170 )
NEW met3 ( 1486030 2121260 ) ( 1500060 * 0 )
NEW met1 ( 645610 2125170 ) ( 1486030 * )
NEW met2 ( 645610 2125170 ) ( * 2221050 )
NEW met1 ( 225170 2221050 ) M1M2_PR
NEW met1 ( 645610 2125170 ) M1M2_PR
NEW met1 ( 645610 2221050 ) M1M2_PR
NEW met1 ( 1486030 2125170 ) M1M2_PR
NEW met2 ( 1486030 2121260 ) M2M3_PR_M ;
- addr0_to_sram\[5\] ( custom_sram a[5] ) ( chip_controller addr0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 1987300 0 ) ( 2714230 * )
NEW met2 ( 2714230 1800130 ) ( * 1987300 )
NEW met1 ( 198030 1800130 ) ( 2714230 * )
NEW met1 ( 198030 1801490 ) ( * 1801830 )
NEW met1 ( 198030 1801830 ) ( 199870 * )
NEW met2 ( 199870 1801830 ) ( * 1841780 )
NEW met2 ( 199410 1841780 ) ( 199870 * )
NEW met3 ( 199410 1841780 ) ( 200100 * 0 )
NEW met2 ( 198030 1800130 ) ( * 1801490 )
NEW met1 ( 198030 1800130 ) M1M2_PR
NEW met1 ( 2714230 1800130 ) M1M2_PR
NEW met2 ( 2714230 1987300 ) M2M3_PR_M
NEW met1 ( 198030 1801490 ) M1M2_PR
NEW met1 ( 199870 1801830 ) M1M2_PR
NEW met2 ( 199410 1841780 ) M2M3_PR_M ;
- addr0_to_sram\[6\] ( custom_sram a[6] ) ( chip_controller addr0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1856740 0 ) ( 607890 * )
NEW met2 ( 607890 1856740 ) ( * 1862350 )
NEW met1 ( 607890 1862350 ) ( 632270 * )
NEW met2 ( 1490170 2187390 ) ( * 2192660 )
NEW met3 ( 1490170 2192660 ) ( 1500060 * 0 )
NEW met1 ( 632270 2187390 ) ( 1490170 * )
NEW met2 ( 632270 1862350 ) ( * 2187390 )
NEW met2 ( 607890 1856740 ) M2M3_PR_M
NEW met1 ( 607890 1862350 ) M1M2_PR
NEW met1 ( 632270 1862350 ) M1M2_PR
NEW met1 ( 632270 2187390 ) M1M2_PR
NEW met1 ( 1490170 2187390 ) M1M2_PR
NEW met2 ( 1490170 2192660 ) M2M3_PR_M ;
- addr0_to_sram\[7\] ( custom_sram a[7] ) ( chip_controller addr0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 2134860 ) ( * 2137580 0 )
NEW met3 ( 2699740 2134860 ) ( 2701350 * )
NEW met2 ( 496110 2205070 ) ( * 2209150 )
NEW met2 ( 1180130 2187050 ) ( * 2205070 )
NEW met2 ( 1452450 1842290 ) ( * 1893970 )
NEW met1 ( 2697210 2074170 ) ( 2701350 * )
NEW met2 ( 2697210 1808970 ) ( * 2074170 )
NEW met2 ( 2701350 2074170 ) ( * 2134860 )
NEW met1 ( 242650 2209150 ) ( 496110 * )
NEW met1 ( 1217850 2021470 ) ( 1237170 * )
NEW met1 ( 1452450 1842290 ) ( 1487870 * )
NEW met2 ( 242650 2199460 0 ) ( * 2209150 )
NEW met1 ( 496110 2205070 ) ( 1180130 * )
NEW met2 ( 1237170 1990530 ) ( * 2021470 )
NEW met2 ( 1197150 2173110 ) ( * 2187050 )
NEW met1 ( 1197150 2173110 ) ( 1217850 * )
NEW met1 ( 1180130 2187050 ) ( 1197150 * )
NEW met2 ( 1217850 2021470 ) ( * 2173110 )
NEW met2 ( 1331930 1976590 ) ( * 1990530 )
NEW met1 ( 1237170 1990530 ) ( 1331930 * )
NEW met1 ( 1397250 1907910 ) ( 1408750 * )
NEW met2 ( 1408750 1893970 ) ( * 1907910 )
NEW met1 ( 1408750 1893970 ) ( 1452450 * )
NEW met1 ( 1331930 1976590 ) ( 1397250 * )
NEW met2 ( 1397250 1907910 ) ( * 1976590 )
NEW met2 ( 1487870 1808970 ) ( * 1842290 )
NEW met1 ( 1487870 1808970 ) ( 2697210 * )
NEW met1 ( 496110 2209150 ) M1M2_PR
NEW met1 ( 1452450 1842290 ) M1M2_PR
NEW met2 ( 2701350 2134860 ) M2M3_PR_M
NEW met1 ( 496110 2205070 ) M1M2_PR
NEW met1 ( 1180130 2205070 ) M1M2_PR
NEW met1 ( 1180130 2187050 ) M1M2_PR
NEW met1 ( 1452450 1893970 ) M1M2_PR
NEW met1 ( 2697210 1808970 ) M1M2_PR
NEW met1 ( 2697210 2074170 ) M1M2_PR
NEW met1 ( 2701350 2074170 ) M1M2_PR
NEW met1 ( 242650 2209150 ) M1M2_PR
NEW met1 ( 1217850 2021470 ) M1M2_PR
NEW met1 ( 1237170 2021470 ) M1M2_PR
NEW met1 ( 1487870 1842290 ) M1M2_PR
NEW met1 ( 1237170 1990530 ) M1M2_PR
NEW met1 ( 1197150 2187050 ) M1M2_PR
NEW met1 ( 1197150 2173110 ) M1M2_PR
NEW met1 ( 1217850 2173110 ) M1M2_PR
NEW met1 ( 1331930 1990530 ) M1M2_PR
NEW met1 ( 1331930 1976590 ) M1M2_PR
NEW met1 ( 1397250 1907910 ) M1M2_PR
NEW met1 ( 1408750 1907910 ) M1M2_PR
NEW met1 ( 1408750 1893970 ) M1M2_PR
NEW met1 ( 1397250 1976590 ) M1M2_PR
NEW met1 ( 1487870 1808970 ) M1M2_PR ;
- addr0_to_sram\[8\] ( custom_sram a[8] ) ( chip_controller addr0_to_sram[8] ) + USE SIGNAL
+ ROUTED met2 ( 1487410 2332230 ) ( * 2335460 )
NEW met3 ( 1487410 2335460 ) ( 1500060 * 0 )
NEW met1 ( 255070 2332230 ) ( 1487410 * )
NEW met2 ( 254150 2199460 0 ) ( 255070 * )
NEW met2 ( 255070 2199460 ) ( * 2332230 )
NEW met1 ( 255070 2332230 ) M1M2_PR
NEW met1 ( 1487410 2332230 ) M1M2_PR
NEW met2 ( 1487410 2335460 ) M2M3_PR_M ;
- addr0_to_sram\[9\] ( custom_sram a[9] ) ( chip_controller addr0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 584660 2200140 ) ( 584890 * )
NEW met2 ( 584890 2199630 ) ( * 2200140 )
NEW li1 ( 584890 2193510 ) ( * 2199630 )
NEW met4 ( 584660 2200140 ) ( * 2213740 )
NEW met2 ( 1349870 1956190 ) ( * 1993930 )
NEW met2 ( 1467170 1807270 ) ( * 1887170 )
NEW met2 ( 2696750 1807270 ) ( * 1810500 )
NEW met2 ( 2696290 1810500 ) ( 2696750 * )
NEW met2 ( 2696290 1810500 ) ( * 2256300 )
NEW met2 ( 2696290 2256300 ) ( 2696750 * )
NEW met3 ( 2696750 2361300 ) ( 2696980 * )
NEW met3 ( 2696980 2361300 ) ( * 2362660 0 )
NEW met2 ( 2696750 2256300 ) ( * 2361300 )
NEW met3 ( 267490 2213740 ) ( 584660 * )
NEW met2 ( 1391270 1936810 ) ( * 1956190 )
NEW met1 ( 1391270 1936810 ) ( 1411970 * )
NEW met1 ( 1349870 1956190 ) ( 1391270 * )
NEW met2 ( 267490 2199460 0 ) ( * 2213740 )
NEW met1 ( 1322270 1993930 ) ( 1349870 * )
NEW met1 ( 1300650 2096270 ) ( 1322270 * )
NEW met2 ( 1322270 1993930 ) ( * 2096270 )
NEW met1 ( 584890 2193510 ) ( 1300650 * )
NEW met2 ( 1300650 2096270 ) ( * 2193510 )
NEW met2 ( 1411970 1887170 ) ( * 1936810 )
NEW met1 ( 1411970 1887170 ) ( 1467170 * )
NEW met1 ( 1467170 1807270 ) ( 2696750 * )
NEW met3 ( 584660 2213740 ) M3M4_PR_M
NEW met1 ( 1349870 1956190 ) M1M2_PR
NEW met3 ( 584660 2200140 ) M3M4_PR_M
NEW met2 ( 584890 2200140 ) M2M3_PR_M
NEW li1 ( 584890 2199630 ) L1M1_PR_MR
NEW met1 ( 584890 2199630 ) M1M2_PR
NEW li1 ( 584890 2193510 ) L1M1_PR_MR
NEW met1 ( 1349870 1993930 ) M1M2_PR
NEW met1 ( 1467170 1807270 ) M1M2_PR
NEW met1 ( 1467170 1887170 ) M1M2_PR
NEW met1 ( 2696750 1807270 ) M1M2_PR
NEW met2 ( 2696750 2361300 ) M2M3_PR_M
NEW met2 ( 267490 2213740 ) M2M3_PR_M
NEW met1 ( 1391270 1956190 ) M1M2_PR
NEW met1 ( 1391270 1936810 ) M1M2_PR
NEW met1 ( 1411970 1936810 ) M1M2_PR
NEW met1 ( 1322270 1993930 ) M1M2_PR
NEW met1 ( 1300650 2096270 ) M1M2_PR
NEW met1 ( 1322270 2096270 ) M1M2_PR
NEW met1 ( 1300650 2193510 ) M1M2_PR
NEW met1 ( 1411970 1887170 ) M1M2_PR
NEW met3 ( 584660 2200140 ) RECT ( -390 -150 0 150 )
NEW met1 ( 584890 2199630 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem\[0\] ( chip_controller addr_to_core_mem[0] ) + USE SIGNAL ;
- addr_to_core_mem\[10\] ( chip_controller addr_to_core_mem[10] ) + USE SIGNAL ;
- addr_to_core_mem\[11\] ( chip_controller addr_to_core_mem[11] ) + USE SIGNAL ;
- addr_to_core_mem\[12\] ( chip_controller addr_to_core_mem[12] ) + USE SIGNAL ;
- addr_to_core_mem\[13\] ( chip_controller addr_to_core_mem[13] ) + USE SIGNAL ;
- addr_to_core_mem\[14\] ( chip_controller addr_to_core_mem[14] ) + USE SIGNAL ;
- addr_to_core_mem\[15\] ( chip_controller addr_to_core_mem[15] ) + USE SIGNAL ;
- addr_to_core_mem\[16\] ( chip_controller addr_to_core_mem[16] ) + USE SIGNAL ;
- addr_to_core_mem\[17\] ( chip_controller addr_to_core_mem[17] ) + USE SIGNAL ;
- addr_to_core_mem\[18\] ( chip_controller addr_to_core_mem[18] ) + USE SIGNAL ;
- addr_to_core_mem\[19\] ( chip_controller addr_to_core_mem[19] ) + USE SIGNAL ;
- addr_to_core_mem\[1\] ( chip_controller addr_to_core_mem[1] ) + USE SIGNAL ;
- addr_to_core_mem\[2\] ( chip_controller addr_to_core_mem[2] ) + USE SIGNAL ;
- addr_to_core_mem\[3\] ( chip_controller addr_to_core_mem[3] ) + USE SIGNAL ;
- addr_to_core_mem\[4\] ( chip_controller addr_to_core_mem[4] ) + USE SIGNAL ;
- addr_to_core_mem\[5\] ( chip_controller addr_to_core_mem[5] ) + USE SIGNAL ;
- addr_to_core_mem\[6\] ( chip_controller addr_to_core_mem[6] ) + USE SIGNAL ;
- addr_to_core_mem\[7\] ( chip_controller addr_to_core_mem[7] ) + USE SIGNAL ;
- addr_to_core_mem\[8\] ( chip_controller addr_to_core_mem[8] ) + USE SIGNAL ;
- addr_to_core_mem\[9\] ( chip_controller addr_to_core_mem[9] ) + USE SIGNAL ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- clk ( custom_sram clk ) ( chip_controller clk ) + USE SIGNAL
+ ROUTED met3 ( 203780 1799620 ) ( 204010 * )
NEW met2 ( 204010 1795710 ) ( * 1799620 )
NEW met2 ( 634110 1788570 ) ( * 1795710 )
NEW met1 ( 204010 1795710 ) ( 634110 * )
NEW met2 ( 1523290 1788570 ) ( * 1800300 0 )
NEW met1 ( 634110 1788570 ) ( 1523290 * )
NEW met3 ( 203780 1799620 ) ( * 1800900 )
NEW met3 ( 203780 1800900 ) ( * 1800980 0 )
NEW met2 ( 204010 1799620 ) M2M3_PR_M
NEW met1 ( 204010 1795710 ) M1M2_PR
NEW met1 ( 634110 1795710 ) M1M2_PR
NEW met1 ( 634110 1788570 ) M1M2_PR
NEW met1 ( 1523290 1788570 ) M1M2_PR ;
- core0_data_print\[0\] ( chip_controller core0_data_print[0] ) + USE SIGNAL ;
- core0_data_print\[10\] ( chip_controller core0_data_print[10] ) + USE SIGNAL ;
- core0_data_print\[11\] ( chip_controller core0_data_print[11] ) + USE SIGNAL ;
- core0_data_print\[12\] ( chip_controller core0_data_print[12] ) + USE SIGNAL ;
- core0_data_print\[13\] ( chip_controller core0_data_print[13] ) + USE SIGNAL ;
- core0_data_print\[14\] ( chip_controller core0_data_print[14] ) + USE SIGNAL ;
- core0_data_print\[15\] ( chip_controller core0_data_print[15] ) + USE SIGNAL ;
- core0_data_print\[16\] ( chip_controller core0_data_print[16] ) + USE SIGNAL ;
- core0_data_print\[17\] ( chip_controller core0_data_print[17] ) + USE SIGNAL ;
- core0_data_print\[18\] ( chip_controller core0_data_print[18] ) + USE SIGNAL ;
- core0_data_print\[19\] ( chip_controller core0_data_print[19] ) + USE SIGNAL ;
- core0_data_print\[1\] ( chip_controller core0_data_print[1] ) + USE SIGNAL ;
- core0_data_print\[20\] ( chip_controller core0_data_print[20] ) + USE SIGNAL ;
- core0_data_print\[21\] ( chip_controller core0_data_print[21] ) + USE SIGNAL ;
- core0_data_print\[22\] ( chip_controller core0_data_print[22] ) + USE SIGNAL ;
- core0_data_print\[23\] ( chip_controller core0_data_print[23] ) + USE SIGNAL ;
- core0_data_print\[24\] ( chip_controller core0_data_print[24] ) + USE SIGNAL ;
- core0_data_print\[25\] ( chip_controller core0_data_print[25] ) + USE SIGNAL ;
- core0_data_print\[26\] ( chip_controller core0_data_print[26] ) + USE SIGNAL ;
- core0_data_print\[27\] ( chip_controller core0_data_print[27] ) + USE SIGNAL ;
- core0_data_print\[28\] ( chip_controller core0_data_print[28] ) + USE SIGNAL ;
- core0_data_print\[29\] ( chip_controller core0_data_print[29] ) + USE SIGNAL ;
- core0_data_print\[2\] ( chip_controller core0_data_print[2] ) + USE SIGNAL ;
- core0_data_print\[30\] ( chip_controller core0_data_print[30] ) + USE SIGNAL ;
- core0_data_print\[31\] ( chip_controller core0_data_print[31] ) + USE SIGNAL ;
- core0_data_print\[3\] ( chip_controller core0_data_print[3] ) + USE SIGNAL ;
- core0_data_print\[4\] ( chip_controller core0_data_print[4] ) + USE SIGNAL ;
- core0_data_print\[5\] ( chip_controller core0_data_print[5] ) + USE SIGNAL ;
- core0_data_print\[6\] ( chip_controller core0_data_print[6] ) + USE SIGNAL ;
- core0_data_print\[7\] ( chip_controller core0_data_print[7] ) + USE SIGNAL ;
- core0_data_print\[8\] ( chip_controller core0_data_print[8] ) + USE SIGNAL ;
- core0_data_print\[9\] ( chip_controller core0_data_print[9] ) + USE SIGNAL ;
- core0_is_mem_we ( chip_controller we ) + USE SIGNAL ;
- core0_need_reset_mem_req ( chip_controller reset_mem_req ) + USE SIGNAL ;
- core0_to_mem_address\[0\] ( chip_controller addr_in[0] ) + USE SIGNAL ;
- core0_to_mem_address\[10\] ( chip_controller addr_in[10] ) + USE SIGNAL ;
- core0_to_mem_address\[11\] ( chip_controller addr_in[11] ) + USE SIGNAL ;
- core0_to_mem_address\[12\] ( chip_controller addr_in[12] ) + USE SIGNAL ;
- core0_to_mem_address\[13\] ( chip_controller addr_in[13] ) + USE SIGNAL ;
- core0_to_mem_address\[14\] ( chip_controller addr_in[14] ) + USE SIGNAL ;
- core0_to_mem_address\[15\] ( chip_controller addr_in[15] ) + USE SIGNAL ;
- core0_to_mem_address\[16\] ( chip_controller addr_in[16] ) + USE SIGNAL ;
- core0_to_mem_address\[17\] ( chip_controller addr_in[17] ) + USE SIGNAL ;
- core0_to_mem_address\[18\] ( chip_controller addr_in[18] ) + USE SIGNAL ;
- core0_to_mem_address\[19\] ( chip_controller addr_in[19] ) + USE SIGNAL ;
- core0_to_mem_address\[1\] ( chip_controller addr_in[1] ) + USE SIGNAL ;
- core0_to_mem_address\[2\] ( chip_controller addr_in[2] ) + USE SIGNAL ;
- core0_to_mem_address\[3\] ( chip_controller addr_in[3] ) + USE SIGNAL ;
- core0_to_mem_address\[4\] ( chip_controller addr_in[4] ) + USE SIGNAL ;
- core0_to_mem_address\[5\] ( chip_controller addr_in[5] ) + USE SIGNAL ;
- core0_to_mem_address\[6\] ( chip_controller addr_in[6] ) + USE SIGNAL ;
- core0_to_mem_address\[7\] ( chip_controller addr_in[7] ) + USE SIGNAL ;
- core0_to_mem_address\[8\] ( chip_controller addr_in[8] ) + USE SIGNAL ;
- core0_to_mem_address\[9\] ( chip_controller addr_in[9] ) + USE SIGNAL ;
- core0_to_mem_data\[0\] ( chip_controller wr_data[0] ) + USE SIGNAL ;
- core0_to_mem_data\[100\] ( chip_controller wr_data[100] ) + USE SIGNAL ;
- core0_to_mem_data\[101\] ( chip_controller wr_data[101] ) + USE SIGNAL ;
- core0_to_mem_data\[102\] ( chip_controller wr_data[102] ) + USE SIGNAL ;
- core0_to_mem_data\[103\] ( chip_controller wr_data[103] ) + USE SIGNAL ;
- core0_to_mem_data\[104\] ( chip_controller wr_data[104] ) + USE SIGNAL ;
- core0_to_mem_data\[105\] ( chip_controller wr_data[105] ) + USE SIGNAL ;
- core0_to_mem_data\[106\] ( chip_controller wr_data[106] ) + USE SIGNAL ;
- core0_to_mem_data\[107\] ( chip_controller wr_data[107] ) + USE SIGNAL ;
- core0_to_mem_data\[108\] ( chip_controller wr_data[108] ) + USE SIGNAL ;
- core0_to_mem_data\[109\] ( chip_controller wr_data[109] ) + USE SIGNAL ;
- core0_to_mem_data\[10\] ( chip_controller wr_data[10] ) + USE SIGNAL ;
- core0_to_mem_data\[110\] ( chip_controller wr_data[110] ) + USE SIGNAL ;
- core0_to_mem_data\[111\] ( chip_controller wr_data[111] ) + USE SIGNAL ;
- core0_to_mem_data\[112\] ( chip_controller wr_data[112] ) + USE SIGNAL ;
- core0_to_mem_data\[113\] ( chip_controller wr_data[113] ) + USE SIGNAL ;
- core0_to_mem_data\[114\] ( chip_controller wr_data[114] ) + USE SIGNAL ;
- core0_to_mem_data\[115\] ( chip_controller wr_data[115] ) + USE SIGNAL ;
- core0_to_mem_data\[116\] ( chip_controller wr_data[116] ) + USE SIGNAL ;
- core0_to_mem_data\[117\] ( chip_controller wr_data[117] ) + USE SIGNAL ;
- core0_to_mem_data\[118\] ( chip_controller wr_data[118] ) + USE SIGNAL ;
- core0_to_mem_data\[119\] ( chip_controller wr_data[119] ) + USE SIGNAL ;
- core0_to_mem_data\[11\] ( chip_controller wr_data[11] ) + USE SIGNAL ;
- core0_to_mem_data\[120\] ( chip_controller wr_data[120] ) + USE SIGNAL ;
- core0_to_mem_data\[121\] ( chip_controller wr_data[121] ) + USE SIGNAL ;
- core0_to_mem_data\[122\] ( chip_controller wr_data[122] ) + USE SIGNAL ;
- core0_to_mem_data\[123\] ( chip_controller wr_data[123] ) + USE SIGNAL ;
- core0_to_mem_data\[124\] ( chip_controller wr_data[124] ) + USE SIGNAL ;
- core0_to_mem_data\[125\] ( chip_controller wr_data[125] ) + USE SIGNAL ;
- core0_to_mem_data\[126\] ( chip_controller wr_data[126] ) + USE SIGNAL ;
- core0_to_mem_data\[127\] ( chip_controller wr_data[127] ) + USE SIGNAL ;
- core0_to_mem_data\[12\] ( chip_controller wr_data[12] ) + USE SIGNAL ;
- core0_to_mem_data\[13\] ( chip_controller wr_data[13] ) + USE SIGNAL ;
- core0_to_mem_data\[14\] ( chip_controller wr_data[14] ) + USE SIGNAL ;
- core0_to_mem_data\[15\] ( chip_controller wr_data[15] ) + USE SIGNAL ;
- core0_to_mem_data\[16\] ( chip_controller wr_data[16] ) + USE SIGNAL ;
- core0_to_mem_data\[17\] ( chip_controller wr_data[17] ) + USE SIGNAL ;
- core0_to_mem_data\[18\] ( chip_controller wr_data[18] ) + USE SIGNAL ;
- core0_to_mem_data\[19\] ( chip_controller wr_data[19] ) + USE SIGNAL ;
- core0_to_mem_data\[1\] ( chip_controller wr_data[1] ) + USE SIGNAL ;
- core0_to_mem_data\[20\] ( chip_controller wr_data[20] ) + USE SIGNAL ;
- core0_to_mem_data\[21\] ( chip_controller wr_data[21] ) + USE SIGNAL ;
- core0_to_mem_data\[22\] ( chip_controller wr_data[22] ) + USE SIGNAL ;
- core0_to_mem_data\[23\] ( chip_controller wr_data[23] ) + USE SIGNAL ;
- core0_to_mem_data\[24\] ( chip_controller wr_data[24] ) + USE SIGNAL ;
- core0_to_mem_data\[25\] ( chip_controller wr_data[25] ) + USE SIGNAL ;
- core0_to_mem_data\[26\] ( chip_controller wr_data[26] ) + USE SIGNAL ;
- core0_to_mem_data\[27\] ( chip_controller wr_data[27] ) + USE SIGNAL ;
- core0_to_mem_data\[28\] ( chip_controller wr_data[28] ) + USE SIGNAL ;
- core0_to_mem_data\[29\] ( chip_controller wr_data[29] ) + USE SIGNAL ;
- core0_to_mem_data\[2\] ( chip_controller wr_data[2] ) + USE SIGNAL ;
- core0_to_mem_data\[30\] ( chip_controller wr_data[30] ) + USE SIGNAL ;
- core0_to_mem_data\[31\] ( chip_controller wr_data[31] ) + USE SIGNAL ;
- core0_to_mem_data\[32\] ( chip_controller wr_data[32] ) + USE SIGNAL ;
- core0_to_mem_data\[33\] ( chip_controller wr_data[33] ) + USE SIGNAL ;
- core0_to_mem_data\[34\] ( chip_controller wr_data[34] ) + USE SIGNAL ;
- core0_to_mem_data\[35\] ( chip_controller wr_data[35] ) + USE SIGNAL ;
- core0_to_mem_data\[36\] ( chip_controller wr_data[36] ) + USE SIGNAL ;
- core0_to_mem_data\[37\] ( chip_controller wr_data[37] ) + USE SIGNAL ;
- core0_to_mem_data\[38\] ( chip_controller wr_data[38] ) + USE SIGNAL ;
- core0_to_mem_data\[39\] ( chip_controller wr_data[39] ) + USE SIGNAL ;
- core0_to_mem_data\[3\] ( chip_controller wr_data[3] ) + USE SIGNAL ;
- core0_to_mem_data\[40\] ( chip_controller wr_data[40] ) + USE SIGNAL ;
- core0_to_mem_data\[41\] ( chip_controller wr_data[41] ) + USE SIGNAL ;
- core0_to_mem_data\[42\] ( chip_controller wr_data[42] ) + USE SIGNAL ;
- core0_to_mem_data\[43\] ( chip_controller wr_data[43] ) + USE SIGNAL ;
- core0_to_mem_data\[44\] ( chip_controller wr_data[44] ) + USE SIGNAL ;
- core0_to_mem_data\[45\] ( chip_controller wr_data[45] ) + USE SIGNAL ;
- core0_to_mem_data\[46\] ( chip_controller wr_data[46] ) + USE SIGNAL ;
- core0_to_mem_data\[47\] ( chip_controller wr_data[47] ) + USE SIGNAL ;
- core0_to_mem_data\[48\] ( chip_controller wr_data[48] ) + USE SIGNAL ;
- core0_to_mem_data\[49\] ( chip_controller wr_data[49] ) + USE SIGNAL ;
- core0_to_mem_data\[4\] ( chip_controller wr_data[4] ) + USE SIGNAL ;
- core0_to_mem_data\[50\] ( chip_controller wr_data[50] ) + USE SIGNAL ;
- core0_to_mem_data\[51\] ( chip_controller wr_data[51] ) + USE SIGNAL ;
- core0_to_mem_data\[52\] ( chip_controller wr_data[52] ) + USE SIGNAL ;
- core0_to_mem_data\[53\] ( chip_controller wr_data[53] ) + USE SIGNAL ;
- core0_to_mem_data\[54\] ( chip_controller wr_data[54] ) + USE SIGNAL ;
- core0_to_mem_data\[55\] ( chip_controller wr_data[55] ) + USE SIGNAL ;
- core0_to_mem_data\[56\] ( chip_controller wr_data[56] ) + USE SIGNAL ;
- core0_to_mem_data\[57\] ( chip_controller wr_data[57] ) + USE SIGNAL ;
- core0_to_mem_data\[58\] ( chip_controller wr_data[58] ) + USE SIGNAL ;
- core0_to_mem_data\[59\] ( chip_controller wr_data[59] ) + USE SIGNAL ;
- core0_to_mem_data\[5\] ( chip_controller wr_data[5] ) + USE SIGNAL ;
- core0_to_mem_data\[60\] ( chip_controller wr_data[60] ) + USE SIGNAL ;
- core0_to_mem_data\[61\] ( chip_controller wr_data[61] ) + USE SIGNAL ;
- core0_to_mem_data\[62\] ( chip_controller wr_data[62] ) + USE SIGNAL ;
- core0_to_mem_data\[63\] ( chip_controller wr_data[63] ) + USE SIGNAL ;
- core0_to_mem_data\[64\] ( chip_controller wr_data[64] ) + USE SIGNAL ;
- core0_to_mem_data\[65\] ( chip_controller wr_data[65] ) + USE SIGNAL ;
- core0_to_mem_data\[66\] ( chip_controller wr_data[66] ) + USE SIGNAL ;
- core0_to_mem_data\[67\] ( chip_controller wr_data[67] ) + USE SIGNAL ;
- core0_to_mem_data\[68\] ( chip_controller wr_data[68] ) + USE SIGNAL ;
- core0_to_mem_data\[69\] ( chip_controller wr_data[69] ) + USE SIGNAL ;
- core0_to_mem_data\[6\] ( chip_controller wr_data[6] ) + USE SIGNAL ;
- core0_to_mem_data\[70\] ( chip_controller wr_data[70] ) + USE SIGNAL ;
- core0_to_mem_data\[71\] ( chip_controller wr_data[71] ) + USE SIGNAL ;
- core0_to_mem_data\[72\] ( chip_controller wr_data[72] ) + USE SIGNAL ;
- core0_to_mem_data\[73\] ( chip_controller wr_data[73] ) + USE SIGNAL ;
- core0_to_mem_data\[74\] ( chip_controller wr_data[74] ) + USE SIGNAL ;
- core0_to_mem_data\[75\] ( chip_controller wr_data[75] ) + USE SIGNAL ;
- core0_to_mem_data\[76\] ( chip_controller wr_data[76] ) + USE SIGNAL ;
- core0_to_mem_data\[77\] ( chip_controller wr_data[77] ) + USE SIGNAL ;
- core0_to_mem_data\[78\] ( chip_controller wr_data[78] ) + USE SIGNAL ;
- core0_to_mem_data\[79\] ( chip_controller wr_data[79] ) + USE SIGNAL ;
- core0_to_mem_data\[7\] ( chip_controller wr_data[7] ) + USE SIGNAL ;
- core0_to_mem_data\[80\] ( chip_controller wr_data[80] ) + USE SIGNAL ;
- core0_to_mem_data\[81\] ( chip_controller wr_data[81] ) + USE SIGNAL ;
- core0_to_mem_data\[82\] ( chip_controller wr_data[82] ) + USE SIGNAL ;
- core0_to_mem_data\[83\] ( chip_controller wr_data[83] ) + USE SIGNAL ;
- core0_to_mem_data\[84\] ( chip_controller wr_data[84] ) + USE SIGNAL ;
- core0_to_mem_data\[85\] ( chip_controller wr_data[85] ) + USE SIGNAL ;
- core0_to_mem_data\[86\] ( chip_controller wr_data[86] ) + USE SIGNAL ;
- core0_to_mem_data\[87\] ( chip_controller wr_data[87] ) + USE SIGNAL ;
- core0_to_mem_data\[88\] ( chip_controller wr_data[88] ) + USE SIGNAL ;
- core0_to_mem_data\[89\] ( chip_controller wr_data[89] ) + USE SIGNAL ;
- core0_to_mem_data\[8\] ( chip_controller wr_data[8] ) + USE SIGNAL ;
- core0_to_mem_data\[90\] ( chip_controller wr_data[90] ) + USE SIGNAL ;
- core0_to_mem_data\[91\] ( chip_controller wr_data[91] ) + USE SIGNAL ;
- core0_to_mem_data\[92\] ( chip_controller wr_data[92] ) + USE SIGNAL ;
- core0_to_mem_data\[93\] ( chip_controller wr_data[93] ) + USE SIGNAL ;
- core0_to_mem_data\[94\] ( chip_controller wr_data[94] ) + USE SIGNAL ;
- core0_to_mem_data\[95\] ( chip_controller wr_data[95] ) + USE SIGNAL ;
- core0_to_mem_data\[96\] ( chip_controller wr_data[96] ) + USE SIGNAL ;
- core0_to_mem_data\[97\] ( chip_controller wr_data[97] ) + USE SIGNAL ;
- core0_to_mem_data\[98\] ( chip_controller wr_data[98] ) + USE SIGNAL ;
- core0_to_mem_data\[99\] ( chip_controller wr_data[99] ) + USE SIGNAL ;
- core0_to_mem_data\[9\] ( chip_controller wr_data[9] ) + USE SIGNAL ;
- csb0_to_sram ( custom_sram csb0_to_sram ) ( chip_controller csb0_to_sram ) + USE SIGNAL
+ ROUTED met2 ( 202170 2199460 0 ) ( * 2222750 )
NEW met2 ( 1342050 1787890 ) ( * 2222750 )
NEW met1 ( 202170 2222750 ) ( 1342050 * )
NEW met2 ( 1615290 1787890 ) ( * 1800300 0 )
NEW met1 ( 1342050 1787890 ) ( 1615290 * )
NEW met1 ( 202170 2222750 ) M1M2_PR
NEW met1 ( 1342050 2222750 ) M1M2_PR
NEW met1 ( 1342050 1787890 ) M1M2_PR
NEW met1 ( 1615290 1787890 ) M1M2_PR ;
- data_out_to_core\[0\] ( chip_controller data_out_to_core[0] ) + USE SIGNAL ;
- data_out_to_core\[10\] ( chip_controller data_out_to_core[10] ) + USE SIGNAL ;
- data_out_to_core\[11\] ( chip_controller data_out_to_core[11] ) + USE SIGNAL ;
- data_out_to_core\[12\] ( chip_controller data_out_to_core[12] ) + USE SIGNAL ;
- data_out_to_core\[13\] ( chip_controller data_out_to_core[13] ) + USE SIGNAL ;
- data_out_to_core\[14\] ( chip_controller data_out_to_core[14] ) + USE SIGNAL ;
- data_out_to_core\[15\] ( chip_controller data_out_to_core[15] ) + USE SIGNAL ;
- data_out_to_core\[16\] ( chip_controller data_out_to_core[16] ) + USE SIGNAL ;
- data_out_to_core\[17\] ( chip_controller data_out_to_core[17] ) + USE SIGNAL ;
- data_out_to_core\[18\] ( chip_controller data_out_to_core[18] ) + USE SIGNAL ;
- data_out_to_core\[19\] ( chip_controller data_out_to_core[19] ) + USE SIGNAL ;
- data_out_to_core\[1\] ( chip_controller data_out_to_core[1] ) + USE SIGNAL ;
- data_out_to_core\[20\] ( chip_controller data_out_to_core[20] ) + USE SIGNAL ;
- data_out_to_core\[21\] ( chip_controller data_out_to_core[21] ) + USE SIGNAL ;
- data_out_to_core\[22\] ( chip_controller data_out_to_core[22] ) + USE SIGNAL ;
- data_out_to_core\[23\] ( chip_controller data_out_to_core[23] ) + USE SIGNAL ;
- data_out_to_core\[24\] ( chip_controller data_out_to_core[24] ) + USE SIGNAL ;
- data_out_to_core\[25\] ( chip_controller data_out_to_core[25] ) + USE SIGNAL ;
- data_out_to_core\[26\] ( chip_controller data_out_to_core[26] ) + USE SIGNAL ;
- data_out_to_core\[27\] ( chip_controller data_out_to_core[27] ) + USE SIGNAL ;
- data_out_to_core\[28\] ( chip_controller data_out_to_core[28] ) + USE SIGNAL ;
- data_out_to_core\[29\] ( chip_controller data_out_to_core[29] ) + USE SIGNAL ;
- data_out_to_core\[2\] ( chip_controller data_out_to_core[2] ) + USE SIGNAL ;
- data_out_to_core\[30\] ( chip_controller data_out_to_core[30] ) + USE SIGNAL ;
- data_out_to_core\[31\] ( chip_controller data_out_to_core[31] ) + USE SIGNAL ;
- data_out_to_core\[3\] ( chip_controller data_out_to_core[3] ) + USE SIGNAL ;
- data_out_to_core\[4\] ( chip_controller data_out_to_core[4] ) + USE SIGNAL ;
- data_out_to_core\[5\] ( chip_controller data_out_to_core[5] ) + USE SIGNAL ;
- data_out_to_core\[6\] ( chip_controller data_out_to_core[6] ) + USE SIGNAL ;
- data_out_to_core\[7\] ( chip_controller data_out_to_core[7] ) + USE SIGNAL ;
- data_out_to_core\[8\] ( chip_controller data_out_to_core[8] ) + USE SIGNAL ;
- data_out_to_core\[9\] ( chip_controller data_out_to_core[9] ) + USE SIGNAL ;
- data_to_core_mem\[0\] ( chip_controller data_to_core_mem[0] ) + USE SIGNAL ;
- data_to_core_mem\[10\] ( chip_controller data_to_core_mem[10] ) + USE SIGNAL ;
- data_to_core_mem\[11\] ( chip_controller data_to_core_mem[11] ) + USE SIGNAL ;
- data_to_core_mem\[12\] ( chip_controller data_to_core_mem[12] ) + USE SIGNAL ;
- data_to_core_mem\[13\] ( chip_controller data_to_core_mem[13] ) + USE SIGNAL ;
- data_to_core_mem\[14\] ( chip_controller data_to_core_mem[14] ) + USE SIGNAL ;
- data_to_core_mem\[15\] ( chip_controller data_to_core_mem[15] ) + USE SIGNAL ;
- data_to_core_mem\[16\] ( chip_controller data_to_core_mem[16] ) + USE SIGNAL ;
- data_to_core_mem\[17\] ( chip_controller data_to_core_mem[17] ) + USE SIGNAL ;
- data_to_core_mem\[18\] ( chip_controller data_to_core_mem[18] ) + USE SIGNAL ;
- data_to_core_mem\[19\] ( chip_controller data_to_core_mem[19] ) + USE SIGNAL ;
- data_to_core_mem\[1\] ( chip_controller data_to_core_mem[1] ) + USE SIGNAL ;
- data_to_core_mem\[20\] ( chip_controller data_to_core_mem[20] ) + USE SIGNAL ;
- data_to_core_mem\[21\] ( chip_controller data_to_core_mem[21] ) + USE SIGNAL ;
- data_to_core_mem\[22\] ( chip_controller data_to_core_mem[22] ) + USE SIGNAL ;
- data_to_core_mem\[23\] ( chip_controller data_to_core_mem[23] ) + USE SIGNAL ;
- data_to_core_mem\[24\] ( chip_controller data_to_core_mem[24] ) + USE SIGNAL ;
- data_to_core_mem\[25\] ( chip_controller data_to_core_mem[25] ) + USE SIGNAL ;
- data_to_core_mem\[26\] ( chip_controller data_to_core_mem[26] ) + USE SIGNAL ;
- data_to_core_mem\[27\] ( chip_controller data_to_core_mem[27] ) + USE SIGNAL ;
- data_to_core_mem\[28\] ( chip_controller data_to_core_mem[28] ) + USE SIGNAL ;
- data_to_core_mem\[29\] ( chip_controller data_to_core_mem[29] ) + USE SIGNAL ;
- data_to_core_mem\[2\] ( chip_controller data_to_core_mem[2] ) + USE SIGNAL ;
- data_to_core_mem\[30\] ( chip_controller data_to_core_mem[30] ) + USE SIGNAL ;
- data_to_core_mem\[31\] ( chip_controller data_to_core_mem[31] ) + USE SIGNAL ;
- data_to_core_mem\[3\] ( chip_controller data_to_core_mem[3] ) + USE SIGNAL ;
- data_to_core_mem\[4\] ( chip_controller data_to_core_mem[4] ) + USE SIGNAL ;
- data_to_core_mem\[5\] ( chip_controller data_to_core_mem[5] ) + USE SIGNAL ;
- data_to_core_mem\[6\] ( chip_controller data_to_core_mem[6] ) + USE SIGNAL ;
- data_to_core_mem\[7\] ( chip_controller data_to_core_mem[7] ) + USE SIGNAL ;
- data_to_core_mem\[8\] ( chip_controller data_to_core_mem[8] ) + USE SIGNAL ;
- data_to_core_mem\[9\] ( chip_controller data_to_core_mem[9] ) + USE SIGNAL ;
- din0_to_sram\[0\] ( custom_sram d[0] ) ( chip_controller din0_to_sram[0] ) + USE SIGNAL
+ ROUTED met2 ( 1476830 1803530 ) ( * 1814750 )
NEW met2 ( 1705910 1803530 ) ( * 1803700 )
NEW met2 ( 1705910 1803700 ) ( 1707750 * 0 )
NEW met1 ( 1476830 1803530 ) ( 1705910 * )
NEW met3 ( 599380 1820020 0 ) ( 613870 * )
NEW met2 ( 613870 1814750 ) ( * 1820020 )
NEW met1 ( 613870 1814750 ) ( 1476830 * )
NEW met1 ( 1476830 1814750 ) M1M2_PR
NEW met1 ( 1476830 1803530 ) M1M2_PR
NEW met1 ( 1705910 1803530 ) M1M2_PR
NEW met2 ( 613870 1820020 ) M2M3_PR_M
NEW met1 ( 613870 1814750 ) M1M2_PR ;
- din0_to_sram\[10\] ( custom_sram d[10] ) ( chip_controller din0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1879180 0 ) ( 607890 * )
NEW met2 ( 607890 1879180 ) ( * 1883430 )
NEW met2 ( 866870 1883430 ) ( * 2401250 )
NEW met2 ( 1490170 2401250 ) ( * 2406860 )
NEW met3 ( 1490170 2406860 ) ( 1500060 * 0 )
NEW met1 ( 866870 2401250 ) ( 1490170 * )
NEW met1 ( 607890 1883430 ) ( 866870 * )
NEW met1 ( 866870 2401250 ) M1M2_PR
NEW met2 ( 607890 1879180 ) M2M3_PR_M
NEW met1 ( 607890 1883430 ) M1M2_PR
NEW met1 ( 866870 1883430 ) M1M2_PR
NEW met1 ( 1490170 2401250 ) M1M2_PR
NEW met2 ( 1490170 2406860 ) M2M3_PR_M ;
- din0_to_sram\[11\] ( custom_sram d[11] ) ( chip_controller din0_to_sram[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1885300 0 ) ( 608350 * )
NEW met2 ( 608350 1885300 ) ( * 1890570 )
NEW met1 ( 608350 1890570 ) ( 942770 * )
NEW met2 ( 942770 1890570 ) ( * 2546430 )
NEW met2 ( 1487410 2546430 ) ( * 2549660 )
NEW met3 ( 1487410 2549660 ) ( 1500060 * 0 )
NEW met1 ( 942770 2546430 ) ( 1487410 * )
NEW met2 ( 608350 1885300 ) M2M3_PR_M
NEW met1 ( 608350 1890570 ) M1M2_PR
NEW met1 ( 942770 1890570 ) M1M2_PR
NEW met1 ( 942770 2546430 ) M1M2_PR
NEW met1 ( 1487410 2546430 ) M1M2_PR
NEW met2 ( 1487410 2549660 ) M2M3_PR_M ;
- din0_to_sram\[12\] ( custom_sram d[12] ) ( chip_controller din0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 687010 1794690 ) ( * 2691270 )
NEW met2 ( 1490170 2691270 ) ( * 2692460 )
NEW met3 ( 1490170 2692460 ) ( 1500060 * 0 )
NEW met1 ( 687010 2691270 ) ( 1490170 * )
NEW met2 ( 275770 1794690 ) ( * 1800300 0 )
NEW met1 ( 275770 1794690 ) ( 687010 * )
NEW met1 ( 687010 2691270 ) M1M2_PR
NEW met1 ( 687010 1794690 ) M1M2_PR
NEW met1 ( 1490170 2691270 ) M1M2_PR
NEW met2 ( 1490170 2692460 ) M2M3_PR_M
NEW met1 ( 275770 1794690 ) M1M2_PR ;
- din0_to_sram\[13\] ( custom_sram d[13] ) ( chip_controller din0_to_sram[13] ) + USE SIGNAL
+ ROUTED met1 ( 296470 2208810 ) ( 318090 * )
NEW li1 ( 318090 2208810 ) ( * 2211190 )
NEW li1 ( 572470 2210170 ) ( * 2211190 )
NEW met1 ( 572470 2210170 ) ( 586730 * )
NEW met1 ( 1370110 2118030 ) ( 1384370 * )
NEW met3 ( 2699740 2512260 0 ) ( 2711930 * )
NEW met2 ( 296470 2199460 0 ) ( * 2208810 )
NEW li1 ( 586730 2198270 ) ( * 2199630 )
NEW met2 ( 586730 2199630 ) ( * 2210170 )
NEW met2 ( 1384370 2004470 ) ( * 2118030 )
NEW met2 ( 1354470 2166310 ) ( * 2198270 )
NEW met1 ( 1354470 2166310 ) ( 1370110 * )
NEW met2 ( 1370110 2118030 ) ( * 2166310 )
NEW met1 ( 1446470 1876630 ) ( 1460730 * )
NEW met2 ( 1460730 1830390 ) ( * 1876630 )
NEW met2 ( 1446470 1876630 ) ( * 2004470 )
NEW met2 ( 2696290 1806930 ) ( * 1809820 )
NEW met2 ( 2695830 1809820 ) ( 2696290 * )
NEW met2 ( 2695830 2401200 ) ( 2696750 * )
NEW met2 ( 2695830 1809820 ) ( * 2401200 )
NEW met1 ( 2696750 2460410 ) ( 2711930 * )
NEW met2 ( 2696750 2401200 ) ( * 2460410 )
NEW met2 ( 2711930 2460410 ) ( * 2512260 )
NEW met1 ( 318090 2211190 ) ( 572470 * )
NEW met1 ( 1460730 1830390 ) ( 1483730 * )
NEW met1 ( 586730 2198270 ) ( 1354470 * )
NEW met1 ( 1384370 2004470 ) ( 1446470 * )
NEW met2 ( 1483730 1806930 ) ( * 1830390 )
NEW met1 ( 1483730 1806930 ) ( 2696290 * )
NEW met1 ( 296470 2208810 ) M1M2_PR
NEW li1 ( 318090 2208810 ) L1M1_PR_MR
NEW li1 ( 318090 2211190 ) L1M1_PR_MR
NEW li1 ( 572470 2211190 ) L1M1_PR_MR
NEW li1 ( 572470 2210170 ) L1M1_PR_MR
NEW met1 ( 586730 2210170 ) M1M2_PR
NEW met1 ( 1370110 2118030 ) M1M2_PR
NEW met1 ( 1384370 2118030 ) M1M2_PR
NEW met1 ( 1460730 1830390 ) M1M2_PR
NEW met2 ( 2711930 2512260 ) M2M3_PR_M
NEW li1 ( 586730 2199630 ) L1M1_PR_MR
NEW met1 ( 586730 2199630 ) M1M2_PR
NEW li1 ( 586730 2198270 ) L1M1_PR_MR
NEW met1 ( 1384370 2004470 ) M1M2_PR
NEW met1 ( 1354470 2198270 ) M1M2_PR
NEW met1 ( 1354470 2166310 ) M1M2_PR
NEW met1 ( 1370110 2166310 ) M1M2_PR
NEW met1 ( 1446470 1876630 ) M1M2_PR
NEW met1 ( 1460730 1876630 ) M1M2_PR
NEW met1 ( 1446470 2004470 ) M1M2_PR
NEW met1 ( 2696290 1806930 ) M1M2_PR
NEW met1 ( 2696750 2460410 ) M1M2_PR
NEW met1 ( 2711930 2460410 ) M1M2_PR
NEW met1 ( 1483730 1830390 ) M1M2_PR
NEW met1 ( 1483730 1806930 ) M1M2_PR
NEW met1 ( 586730 2199630 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[14\] ( custom_sram d[14] ) ( chip_controller din0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1890910 ) ( * 1896860 )
NEW met3 ( 188370 1896860 ) ( 200100 * 0 )
NEW met1 ( 171810 1890910 ) ( 188370 * )
NEW met2 ( 2169130 1786190 ) ( * 1800300 0 )
NEW met1 ( 171810 1786190 ) ( 2169130 * )
NEW met2 ( 171810 1786190 ) ( * 1890910 )
NEW met1 ( 188370 1890910 ) M1M2_PR
NEW met2 ( 188370 1896860 ) M2M3_PR_M
NEW met1 ( 171810 1786190 ) M1M2_PR
NEW met1 ( 171810 1890910 ) M1M2_PR
NEW met1 ( 2169130 1786190 ) M1M2_PR ;
- din0_to_sram\[15\] ( custom_sram d[15] ) ( chip_controller din0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 307970 1792310 ) ( * 1800300 0 )
NEW met1 ( 331430 1792310 ) ( * 1792650 )
NEW met1 ( 307970 1792310 ) ( 331430 * )
NEW met2 ( 2261590 1792650 ) ( * 1800300 0 )
NEW met1 ( 331430 1792650 ) ( 2261590 * )
NEW met1 ( 307970 1792310 ) M1M2_PR
NEW met1 ( 2261590 1792650 ) M1M2_PR ;
- din0_to_sram\[16\] ( custom_sram d[16] ) ( chip_controller din0_to_sram[16] ) + USE SIGNAL
+ ROUTED met1 ( 313030 2211530 ) ( 317170 * )
NEW met2 ( 313030 2199460 0 ) ( * 2211530 )
NEW met2 ( 317170 2211530 ) ( * 3308030 )
NEW met2 ( 2100130 3299700 0 ) ( * 3308030 )
NEW met1 ( 317170 3308030 ) ( 2100130 * )
NEW met1 ( 313030 2211530 ) M1M2_PR
NEW met1 ( 317170 2211530 ) M1M2_PR
NEW met1 ( 317170 3308030 ) M1M2_PR
NEW met1 ( 2100130 3308030 ) M1M2_PR ;
- din0_to_sram\[17\] ( custom_sram d[17] ) ( chip_controller din0_to_sram[17] ) + USE SIGNAL
+ ROUTED met1 ( 320850 2214250 ) ( 324070 * )
NEW met2 ( 2214210 3299700 0 ) ( * 3307350 )
NEW met2 ( 320850 2199460 0 ) ( * 2214250 )
NEW met2 ( 324070 2214250 ) ( * 3307350 )
NEW met1 ( 324070 3307350 ) ( 2214210 * )
NEW met1 ( 320850 2214250 ) M1M2_PR
NEW met1 ( 324070 2214250 ) M1M2_PR
NEW met1 ( 324070 3307350 ) M1M2_PR
NEW met1 ( 2214210 3307350 ) M1M2_PR ;
- din0_to_sram\[18\] ( custom_sram d[18] ) ( chip_controller din0_to_sram[18] ) + USE SIGNAL
+ ROUTED met3 ( 2696980 2734620 ) ( * 2737340 0 )
NEW met3 ( 600300 1799620 ) ( * 1800300 )
NEW met3 ( 600300 1800300 ) ( 601220 * )
NEW met4 ( 2691460 1805060 ) ( * 2642700 )
NEW met4 ( 2691460 2642700 ) ( 2696980 * )
NEW met4 ( 2696980 2642700 ) ( * 2734620 )
NEW met1 ( 329130 2208130 ) ( 372600 * )
NEW met1 ( 372600 2208130 ) ( * 2208470 )
NEW met1 ( 372600 2208470 ) ( 462530 * )
NEW met2 ( 329130 2199460 0 ) ( * 2208130 )
NEW met2 ( 462530 2205580 ) ( * 2208470 )
NEW met3 ( 534980 1799620 ) ( 600300 * )
NEW met3 ( 462530 2205580 ) ( 534980 * )
NEW met4 ( 534980 1799620 ) ( * 2205580 )
NEW met3 ( 601220 1800300 ) ( * 1805060 )
NEW met3 ( 601220 1805060 ) ( 2691460 * )
NEW met3 ( 2696980 2734620 ) M3M4_PR_M
NEW met3 ( 2691460 1805060 ) M3M4_PR_M
NEW met1 ( 329130 2208130 ) M1M2_PR
NEW met1 ( 462530 2208470 ) M1M2_PR
NEW met2 ( 462530 2205580 ) M2M3_PR_M
NEW met3 ( 534980 1799620 ) M3M4_PR_M
NEW met3 ( 534980 2205580 ) M3M4_PR_M ;
- din0_to_sram\[19\] ( custom_sram d[19] ) ( chip_controller din0_to_sram[19] ) + USE SIGNAL
+ ROUTED li1 ( 362250 1787890 ) ( 362710 * )
NEW li1 ( 362250 1787890 ) ( * 1788570 )
NEW met1 ( 348910 1788570 ) ( 362250 * )
NEW li1 ( 348910 1788230 ) ( * 1788570 )
NEW li1 ( 347990 1788230 ) ( 348910 * )
NEW li1 ( 347990 1788230 ) ( * 1792310 )
NEW met1 ( 340170 1792310 ) ( 347990 * )
NEW li1 ( 340170 1792310 ) ( * 1793670 )
NEW met1 ( 328210 1793670 ) ( 340170 * )
NEW met2 ( 328210 1793670 ) ( * 1800300 0 )
NEW met1 ( 362710 1787890 ) ( 721510 * )
NEW met2 ( 721510 1787890 ) ( * 2974150 )
NEW met2 ( 1485110 2974150 ) ( * 2978060 )
NEW met3 ( 1485110 2978060 ) ( 1500060 * 0 )
NEW met1 ( 721510 2974150 ) ( 1485110 * )
NEW li1 ( 362710 1787890 ) L1M1_PR_MR
NEW li1 ( 362250 1788570 ) L1M1_PR_MR
NEW li1 ( 348910 1788570 ) L1M1_PR_MR
NEW li1 ( 347990 1792310 ) L1M1_PR_MR
NEW li1 ( 340170 1792310 ) L1M1_PR_MR
NEW li1 ( 340170 1793670 ) L1M1_PR_MR
NEW met1 ( 328210 1793670 ) M1M2_PR
NEW met1 ( 721510 1787890 ) M1M2_PR
NEW met1 ( 721510 2974150 ) M1M2_PR
NEW met1 ( 1485110 2974150 ) M1M2_PR
NEW met2 ( 1485110 2978060 ) M2M3_PR_M ;
- din0_to_sram\[1\] ( custom_sram d[1] ) ( chip_controller din0_to_sram[1] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 1837700 0 ) ( 2711930 * )
NEW met2 ( 213210 1792650 ) ( * 1800300 0 )
NEW li1 ( 287270 1792650 ) ( * 1793670 )
NEW met2 ( 2711930 1797750 ) ( * 1837700 )
NEW li1 ( 251850 1792650 ) ( * 1793670 )
NEW met1 ( 213210 1792650 ) ( 251850 * )
NEW met1 ( 251850 1793670 ) ( 287270 * )
NEW met2 ( 329590 1792650 ) ( * 1797750 )
NEW met1 ( 287270 1792650 ) ( 329590 * )
NEW met1 ( 329590 1797750 ) ( 2711930 * )
NEW met2 ( 2711930 1837700 ) M2M3_PR_M
NEW met1 ( 213210 1792650 ) M1M2_PR
NEW li1 ( 287270 1793670 ) L1M1_PR_MR
NEW li1 ( 287270 1792650 ) L1M1_PR_MR
NEW met1 ( 2711930 1797750 ) M1M2_PR
NEW li1 ( 251850 1792650 ) L1M1_PR_MR
NEW li1 ( 251850 1793670 ) L1M1_PR_MR
NEW met1 ( 329590 1792650 ) M1M2_PR
NEW met1 ( 329590 1797750 ) M1M2_PR ;
- din0_to_sram\[20\] ( custom_sram d[20] ) ( chip_controller din0_to_sram[20] ) + USE SIGNAL
+ ROUTED met1 ( 338790 2208470 ) ( 344770 * )
NEW met2 ( 338790 2199460 0 ) ( * 2208470 )
NEW met2 ( 344770 2208470 ) ( * 3043170 )
NEW met2 ( 1486950 3043170 ) ( * 3049460 )
NEW met3 ( 1486950 3049460 ) ( 1500060 * 0 )
NEW met1 ( 344770 3043170 ) ( 1486950 * )
NEW met1 ( 338790 2208470 ) M1M2_PR
NEW met1 ( 344770 2208470 ) M1M2_PR
NEW met1 ( 344770 3043170 ) M1M2_PR
NEW met1 ( 1486950 3043170 ) M1M2_PR
NEW met2 ( 1486950 3049460 ) M2M3_PR_M ;
- din0_to_sram\[21\] ( custom_sram d[21] ) ( chip_controller din0_to_sram[21] ) + USE SIGNAL
+ ROUTED met3 ( 196650 1944460 ) ( 200100 * 0 )
NEW met2 ( 2400050 1786530 ) ( * 1800300 0 )
NEW met1 ( 196650 1786530 ) ( 2400050 * )
NEW met2 ( 196650 1786530 ) ( * 1944460 )
NEW met2 ( 196650 1944460 ) M2M3_PR_M
NEW met1 ( 196650 1786530 ) M1M2_PR
NEW met1 ( 2400050 1786530 ) M1M2_PR ;
- din0_to_sram\[22\] ( custom_sram d[22] ) ( chip_controller din0_to_sram[22] ) + USE SIGNAL
+ ROUTED met2 ( 1156210 1809310 ) ( * 1945990 )
NEW met3 ( 2699740 3037220 0 ) ( 2712390 * )
NEW met2 ( 2712390 1809310 ) ( * 3037220 )
NEW met1 ( 1156210 1809310 ) ( 2712390 * )
NEW met3 ( 599380 1949900 0 ) ( 608810 * )
NEW met2 ( 608810 1945990 ) ( * 1949900 )
NEW met1 ( 608810 1945990 ) ( 1156210 * )
NEW met1 ( 1156210 1945990 ) M1M2_PR
NEW met1 ( 1156210 1809310 ) M1M2_PR
NEW met1 ( 2712390 1809310 ) M1M2_PR
NEW met2 ( 2712390 3037220 ) M2M3_PR_M
NEW met2 ( 608810 1949900 ) M2M3_PR_M
NEW met1 ( 608810 1945990 ) M1M2_PR ;
- din0_to_sram\[23\] ( custom_sram d[23] ) ( chip_controller din0_to_sram[23] ) + USE SIGNAL
+ ROUTED met2 ( 2328750 3299700 0 ) ( * 3308710 )
NEW met1 ( 618930 3308710 ) ( 2328750 * )
NEW met3 ( 599380 1954660 0 ) ( 607890 * )
NEW met2 ( 607890 1954660 ) ( * 1955170 )
NEW met1 ( 607890 1955170 ) ( 618930 * )
NEW met2 ( 618930 1955170 ) ( * 3308710 )
NEW met1 ( 2328750 3308710 ) M1M2_PR
NEW met1 ( 618930 3308710 ) M1M2_PR
NEW met2 ( 607890 1954660 ) M2M3_PR_M
NEW met1 ( 607890 1955170 ) M1M2_PR
NEW met1 ( 618930 1955170 ) M1M2_PR ;
- din0_to_sram\[24\] ( custom_sram d[24] ) ( chip_controller din0_to_sram[24] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1953130 ) ( * 1958740 )
NEW met3 ( 188370 1958740 ) ( 200100 * 0 )
NEW met1 ( 141450 1953130 ) ( 188370 * )
NEW met2 ( 141450 1786870 ) ( * 1953130 )
NEW met2 ( 2492510 1786870 ) ( * 1800300 0 )
NEW met1 ( 141450 1786870 ) ( 2492510 * )
NEW met1 ( 188370 1953130 ) M1M2_PR
NEW met2 ( 188370 1958740 ) M2M3_PR_M
NEW met1 ( 141450 1953130 ) M1M2_PR
NEW met1 ( 141450 1786870 ) M1M2_PR
NEW met1 ( 2492510 1786870 ) M1M2_PR ;
- din0_to_sram\[25\] ( custom_sram d[25] ) ( chip_controller din0_to_sram[25] ) + USE SIGNAL
+ ROUTED met2 ( 2385790 3299700 0 ) ( * 3308370 )
NEW met1 ( 619390 3308370 ) ( 2385790 * )
NEW met3 ( 599380 1969620 0 ) ( 607890 * )
NEW met2 ( 607890 1969620 ) ( * 1969790 )
NEW met1 ( 607890 1969790 ) ( 619390 * )
NEW met2 ( 619390 1969790 ) ( * 3308370 )
NEW met1 ( 619390 3308370 ) M1M2_PR
NEW met1 ( 2385790 3308370 ) M1M2_PR
NEW met2 ( 607890 1969620 ) M2M3_PR_M
NEW met1 ( 607890 1969790 ) M1M2_PR
NEW met1 ( 619390 1969790 ) M1M2_PR ;
- din0_to_sram\[26\] ( custom_sram d[26] ) ( chip_controller din0_to_sram[26] ) + USE SIGNAL
+ ROUTED met2 ( 2442830 3299700 0 ) ( * 3305650 )
NEW met1 ( 366390 2213910 ) ( 371450 * )
NEW met1 ( 371450 3305650 ) ( 2442830 * )
NEW met2 ( 366390 2199460 0 ) ( * 2213910 )
NEW met2 ( 371450 2213910 ) ( * 3305650 )
NEW met1 ( 2442830 3305650 ) M1M2_PR
NEW met1 ( 366390 2213910 ) M1M2_PR
NEW met1 ( 371450 2213910 ) M1M2_PR
NEW met1 ( 371450 3305650 ) M1M2_PR ;
- din0_to_sram\[27\] ( custom_sram d[27] ) ( chip_controller din0_to_sram[27] ) + USE SIGNAL
+ ROUTED met2 ( 1349410 1790610 ) ( * 2223090 )
NEW met2 ( 2538510 1790610 ) ( * 1800300 0 )
NEW met1 ( 369610 2223090 ) ( 1349410 * )
NEW met2 ( 369610 2199460 0 ) ( * 2223090 )
NEW met1 ( 1349410 1790610 ) ( 2538510 * )
NEW met1 ( 1349410 2223090 ) M1M2_PR
NEW met1 ( 1349410 1790610 ) M1M2_PR
NEW met1 ( 2538510 1790610 ) M1M2_PR
NEW met1 ( 369610 2223090 ) M1M2_PR ;
- din0_to_sram\[28\] ( custom_sram d[28] ) ( chip_controller din0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 376050 2199460 0 ) ( 377430 * )
NEW met2 ( 377430 2199460 ) ( * 2224110 )
NEW met2 ( 2630970 1790950 ) ( * 1800300 0 )
NEW met1 ( 377430 2224110 ) ( 1211410 * )
NEW met2 ( 1211410 1790950 ) ( * 2224110 )
NEW met1 ( 1211410 1790950 ) ( 2630970 * )
NEW met1 ( 377430 2224110 ) M1M2_PR
NEW met1 ( 2630970 1790950 ) M1M2_PR
NEW met1 ( 1211410 2224110 ) M1M2_PR
NEW met1 ( 1211410 1790950 ) M1M2_PR ;
- din0_to_sram\[29\] ( custom_sram d[29] ) ( chip_controller din0_to_sram[29] ) + USE SIGNAL
+ ROUTED met1 ( 192970 1959930 ) ( 199870 * )
NEW met3 ( 192970 1987980 ) ( 200100 * 0 )
NEW met2 ( 192970 1959930 ) ( * 1987980 )
NEW met2 ( 2676970 1787550 ) ( * 1800300 0 )
NEW met1 ( 198490 1787550 ) ( 2676970 * )
NEW met2 ( 199870 1849200 ) ( * 1959930 )
NEW met1 ( 198490 1824270 ) ( * 1825290 )
NEW met2 ( 198490 1825290 ) ( * 1843140 )
NEW met2 ( 198490 1843140 ) ( 199410 * )
NEW met2 ( 199410 1843140 ) ( * 1849200 )
NEW met2 ( 199410 1849200 ) ( 199870 * )
NEW met2 ( 198490 1787550 ) ( * 1824270 )
NEW met1 ( 192970 1959930 ) M1M2_PR
NEW met1 ( 199870 1959930 ) M1M2_PR
NEW met1 ( 198490 1787550 ) M1M2_PR
NEW met2 ( 192970 1987980 ) M2M3_PR_M
NEW met1 ( 2676970 1787550 ) M1M2_PR
NEW met1 ( 198490 1824270 ) M1M2_PR
NEW met1 ( 198490 1825290 ) M1M2_PR ;
- din0_to_sram\[2\] ( custom_sram d[2] ) ( chip_controller din0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 199870 1798940 ) ( 200330 * )
NEW met2 ( 200330 1791970 ) ( * 1798940 )
NEW met2 ( 1753750 1791290 ) ( * 1800300 0 )
NEW met1 ( 251850 1791290 ) ( * 1791970 )
NEW met1 ( 200330 1791970 ) ( 251850 * )
NEW met1 ( 251850 1791290 ) ( 1753750 * )
NEW met1 ( 192510 1801150 ) ( 199870 * )
NEW met2 ( 192510 1801150 ) ( * 1817980 )
NEW met3 ( 192510 1817980 ) ( 200100 * 0 )
NEW met2 ( 199870 1798940 ) ( * 1801150 )
NEW met1 ( 200330 1791970 ) M1M2_PR
NEW met1 ( 1753750 1791290 ) M1M2_PR
NEW met1 ( 199870 1801150 ) M1M2_PR
NEW met1 ( 192510 1801150 ) M1M2_PR
NEW met2 ( 192510 1817980 ) M2M3_PR_M ;
- din0_to_sram\[30\] ( custom_sram d[30] ) ( chip_controller din0_to_sram[30] ) + USE SIGNAL
+ ROUTED met2 ( 2557370 3299700 0 ) ( * 3307010 )
NEW met1 ( 619850 3307010 ) ( 2557370 * )
NEW met1 ( 608350 1998010 ) ( 619850 * )
NEW met2 ( 619850 1998010 ) ( * 3307010 )
NEW met2 ( 608350 1994100 ) ( * 1998010 )
NEW met3 ( 599380 1994100 0 ) ( 608350 * )
NEW met1 ( 608350 1998010 ) M1M2_PR
NEW met1 ( 619850 3307010 ) M1M2_PR
NEW met1 ( 2557370 3307010 ) M1M2_PR
NEW met1 ( 619850 1998010 ) M1M2_PR
NEW met2 ( 608350 1994100 ) M2M3_PR_M ;
- din0_to_sram\[31\] ( custom_sram d[31] ) ( chip_controller din0_to_sram[31] ) + USE SIGNAL
+ ROUTED met2 ( 374670 1794350 ) ( * 1800300 0 )
NEW met2 ( 2705030 1794350 ) ( * 2256300 )
NEW met2 ( 2705030 2256300 ) ( 2705490 * )
NEW met2 ( 2705030 2401200 ) ( 2705490 * )
NEW met2 ( 2705490 2256300 ) ( * 2401200 )
NEW met2 ( 2705030 2401200 ) ( * 2546100 )
NEW met2 ( 2705030 2546100 ) ( 2705490 * )
NEW met2 ( 2705030 2691000 ) ( 2705490 * )
NEW met2 ( 2705490 2546100 ) ( * 2691000 )
NEW met3 ( 2699740 3262300 0 ) ( 2705030 * )
NEW met2 ( 2705030 2691000 ) ( * 3262300 )
NEW met1 ( 374670 1794350 ) ( 2705030 * )
NEW met1 ( 374670 1794350 ) M1M2_PR
NEW met1 ( 2705030 1794350 ) M1M2_PR
NEW met2 ( 2705030 3262300 ) M2M3_PR_M ;
- din0_to_sram\[3\] ( custom_sram d[3] ) ( chip_controller din0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 1246370 1807610 ) ( * 1835490 )
NEW met3 ( 2699740 1912500 0 ) ( 2714690 * )
NEW met2 ( 2714690 1807610 ) ( * 1912500 )
NEW met1 ( 1246370 1807610 ) ( 2714690 * )
NEW met3 ( 599380 1838380 0 ) ( 613870 * )
NEW met2 ( 613870 1835490 ) ( * 1838380 )
NEW met1 ( 613870 1835490 ) ( 1246370 * )
NEW met1 ( 1246370 1835490 ) M1M2_PR
NEW met1 ( 1246370 1807610 ) M1M2_PR
NEW met1 ( 2714690 1807610 ) M1M2_PR
NEW met2 ( 2714690 1912500 ) M2M3_PR_M
NEW met2 ( 613870 1838380 ) M2M3_PR_M
NEW met1 ( 613870 1835490 ) M1M2_PR ;
- din0_to_sram\[4\] ( custom_sram d[4] ) ( chip_controller din0_to_sram[4] ) + USE SIGNAL
+ ROUTED met1 ( 192510 2225130 ) ( 1500750 * )
NEW met2 ( 1699930 3299700 0 ) ( * 3305310 )
NEW met1 ( 1500750 3305310 ) ( 1699930 * )
NEW met2 ( 1500750 2225130 ) ( * 3305310 )
NEW met3 ( 192510 1836340 ) ( 200100 * 0 )
NEW met2 ( 192510 1836340 ) ( * 2225130 )
NEW met1 ( 192510 2225130 ) M1M2_PR
NEW met1 ( 1500750 2225130 ) M1M2_PR
NEW met1 ( 1500750 3305310 ) M1M2_PR
NEW met1 ( 1699930 3305310 ) M1M2_PR
NEW met2 ( 192510 1836340 ) M2M3_PR_M ;
- din0_to_sram\[5\] ( custom_sram d[5] ) ( chip_controller din0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1851980 0 ) ( 607430 * )
NEW met2 ( 607430 1851980 ) ( * 1854190 )
NEW met2 ( 1756970 3299700 0 ) ( * 3309730 )
NEW met1 ( 607430 1854190 ) ( 618010 * )
NEW met1 ( 618010 3309730 ) ( 1756970 * )
NEW met2 ( 618010 1854190 ) ( * 3309730 )
NEW met2 ( 607430 1851980 ) M2M3_PR_M
NEW met1 ( 607430 1854190 ) M1M2_PR
NEW met1 ( 1756970 3309730 ) M1M2_PR
NEW met1 ( 618010 1854190 ) M1M2_PR
NEW met1 ( 618010 3309730 ) M1M2_PR ;
- din0_to_sram\[6\] ( custom_sram d[6] ) ( chip_controller din0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 2697670 2059380 ) ( 2697900 * )
NEW met3 ( 2697900 2059380 ) ( * 2062100 0 )
NEW met2 ( 572930 2204730 ) ( * 2213230 )
NEW met2 ( 1349870 2180250 ) ( * 2204730 )
NEW met1 ( 1349870 2180250 ) ( 1362750 * )
NEW met2 ( 1362750 2012630 ) ( * 2180250 )
NEW met2 ( 1466710 1824950 ) ( * 1921510 )
NEW met2 ( 2697670 1808290 ) ( * 2059380 )
NEW met1 ( 236210 2213230 ) ( 572930 * )
NEW met2 ( 1391270 1956700 ) ( 1391730 * )
NEW met2 ( 1391730 1943950 ) ( * 1956700 )
NEW met1 ( 1391730 1943950 ) ( 1421630 * )
NEW met2 ( 1421630 1921510 ) ( * 1943950 )
NEW met1 ( 1421630 1921510 ) ( 1466710 * )
NEW met1 ( 1466710 1824950 ) ( 1503970 * )
NEW met2 ( 236210 2199460 0 ) ( * 2213230 )
NEW met1 ( 572930 2204730 ) ( 1349870 * )
NEW met1 ( 1362750 2012630 ) ( 1391270 * )
NEW met2 ( 1391270 1956700 ) ( * 2012630 )
NEW met2 ( 1503970 1808290 ) ( * 1824950 )
NEW met1 ( 1503970 1808290 ) ( 2697670 * )
NEW met1 ( 572930 2213230 ) M1M2_PR
NEW met1 ( 1466710 1824950 ) M1M2_PR
NEW met1 ( 1466710 1921510 ) M1M2_PR
NEW met2 ( 2697670 2059380 ) M2M3_PR_M
NEW met1 ( 572930 2204730 ) M1M2_PR
NEW met1 ( 1362750 2012630 ) M1M2_PR
NEW met1 ( 1349870 2204730 ) M1M2_PR
NEW met1 ( 1349870 2180250 ) M1M2_PR
NEW met1 ( 1362750 2180250 ) M1M2_PR
NEW met1 ( 2697670 1808290 ) M1M2_PR
NEW met1 ( 236210 2213230 ) M1M2_PR
NEW met1 ( 1391730 1943950 ) M1M2_PR
NEW met1 ( 1421630 1943950 ) M1M2_PR
NEW met1 ( 1421630 1921510 ) M1M2_PR
NEW met1 ( 1503970 1824950 ) M1M2_PR
NEW met1 ( 1391270 2012630 ) M1M2_PR
NEW met1 ( 1503970 1808290 ) M1M2_PR ;
- din0_to_sram\[7\] ( custom_sram d[7] ) ( chip_controller din0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 2698590 2210340 ) ( 2698820 * )
NEW met3 ( 2698820 2210340 ) ( * 2212380 0 )
NEW met2 ( 2698590 1794010 ) ( * 2210340 )
NEW met2 ( 247710 1794010 ) ( * 1800300 0 )
NEW met1 ( 247710 1794010 ) ( 2698590 * )
NEW met2 ( 2698590 2210340 ) M2M3_PR_M
NEW met1 ( 2698590 1794010 ) M1M2_PR
NEW met1 ( 247710 1794010 ) M1M2_PR ;
- din0_to_sram\[8\] ( custom_sram d[8] ) ( chip_controller din0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 498410 2201500 ) ( 513820 * )
NEW met2 ( 498410 2201500 ) ( * 2210850 )
NEW met4 ( 513820 1796220 ) ( * 2201500 )
NEW li1 ( 2696750 1804550 ) ( * 1811010 )
NEW met1 ( 2696750 2194530 ) ( 2711930 * )
NEW met2 ( 2696750 1811010 ) ( * 2194530 )
NEW met3 ( 2699740 2287180 0 ) ( 2711930 * )
NEW met2 ( 2711930 2194530 ) ( * 2287180 )
NEW met1 ( 259210 2210850 ) ( 498410 * )
NEW met2 ( 259210 2199460 0 ) ( * 2210850 )
NEW met3 ( 513820 1796220 ) ( 600070 * )
NEW met2 ( 600070 1796220 ) ( * 1804550 )
NEW met1 ( 600070 1804550 ) ( 2696750 * )
NEW met1 ( 498410 2210850 ) M1M2_PR
NEW met3 ( 513820 1796220 ) M3M4_PR_M
NEW met2 ( 498410 2201500 ) M2M3_PR_M
NEW met3 ( 513820 2201500 ) M3M4_PR_M
NEW met2 ( 600070 1796220 ) M2M3_PR_M
NEW li1 ( 2696750 1804550 ) L1M1_PR_MR
NEW li1 ( 2696750 1811010 ) L1M1_PR_MR
NEW met1 ( 2696750 1811010 ) M1M2_PR
NEW met1 ( 2696750 2194530 ) M1M2_PR
NEW met1 ( 2711930 2194530 ) M1M2_PR
NEW met2 ( 2711930 2287180 ) M2M3_PR_M
NEW met1 ( 259210 2210850 ) M1M2_PR
NEW met1 ( 600070 1804550 ) M1M2_PR
NEW met1 ( 2696750 1811010 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[9\] ( custom_sram d[9] ) ( chip_controller din0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1871700 0 ) ( 607890 * )
NEW met2 ( 607890 1871700 ) ( * 1876630 )
NEW met2 ( 1985590 3299700 0 ) ( * 3309390 )
NEW met1 ( 618470 3309390 ) ( 1985590 * )
NEW met1 ( 607890 1876630 ) ( 618470 * )
NEW met2 ( 618470 1876630 ) ( * 3309390 )
NEW met2 ( 607890 1871700 ) M2M3_PR_M
NEW met1 ( 607890 1876630 ) M1M2_PR
NEW met1 ( 618470 3309390 ) M1M2_PR
NEW met1 ( 1985590 3309390 ) M1M2_PR
NEW met1 ( 618470 1876630 ) M1M2_PR ;
- dout0_to_sram\[0\] ( custom_sram q[0] ) ( chip_controller dout0_to_sram[0] ) + USE SIGNAL
+ ROUTED met2 ( 1528810 3299700 0 ) ( * 3310070 )
NEW met1 ( 617550 3310070 ) ( 1528810 * )
NEW met3 ( 599380 1822060 0 ) ( 607430 * )
NEW met2 ( 607430 1822060 ) ( * 1826310 )
NEW met1 ( 607430 1826310 ) ( 617550 * )
NEW met2 ( 617550 1826310 ) ( * 3310070 )
NEW met1 ( 617550 3310070 ) M1M2_PR
NEW met1 ( 1528810 3310070 ) M1M2_PR
NEW met2 ( 607430 1822060 ) M2M3_PR_M
NEW met1 ( 607430 1826310 ) M1M2_PR
NEW met1 ( 617550 1826310 ) M1M2_PR ;
- dout0_to_sram\[10\] ( custom_sram q[10] ) ( chip_controller dout0_to_sram[10] ) + USE SIGNAL
+ ROUTED met2 ( 593630 1784830 ) ( * 1790270 )
NEW met2 ( 1938670 1790270 ) ( * 1800300 0 )
NEW met2 ( 261970 1784830 ) ( * 1800300 )
NEW met2 ( 261510 1800300 0 ) ( 261970 * )
NEW met1 ( 261970 1784830 ) ( 593630 * )
NEW met1 ( 593630 1790270 ) ( 1938670 * )
NEW met1 ( 593630 1784830 ) M1M2_PR
NEW met1 ( 593630 1790270 ) M1M2_PR
NEW met1 ( 1938670 1790270 ) M1M2_PR
NEW met1 ( 261970 1784830 ) M1M2_PR ;
- dout0_to_sram\[11\] ( custom_sram q[11] ) ( chip_controller dout0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 286810 2199460 0 ) ( 287730 * )
NEW met2 ( 287730 2199460 ) ( * 2223770 )
NEW met1 ( 287730 2223770 ) ( 1142410 * )
NEW met2 ( 1142410 1788230 ) ( * 2223770 )
NEW met2 ( 1984670 1788230 ) ( * 1800300 0 )
NEW met1 ( 1142410 1788230 ) ( 1984670 * )
NEW met1 ( 287730 2223770 ) M1M2_PR
NEW met1 ( 1142410 2223770 ) M1M2_PR
NEW met1 ( 1142410 1788230 ) M1M2_PR
NEW met1 ( 1984670 1788230 ) M1M2_PR ;
- dout0_to_sram\[12\] ( custom_sram q[12] ) ( chip_controller dout0_to_sram[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1889380 0 ) ( 608810 * )
NEW met2 ( 608810 1883770 ) ( * 1889380 )
NEW met2 ( 1191170 1803870 ) ( * 1883770 )
NEW met2 ( 2028830 1803700 ) ( 2030670 * 0 )
NEW met2 ( 2028830 1803530 ) ( * 1803700 )
NEW met1 ( 608810 1883770 ) ( 1191170 * )
NEW met1 ( 1191170 1803870 ) ( 1966500 * )
NEW met1 ( 1966500 1803530 ) ( * 1803870 )
NEW met1 ( 1966500 1803530 ) ( 2028830 * )
NEW met2 ( 608810 1889380 ) M2M3_PR_M
NEW met1 ( 608810 1883770 ) M1M2_PR
NEW met1 ( 1191170 1803870 ) M1M2_PR
NEW met1 ( 1191170 1883770 ) M1M2_PR
NEW met1 ( 2028830 1803530 ) M1M2_PR ;
- dout0_to_sram\[13\] ( custom_sram q[13] ) ( chip_controller dout0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 2199460 0 ) ( * 2223430 )
NEW met2 ( 1163110 1788910 ) ( * 2223430 )
NEW met2 ( 2123130 1788910 ) ( * 1800300 0 )
NEW met1 ( 298310 2223430 ) ( 1163110 * )
NEW met1 ( 1163110 1788910 ) ( 2123130 * )
NEW met1 ( 298310 2223430 ) M1M2_PR
NEW met1 ( 1163110 2223430 ) M1M2_PR
NEW met1 ( 1163110 1788910 ) M1M2_PR
NEW met1 ( 2123130 1788910 ) M1M2_PR ;
- dout0_to_sram\[14\] ( custom_sram q[14] ) ( chip_controller dout0_to_sram[14] ) + USE SIGNAL
+ ROUTED met1 ( 304750 2214590 ) ( 310270 * )
NEW met2 ( 304750 2199460 0 ) ( * 2214590 )
NEW met2 ( 310270 2214590 ) ( * 2760290 )
NEW met2 ( 1490170 2760290 ) ( * 2763860 )
NEW met3 ( 1490170 2763860 ) ( 1500060 * 0 )
NEW met1 ( 310270 2760290 ) ( 1490170 * )
NEW met1 ( 304750 2214590 ) M1M2_PR
NEW met1 ( 310270 2214590 ) M1M2_PR
NEW met1 ( 310270 2760290 ) M1M2_PR
NEW met1 ( 1490170 2760290 ) M1M2_PR
NEW met2 ( 1490170 2763860 ) M2M3_PR_M ;
- dout0_to_sram\[15\] ( custom_sram q[15] ) ( chip_controller dout0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 2043090 3299700 0 ) ( * 3307690 )
NEW met3 ( 195270 1901620 ) ( 200100 * 0 )
NEW met2 ( 195270 1901620 ) ( * 3307690 )
NEW met1 ( 195270 3307690 ) ( 2043090 * )
NEW met1 ( 195270 3307690 ) M1M2_PR
NEW met1 ( 2043090 3307690 ) M1M2_PR
NEW met2 ( 195270 1901620 ) M2M3_PR_M ;
- dout0_to_sram\[16\] ( custom_sram q[16] ) ( chip_controller dout0_to_sram[16] ) + USE SIGNAL
+ ROUTED met2 ( 2157170 3299700 0 ) ( * 3306670 )
NEW met3 ( 195730 1907060 ) ( 200100 * 0 )
NEW met2 ( 195730 1907060 ) ( * 3306670 )
NEW met1 ( 195730 3306670 ) ( 2157170 * )
NEW met1 ( 195730 3306670 ) M1M2_PR
NEW met1 ( 2157170 3306670 ) M1M2_PR
NEW met2 ( 195730 1907060 ) M2M3_PR_M ;
- dout0_to_sram\[17\] ( custom_sram q[17] ) ( chip_controller dout0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 322690 2199460 0 ) ( 323610 * )
NEW met2 ( 323610 2199460 ) ( * 2905130 )
NEW met2 ( 1487870 2905130 ) ( * 2906660 )
NEW met3 ( 1487870 2906660 ) ( 1500060 * 0 )
NEW met1 ( 323610 2905130 ) ( 1487870 * )
NEW met1 ( 323610 2905130 ) M1M2_PR
NEW met1 ( 1487870 2905130 ) M1M2_PR
NEW met2 ( 1487870 2906660 ) M2M3_PR_M ;
- dout0_to_sram\[18\] ( custom_sram q[18] ) ( chip_controller dout0_to_sram[18] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1918450 ) ( * 1920660 )
NEW met3 ( 188370 1920660 ) ( 200100 * 0 )
NEW met3 ( 2699740 2812140 0 ) ( 2713310 * )
NEW met2 ( 2713310 1800810 ) ( * 2812140 )
NEW met1 ( 178710 1918450 ) ( 188370 * )
NEW met1 ( 178710 1800810 ) ( 2713310 * )
NEW met2 ( 178710 1800810 ) ( * 1918450 )
NEW met1 ( 188370 1918450 ) M1M2_PR
NEW met2 ( 188370 1920660 ) M2M3_PR_M
NEW met2 ( 2713310 2812140 ) M2M3_PR_M
NEW met1 ( 2713310 1800810 ) M1M2_PR
NEW met1 ( 178710 1918450 ) M1M2_PR
NEW met1 ( 178710 1800810 ) M1M2_PR ;
- dout0_to_sram\[19\] ( custom_sram q[19] ) ( chip_controller dout0_to_sram[19] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1925250 ) ( * 1929500 )
NEW met3 ( 188370 1929500 ) ( 200100 * 0 )
NEW met1 ( 155250 1925250 ) ( 188370 * )
NEW met2 ( 155250 1792990 ) ( * 1925250 )
NEW met2 ( 2354050 1792990 ) ( * 1800300 0 )
NEW met1 ( 155250 1792990 ) ( 2354050 * )
NEW met1 ( 188370 1925250 ) M1M2_PR
NEW met2 ( 188370 1929500 ) M2M3_PR_M
NEW met1 ( 155250 1925250 ) M1M2_PR
NEW met1 ( 155250 1792990 ) M1M2_PR
NEW met1 ( 2354050 1792990 ) M1M2_PR ;
- dout0_to_sram\[1\] ( custom_sram q[1] ) ( chip_controller dout0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 646070 1828350 ) ( * 1904510 )
NEW met2 ( 1490170 1904510 ) ( * 1907060 )
NEW met3 ( 1490170 1907060 ) ( 1500060 * 0 )
NEW met1 ( 646070 1904510 ) ( 1490170 * )
NEW met3 ( 599380 1827500 0 ) ( 613870 * )
NEW met2 ( 613870 1827500 ) ( * 1828350 )
NEW met1 ( 613870 1828350 ) ( 646070 * )
NEW met1 ( 646070 1828350 ) M1M2_PR
NEW met1 ( 646070 1904510 ) M1M2_PR
NEW met1 ( 1490170 1904510 ) M1M2_PR
NEW met2 ( 1490170 1907060 ) M2M3_PR_M
NEW met2 ( 613870 1827500 ) M2M3_PR_M
NEW met1 ( 613870 1828350 ) M1M2_PR ;
- dout0_to_sram\[20\] ( custom_sram q[20] ) ( chip_controller dout0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1939700 0 ) ( 612490 * )
NEW met3 ( 2697900 2885580 ) ( 2698130 * )
NEW met3 ( 2697900 2885580 ) ( * 2887620 0 )
NEW met2 ( 2698130 1810330 ) ( * 2885580 )
NEW met2 ( 612490 1810330 ) ( * 1939700 )
NEW met1 ( 612490 1810330 ) ( 2698130 * )
NEW met2 ( 612490 1939700 ) M2M3_PR_M
NEW met2 ( 2698130 2885580 ) M2M3_PR_M
NEW met1 ( 2698130 1810330 ) M1M2_PR
NEW met1 ( 612490 1810330 ) M1M2_PR ;
- dout0_to_sram\[21\] ( custom_sram q[21] ) ( chip_controller dout0_to_sram[21] ) + USE SIGNAL
+ ROUTED met2 ( 956110 1809650 ) ( * 1946670 )
NEW met3 ( 2699740 2962420 0 ) ( 2712850 * )
NEW met2 ( 2712850 1809650 ) ( * 2962420 )
NEW met1 ( 956110 1809650 ) ( 2712850 * )
NEW met3 ( 599380 1945820 0 ) ( 613870 * )
NEW met2 ( 613870 1945820 ) ( * 1946670 )
NEW met1 ( 613870 1946670 ) ( 956110 * )
NEW met1 ( 956110 1946670 ) M1M2_PR
NEW met1 ( 956110 1809650 ) M1M2_PR
NEW met1 ( 2712850 1809650 ) M1M2_PR
NEW met2 ( 2712850 2962420 ) M2M3_PR_M
NEW met2 ( 613870 1945820 ) M2M3_PR_M
NEW met1 ( 613870 1946670 ) M1M2_PR ;
- dout0_to_sram\[22\] ( custom_sram q[22] ) ( chip_controller dout0_to_sram[22] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1951940 ) ( 200100 * 0 )
NEW met2 ( 193430 1951940 ) ( * 3118990 )
NEW met2 ( 1490170 3118990 ) ( * 3120860 )
NEW met3 ( 1490170 3120860 ) ( 1500060 * 0 )
NEW met1 ( 193430 3118990 ) ( 1490170 * )
NEW met2 ( 193430 1951940 ) M2M3_PR_M
NEW met1 ( 193430 3118990 ) M1M2_PR
NEW met1 ( 1490170 3118990 ) M1M2_PR
NEW met2 ( 1490170 3120860 ) M2M3_PR_M ;
- dout0_to_sram\[23\] ( custom_sram q[23] ) ( chip_controller dout0_to_sram[23] ) + USE SIGNAL
+ ROUTED met2 ( 1176910 1806250 ) ( * 1952790 )
NEW met2 ( 2444670 1803700 ) ( 2446050 * 0 )
NEW met2 ( 2444670 1803530 ) ( * 1803700 )
NEW li1 ( 2444670 1803530 ) ( * 1806250 )
NEW met1 ( 1176910 1806250 ) ( 2444670 * )
NEW met3 ( 599380 1956020 0 ) ( 613870 * )
NEW met2 ( 613870 1952790 ) ( * 1956020 )
NEW met1 ( 613870 1952790 ) ( 1176910 * )
NEW met1 ( 1176910 1952790 ) M1M2_PR
NEW met1 ( 1176910 1806250 ) M1M2_PR
NEW li1 ( 2444670 1803530 ) L1M1_PR_MR
NEW met1 ( 2444670 1803530 ) M1M2_PR
NEW li1 ( 2444670 1806250 ) L1M1_PR_MR
NEW met2 ( 613870 1956020 ) M2M3_PR_M
NEW met1 ( 613870 1952790 ) M1M2_PR
NEW met1 ( 2444670 1803530 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[24\] ( custom_sram q[24] ) ( chip_controller dout0_to_sram[24] ) + USE SIGNAL
+ ROUTED met3 ( 192970 1959420 ) ( 193660 * )
NEW met3 ( 193660 1959420 ) ( * 1960100 )
NEW met3 ( 193660 1960100 ) ( 200100 * 0 )
NEW met3 ( 2699740 3112700 0 ) ( 2715150 * )
NEW met2 ( 2715150 1800470 ) ( * 3112700 )
NEW met1 ( 192970 1800470 ) ( 2715150 * )
NEW met2 ( 192970 1800470 ) ( * 1959420 )
NEW met2 ( 192970 1959420 ) M2M3_PR_M
NEW met2 ( 2715150 3112700 ) M2M3_PR_M
NEW met1 ( 192970 1800470 ) M1M2_PR
NEW met1 ( 2715150 1800470 ) M1M2_PR ;
- dout0_to_sram\[25\] ( custom_sram q[25] ) ( chip_controller dout0_to_sram[25] ) + USE SIGNAL
+ ROUTED met3 ( 185150 1965540 ) ( 200100 * 0 )
NEW met3 ( 2699740 3187500 0 ) ( 2711930 * )
NEW met2 ( 185150 1965540 ) ( * 3288310 )
NEW met2 ( 2711930 3187500 ) ( * 3288310 )
NEW met1 ( 185150 3288310 ) ( 2711930 * )
NEW met2 ( 185150 1965540 ) M2M3_PR_M
NEW met1 ( 185150 3288310 ) M1M2_PR
NEW met2 ( 2711930 3187500 ) M2M3_PR_M
NEW met1 ( 2711930 3288310 ) M1M2_PR ;
- dout0_to_sram\[26\] ( custom_sram q[26] ) ( chip_controller dout0_to_sram[26] ) + USE SIGNAL
+ ROUTED met1 ( 368230 2214250 ) ( 371910 * )
NEW met2 ( 1490170 3188010 ) ( * 3192260 )
NEW met3 ( 1490170 3192260 ) ( 1500060 * 0 )
NEW met1 ( 371910 3188010 ) ( 1490170 * )
NEW met2 ( 368230 2199460 0 ) ( * 2214250 )
NEW met2 ( 371910 2214250 ) ( * 3188010 )
NEW met1 ( 368230 2214250 ) M1M2_PR
NEW met1 ( 371910 2214250 ) M1M2_PR
NEW met1 ( 371910 3188010 ) M1M2_PR
NEW met1 ( 1490170 3188010 ) M1M2_PR
NEW met2 ( 1490170 3192260 ) M2M3_PR_M ;
- dout0_to_sram\[27\] ( custom_sram q[27] ) ( chip_controller dout0_to_sram[27] ) + USE SIGNAL
+ ROUTED met2 ( 186990 1973530 ) ( * 1977100 )
NEW met3 ( 186990 1977100 ) ( 200100 * 0 )
NEW met2 ( 135470 1793330 ) ( * 1973530 )
NEW met1 ( 135470 1973530 ) ( 186990 * )
NEW met2 ( 2584510 1793330 ) ( * 1800300 0 )
NEW met1 ( 135470 1793330 ) ( 2584510 * )
NEW met1 ( 186990 1973530 ) M1M2_PR
NEW met2 ( 186990 1977100 ) M2M3_PR_M
NEW met1 ( 135470 1793330 ) M1M2_PR
NEW met1 ( 135470 1973530 ) M1M2_PR
NEW met1 ( 2584510 1793330 ) M1M2_PR ;
- dout0_to_sram\[28\] ( custom_sram q[28] ) ( chip_controller dout0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 2500330 3299700 0 ) ( * 3310410 )
NEW met2 ( 377890 2199460 0 ) ( 379270 * )
NEW met2 ( 379270 2199460 ) ( * 3310410 )
NEW met1 ( 379270 3310410 ) ( 2500330 * )
NEW met1 ( 379270 3310410 ) M1M2_PR
NEW met1 ( 2500330 3310410 ) M1M2_PR ;
- dout0_to_sram\[29\] ( custom_sram q[29] ) ( chip_controller dout0_to_sram[29] ) + USE SIGNAL
+ ROUTED met2 ( 646070 1993930 ) ( * 3257030 )
NEW met2 ( 1486950 3257030 ) ( * 3263660 )
NEW met3 ( 1486950 3263660 ) ( 1500060 * 0 )
NEW met1 ( 646070 3257030 ) ( 1486950 * )
NEW met3 ( 599380 1989340 0 ) ( 609730 * )
NEW met2 ( 609730 1989340 ) ( * 1993930 )
NEW met1 ( 609730 1993930 ) ( 646070 * )
NEW met1 ( 646070 1993930 ) M1M2_PR
NEW met1 ( 646070 3257030 ) M1M2_PR
NEW met1 ( 1486950 3257030 ) M1M2_PR
NEW met2 ( 1486950 3263660 ) M2M3_PR_M
NEW met2 ( 609730 1989340 ) M2M3_PR_M
NEW met1 ( 609730 1993930 ) M1M2_PR ;
- dout0_to_sram\[2\] ( custom_sram q[2] ) ( chip_controller dout0_to_sram[2] ) + USE SIGNAL
+ ROUTED met3 ( 199180 1799620 ) ( 200790 * )
NEW met2 ( 200790 1791630 ) ( * 1799620 )
NEW li1 ( 251390 1791630 ) ( * 1791970 )
NEW li1 ( 251390 1791970 ) ( 252310 * )
NEW met1 ( 252310 1791970 ) ( 276000 * )
NEW met1 ( 276000 1791630 ) ( * 1791970 )
NEW met1 ( 200790 1791630 ) ( 251390 * )
NEW met2 ( 1800210 1791630 ) ( * 1800300 0 )
NEW met1 ( 276000 1791630 ) ( 1800210 * )
NEW met3 ( 199180 1819340 ) ( 200100 * 0 )
NEW met4 ( 199180 1799620 ) ( * 1819340 )
NEW met3 ( 199180 1799620 ) M3M4_PR_M
NEW met2 ( 200790 1799620 ) M2M3_PR_M
NEW met1 ( 200790 1791630 ) M1M2_PR
NEW li1 ( 251390 1791630 ) L1M1_PR_MR
NEW li1 ( 252310 1791970 ) L1M1_PR_MR
NEW met1 ( 1800210 1791630 ) M1M2_PR
NEW met3 ( 199180 1819340 ) M3M4_PR_M ;
- dout0_to_sram\[30\] ( custom_sram q[30] ) ( chip_controller dout0_to_sram[30] ) + USE SIGNAL
+ ROUTED met2 ( 2614410 3299700 0 ) ( * 3306330 )
NEW met3 ( 599380 1995460 0 ) ( 608810 * )
NEW met2 ( 608810 1995460 ) ( * 2000050 )
NEW met1 ( 620770 3306330 ) ( 2614410 * )
NEW met1 ( 608810 2000050 ) ( 620770 * )
NEW met2 ( 620770 2000050 ) ( * 3306330 )
NEW met1 ( 2614410 3306330 ) M1M2_PR
NEW met2 ( 608810 1995460 ) M2M3_PR_M
NEW met1 ( 608810 2000050 ) M1M2_PR
NEW met1 ( 620770 3306330 ) M1M2_PR
NEW met1 ( 620770 2000050 ) M1M2_PR ;
- dout0_to_sram\[31\] ( custom_sram q[31] ) ( chip_controller dout0_to_sram[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1999540 0 ) ( 607430 * )
NEW met2 ( 607430 1999540 ) ( * 1999710 )
NEW met2 ( 2671450 3299700 0 ) ( * 3305990 )
NEW met1 ( 620310 3305990 ) ( 2671450 * )
NEW met1 ( 607430 1999710 ) ( 620310 * )
NEW met2 ( 620310 1999710 ) ( * 3305990 )
NEW met2 ( 607430 1999540 ) M2M3_PR_M
NEW met1 ( 607430 1999710 ) M1M2_PR
NEW met1 ( 620310 3305990 ) M1M2_PR
NEW met1 ( 2671450 3305990 ) M1M2_PR
NEW met1 ( 620310 1999710 ) M1M2_PR ;
- dout0_to_sram\[3\] ( custom_sram q[3] ) ( chip_controller dout0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 221490 2199460 0 ) ( * 2213570 )
NEW li1 ( 541190 2213570 ) ( * 2214930 )
NEW li1 ( 541190 2214930 ) ( 542110 * )
NEW li1 ( 542110 2214590 ) ( * 2214930 )
NEW met1 ( 542110 2214590 ) ( 545790 * )
NEW met1 ( 221490 2213570 ) ( 541190 * )
NEW met3 ( 1486950 2049860 ) ( 1500060 * 0 )
NEW li1 ( 545790 2197930 ) ( * 2199630 )
NEW met2 ( 545790 2199630 ) ( * 2214590 )
NEW met1 ( 545790 2197930 ) ( 1486950 * )
NEW met2 ( 1486950 2049860 ) ( * 2197930 )
NEW met1 ( 221490 2213570 ) M1M2_PR
NEW li1 ( 541190 2213570 ) L1M1_PR_MR
NEW li1 ( 542110 2214590 ) L1M1_PR_MR
NEW met1 ( 545790 2214590 ) M1M2_PR
NEW met2 ( 1486950 2049860 ) M2M3_PR_M
NEW li1 ( 545790 2199630 ) L1M1_PR_MR
NEW met1 ( 545790 2199630 ) M1M2_PR
NEW li1 ( 545790 2197930 ) L1M1_PR_MR
NEW met1 ( 1486950 2197930 ) M1M2_PR
NEW met1 ( 545790 2199630 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[4\] ( custom_sram q[4] ) ( chip_controller dout0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 1844830 1803700 ) ( 1846210 * 0 )
NEW met2 ( 1844830 1803530 ) ( * 1803700 )
NEW li1 ( 1844830 1803530 ) ( * 1806590 )
NEW met3 ( 599380 1845860 0 ) ( 613410 * )
NEW met2 ( 613410 1806590 ) ( * 1845860 )
NEW met1 ( 613410 1806590 ) ( 1844830 * )
NEW li1 ( 1844830 1803530 ) L1M1_PR_MR
NEW met1 ( 1844830 1803530 ) M1M2_PR
NEW li1 ( 1844830 1806590 ) L1M1_PR_MR
NEW met2 ( 613410 1845860 ) M2M3_PR_M
NEW met1 ( 613410 1806590 ) M1M2_PR
NEW met1 ( 1844830 1803530 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[5\] ( custom_sram q[5] ) ( chip_controller dout0_to_sram[5] ) + USE SIGNAL
+ ROUTED li1 ( 1812630 3291710 ) ( * 3296470 )
NEW met2 ( 1812630 3296300 ) ( * 3296470 )
NEW met2 ( 1812630 3296300 ) ( 1814470 * 0 )
NEW met1 ( 194350 3291710 ) ( 1812630 * )
NEW met3 ( 194350 1847220 ) ( 200100 * 0 )
NEW met2 ( 194350 1847220 ) ( * 3291710 )
NEW met1 ( 194350 3291710 ) M1M2_PR
NEW li1 ( 1812630 3291710 ) L1M1_PR_MR
NEW li1 ( 1812630 3296470 ) L1M1_PR_MR
NEW met1 ( 1812630 3296470 ) M1M2_PR
NEW met2 ( 194350 1847220 ) M2M3_PR_M
NEW met1 ( 1812630 3296470 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[6\] ( custom_sram q[6] ) ( chip_controller dout0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1860820 0 ) ( 607430 * )
NEW met2 ( 607430 1860820 ) ( * 1862690 )
NEW met1 ( 607430 1862690 ) ( 652970 * )
NEW met2 ( 652970 1862690 ) ( * 2263210 )
NEW met2 ( 1490170 2263210 ) ( * 2264060 )
NEW met3 ( 1490170 2264060 ) ( 1500060 * 0 )
NEW met1 ( 652970 2263210 ) ( 1490170 * )
NEW met2 ( 607430 1860820 ) M2M3_PR_M
NEW met1 ( 607430 1862690 ) M1M2_PR
NEW met1 ( 652970 1862690 ) M1M2_PR
NEW met1 ( 652970 2263210 ) M1M2_PR
NEW met1 ( 1490170 2263210 ) M1M2_PR
NEW met2 ( 1490170 2264060 ) M2M3_PR_M ;
- dout0_to_sram\[7\] ( custom_sram q[7] ) ( chip_controller dout0_to_sram[7] ) + USE SIGNAL
+ ROUTED met2 ( 1871510 3299700 0 ) ( * 3309050 )
NEW met1 ( 248170 3309050 ) ( 1871510 * )
NEW met2 ( 247710 2199460 0 ) ( 248170 * )
NEW met2 ( 248170 2199460 ) ( * 3309050 )
NEW met1 ( 248170 3309050 ) M1M2_PR
NEW met1 ( 1871510 3309050 ) M1M2_PR ;
- dout0_to_sram\[8\] ( custom_sram q[8] ) ( chip_controller dout0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 194810 1860820 ) ( 200100 * 0 )
NEW li1 ( 1926710 3291370 ) ( * 3296470 )
NEW met2 ( 1926710 3296300 ) ( * 3296470 )
NEW met2 ( 1926710 3296300 ) ( 1928550 * 0 )
NEW met2 ( 194810 1860820 ) ( * 3291370 )
NEW met1 ( 194810 3291370 ) ( 1926710 * )
NEW met2 ( 194810 1860820 ) M2M3_PR_M
NEW met1 ( 194810 3291370 ) M1M2_PR
NEW li1 ( 1926710 3291370 ) L1M1_PR_MR
NEW li1 ( 1926710 3296470 ) L1M1_PR_MR
NEW met1 ( 1926710 3296470 ) M1M2_PR
NEW met1 ( 1926710 3296470 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[9\] ( custom_sram q[9] ) ( chip_controller dout0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1873060 0 ) ( 610190 * )
NEW met2 ( 1890830 1803700 ) ( 1892210 * 0 )
NEW met2 ( 1890830 1803530 ) ( * 1803700 )
NEW li1 ( 1890830 1803530 ) ( * 1805910 )
NEW met2 ( 610190 1849200 ) ( * 1873060 )
NEW met2 ( 609730 1849200 ) ( 610190 * )
NEW met2 ( 609730 1805910 ) ( * 1849200 )
NEW met1 ( 609730 1805910 ) ( 1890830 * )
NEW met2 ( 610190 1873060 ) M2M3_PR_M
NEW li1 ( 1890830 1803530 ) L1M1_PR_MR
NEW met1 ( 1890830 1803530 ) M1M2_PR
NEW li1 ( 1890830 1805910 ) L1M1_PR_MR
NEW met1 ( 609730 1805910 ) M1M2_PR
NEW met1 ( 1890830 1803530 ) RECT ( -355 -70 0 70 ) ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- is_loading_memory_into_core ( chip_controller is_loading_memory_into_core ) + USE SIGNAL ;
- is_mem_ready ( chip_controller ready ) + USE SIGNAL ;
- is_mem_req ( chip_controller requested ) + USE SIGNAL ;
- is_ready_dataout_core0 ( chip_controller is_ready_dataout_core0 ) + USE SIGNAL ;
- is_ready_print_core0 ( chip_controller is_ready_print_core0 ) + USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) ( chip_controller la_data_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 207230 2199460 0 ) ( * 2208130 )
NEW met1 ( 207230 2208130 ) ( 227930 * )
NEW met2 ( 227930 2205410 ) ( * 2208130 )
NEW met2 ( 628130 82800 ) ( 629510 * )
NEW met2 ( 629510 2380 0 ) ( * 82800 )
NEW met1 ( 227930 2205410 ) ( 628130 * )
NEW met2 ( 628130 82800 ) ( * 2205410 )
NEW met1 ( 207230 2208130 ) M1M2_PR
NEW met1 ( 227930 2208130 ) M1M2_PR
NEW met1 ( 227930 2205410 ) M1M2_PR
NEW met1 ( 628130 2205410 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) ( chip_controller la_data_in[100] ) + USE SIGNAL
+ ROUTED met2 ( 2402810 2380 0 ) ( * 14790 )
NEW met1 ( 2397750 14790 ) ( 2402810 * )
NEW met2 ( 542570 1785170 ) ( * 1800300 0 )
NEW met1 ( 542570 1785170 ) ( 2397750 * )
NEW met2 ( 2397750 14790 ) ( * 1785170 )
NEW met1 ( 2402810 14790 ) M1M2_PR
NEW met1 ( 2397750 14790 ) M1M2_PR
NEW met1 ( 542570 1785170 ) M1M2_PR
NEW met1 ( 2397750 1785170 ) M1M2_PR ;
- la_data_in[101] ( PIN la_data_in[101] ) ( chip_controller la_data_in[101] ) + USE SIGNAL
+ ROUTED met2 ( 2420290 2380 0 ) ( * 14620 )
NEW met2 ( 1245910 14620 ) ( * 2204220 )
NEW met3 ( 1245910 14620 ) ( 2420290 * )
NEW met2 ( 534290 2199460 0 ) ( * 2204220 )
NEW met3 ( 534290 2204220 ) ( 1245910 * )
NEW met2 ( 1245910 14620 ) M2M3_PR_M
NEW met2 ( 2420290 14620 ) M2M3_PR_M
NEW met2 ( 1245910 2204220 ) M2M3_PR_M
NEW met2 ( 534290 2204220 ) M2M3_PR_M ;
- la_data_in[102] ( PIN la_data_in[102] ) ( chip_controller la_data_in[102] ) + USE SIGNAL
+ ROUTED met2 ( 2438230 2380 0 ) ( * 16830 )
NEW met1 ( 2377050 16830 ) ( 2438230 * )
NEW met2 ( 546710 1785850 ) ( * 1800300 0 )
NEW met1 ( 546710 1785850 ) ( 2377050 * )
NEW met2 ( 2377050 16830 ) ( * 1785850 )
NEW met1 ( 2438230 16830 ) M1M2_PR
NEW met1 ( 2377050 16830 ) M1M2_PR
NEW met1 ( 546710 1785850 ) M1M2_PR
NEW met1 ( 2377050 1785850 ) M1M2_PR ;
- la_data_in[103] ( PIN la_data_in[103] ) ( chip_controller la_data_in[103] ) + USE SIGNAL
+ ROUTED met2 ( 865950 18700 ) ( * 2199290 )
NEW met2 ( 2455710 2380 0 ) ( * 18700 )
NEW met3 ( 865950 18700 ) ( 2455710 * )
NEW met1 ( 539810 2199290 ) ( * 2199630 )
NEW met2 ( 539810 2199460 ) ( * 2199630 )
NEW met2 ( 538890 2199460 0 ) ( 539810 * )
NEW met1 ( 539810 2199290 ) ( 865950 * )
NEW met2 ( 865950 18700 ) M2M3_PR_M
NEW met1 ( 865950 2199290 ) M1M2_PR
NEW met2 ( 2455710 18700 ) M2M3_PR_M
NEW met1 ( 539810 2199630 ) M1M2_PR ;
- la_data_in[104] ( PIN la_data_in[104] ) ( chip_controller la_data_in[104] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2155260 0 ) ( 607430 * )
NEW met2 ( 607430 2153390 ) ( * 2155260 )
NEW met2 ( 1252810 33150 ) ( * 2153390 )
NEW met1 ( 607430 2153390 ) ( 1252810 * )
NEW met2 ( 2473650 2380 0 ) ( * 33150 )
NEW met1 ( 1252810 33150 ) ( 2473650 * )
NEW met2 ( 607430 2155260 ) M2M3_PR_M
NEW met1 ( 607430 2153390 ) M1M2_PR
NEW met1 ( 1252810 33150 ) M1M2_PR
NEW met1 ( 1252810 2153390 ) M1M2_PR
NEW met1 ( 2473650 33150 ) M1M2_PR ;
- la_data_in[105] ( PIN la_data_in[105] ) ( chip_controller la_data_in[105] ) + USE SIGNAL
+ ROUTED met1 ( 180550 2145910 ) ( 190670 * )
NEW met2 ( 190670 2145910 ) ( * 2150500 )
NEW met3 ( 190670 2150500 ) ( 200100 * 0 )
NEW met1 ( 180550 58650 ) ( 2491130 * )
NEW met2 ( 2491130 2380 0 ) ( * 58650 )
NEW met2 ( 180550 58650 ) ( * 2145910 )
NEW met1 ( 180550 2145910 ) M1M2_PR
NEW met1 ( 190670 2145910 ) M1M2_PR
NEW met2 ( 190670 2150500 ) M2M3_PR_M
NEW met1 ( 180550 58650 ) M1M2_PR
NEW met1 ( 2491130 58650 ) M1M2_PR ;
- la_data_in[106] ( PIN la_data_in[106] ) ( chip_controller la_data_in[106] ) + USE SIGNAL
+ ROUTED met2 ( 2509070 2380 0 ) ( * 18020 )
NEW met3 ( 852150 18020 ) ( 2509070 * )
NEW met2 ( 540730 2199460 0 ) ( * 2205580 )
NEW met3 ( 540730 2205580 ) ( 852150 * )
NEW met2 ( 852150 18020 ) ( * 2205580 )
NEW met2 ( 2509070 18020 ) M2M3_PR_M
NEW met2 ( 852150 18020 ) M2M3_PR_M
NEW met2 ( 540730 2205580 ) M2M3_PR_M
NEW met2 ( 852150 2205580 ) M2M3_PR_M ;
- la_data_in[107] ( PIN la_data_in[107] ) ( chip_controller la_data_in[107] ) + USE SIGNAL
+ ROUTED met2 ( 2527010 2380 0 ) ( * 19380 )
NEW met2 ( 1066050 19380 ) ( * 2215780 )
NEW met3 ( 543950 2215780 ) ( 1066050 * )
NEW met3 ( 1066050 19380 ) ( 2527010 * )
NEW met2 ( 543950 2199460 0 ) ( * 2215780 )
NEW met2 ( 1066050 19380 ) M2M3_PR_M
NEW met2 ( 1066050 2215780 ) M2M3_PR_M
NEW met2 ( 2527010 19380 ) M2M3_PR_M
NEW met2 ( 543950 2215780 ) M2M3_PR_M ;
- la_data_in[108] ( PIN la_data_in[108] ) ( chip_controller la_data_in[108] ) + USE SIGNAL
+ ROUTED met3 ( 183310 2159340 ) ( 200100 * 0 )
NEW met2 ( 2539430 82800 ) ( 2544490 * )
NEW met2 ( 2544490 2380 0 ) ( * 82800 )
NEW met2 ( 2539430 82800 ) ( * 1777010 )
NEW met1 ( 183310 1777010 ) ( 2539430 * )
NEW met2 ( 183310 1777010 ) ( * 2159340 )
NEW met2 ( 183310 2159340 ) M2M3_PR_M
NEW met1 ( 183310 1777010 ) M1M2_PR
NEW met1 ( 2539430 1777010 ) M1M2_PR ;
- la_data_in[109] ( PIN la_data_in[109] ) ( chip_controller la_data_in[109] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2171580 0 ) ( 607430 * )
NEW met2 ( 607430 2166650 ) ( * 2171580 )
NEW met2 ( 2562430 2380 0 ) ( * 3060 )
NEW met2 ( 2561510 3060 ) ( 2562430 * )
NEW met2 ( 2561510 2380 ) ( * 3060 )
NEW met2 ( 2560130 2380 ) ( 2561510 * )
NEW met1 ( 607430 2166650 ) ( 734850 * )
NEW met2 ( 734850 65450 ) ( * 2166650 )
NEW met1 ( 734850 65450 ) ( 2560130 * )
NEW met2 ( 2560130 2380 ) ( * 65450 )
NEW met2 ( 607430 2171580 ) M2M3_PR_M
NEW met1 ( 607430 2166650 ) M1M2_PR
NEW met1 ( 734850 65450 ) M1M2_PR
NEW met1 ( 734850 2166650 ) M1M2_PR
NEW met1 ( 2560130 65450 ) M1M2_PR ;
- la_data_in[10] ( PIN la_data_in[10] ) ( chip_controller la_data_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 278530 2199460 0 ) ( * 2209830 )
NEW met2 ( 801090 82800 ) ( 806610 * )
NEW met2 ( 806610 2380 0 ) ( * 82800 )
NEW met2 ( 801090 82800 ) ( * 2207110 )
NEW li1 ( 536590 2208470 ) ( * 2209830 )
NEW met1 ( 536590 2208470 ) ( 542570 * )
NEW met1 ( 542570 2208470 ) ( * 2208810 )
NEW met1 ( 542570 2208810 ) ( 554070 * )
NEW met2 ( 554070 2208810 ) ( * 2211020 )
NEW met2 ( 554070 2211020 ) ( 555450 * )
NEW met1 ( 278530 2209830 ) ( 536590 * )
NEW met2 ( 555450 2207110 ) ( * 2211020 )
NEW met1 ( 555450 2207110 ) ( 801090 * )
NEW met1 ( 278530 2209830 ) M1M2_PR
NEW met1 ( 801090 2207110 ) M1M2_PR
NEW li1 ( 536590 2209830 ) L1M1_PR_MR
NEW li1 ( 536590 2208470 ) L1M1_PR_MR
NEW met1 ( 554070 2208810 ) M1M2_PR
NEW met1 ( 555450 2207110 ) M1M2_PR ;
- la_data_in[110] ( PIN la_data_in[110] ) ( chip_controller la_data_in[110] ) + USE SIGNAL
+ ROUTED met2 ( 1176450 20060 ) ( * 2192830 )
NEW met2 ( 2579910 2380 0 ) ( * 20060 )
NEW met3 ( 1176450 20060 ) ( 2579910 * )
NEW li1 ( 547630 2192830 ) ( * 2199630 )
NEW met2 ( 547630 2199460 ) ( * 2199630 )
NEW met2 ( 547170 2199460 0 ) ( 547630 * )
NEW met1 ( 547630 2192830 ) ( 1176450 * )
NEW met2 ( 1176450 20060 ) M2M3_PR_M
NEW met1 ( 1176450 2192830 ) M1M2_PR
NEW met2 ( 2579910 20060 ) M2M3_PR_M
NEW li1 ( 547630 2192830 ) L1M1_PR_MR
NEW li1 ( 547630 2199630 ) L1M1_PR_MR
NEW met1 ( 547630 2199630 ) M1M2_PR
NEW met1 ( 547630 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[111] ( PIN la_data_in[111] ) ( chip_controller la_data_in[111] ) + USE SIGNAL
+ ROUTED met2 ( 2597850 2380 0 ) ( * 17340 )
NEW met3 ( 645150 17340 ) ( 2597850 * )
NEW met2 ( 550390 2199460 0 ) ( * 2201500 )
NEW met3 ( 550390 2201500 ) ( 645150 * )
NEW met2 ( 645150 17340 ) ( * 2201500 )
NEW met2 ( 2597850 17340 ) M2M3_PR_M
NEW met2 ( 645150 17340 ) M2M3_PR_M
NEW met2 ( 550390 2201500 ) M2M3_PR_M
NEW met2 ( 645150 2201500 ) M2M3_PR_M ;
- la_data_in[112] ( PIN la_data_in[112] ) ( chip_controller la_data_in[112] ) + USE SIGNAL
+ ROUTED met2 ( 2615330 2380 0 ) ( * 24310 )
NEW met1 ( 565570 24310 ) ( 2615330 * )
NEW met2 ( 564190 1786700 ) ( 565570 * )
NEW met2 ( 564190 1786700 ) ( * 1800300 )
NEW met2 ( 562810 1800300 0 ) ( 564190 * )
NEW met2 ( 565570 24310 ) ( * 1786700 )
NEW met1 ( 2615330 24310 ) M1M2_PR
NEW met1 ( 565570 24310 ) M1M2_PR ;
- la_data_in[113] ( PIN la_data_in[113] ) ( chip_controller la_data_in[113] ) + USE SIGNAL
+ ROUTED met2 ( 2633270 2380 0 ) ( * 3060 )
NEW met2 ( 2632350 3060 ) ( 2633270 * )
NEW met2 ( 2632350 2380 ) ( * 3060 )
NEW met2 ( 2630970 2380 ) ( 2632350 * )
NEW met3 ( 599380 2176340 0 ) ( 607430 * )
NEW met2 ( 607430 2174470 ) ( * 2176340 )
NEW met2 ( 776250 72250 ) ( * 2174470 )
NEW met2 ( 2630970 2380 ) ( * 72250 )
NEW met1 ( 607430 2174470 ) ( 776250 * )
NEW met1 ( 776250 72250 ) ( 2630970 * )
NEW met2 ( 607430 2176340 ) M2M3_PR_M
NEW met1 ( 607430 2174470 ) M1M2_PR
NEW met1 ( 776250 72250 ) M1M2_PR
NEW met1 ( 776250 2174470 ) M1M2_PR
NEW met1 ( 2630970 72250 ) M1M2_PR ;
- la_data_in[114] ( PIN la_data_in[114] ) ( chip_controller la_data_in[114] ) + USE SIGNAL
+ ROUTED met2 ( 1438650 15300 ) ( * 2192490 )
NEW met2 ( 2650750 2380 0 ) ( * 15300 )
NEW met3 ( 1438650 15300 ) ( 2650750 * )
NEW li1 ( 560970 2192490 ) ( * 2199630 )
NEW met2 ( 560970 2199460 ) ( * 2199630 )
NEW met2 ( 560050 2199460 0 ) ( 560970 * )
NEW met1 ( 560970 2192490 ) ( 1438650 * )
NEW met2 ( 1438650 15300 ) M2M3_PR_M
NEW met1 ( 1438650 2192490 ) M1M2_PR
NEW met2 ( 2650750 15300 ) M2M3_PR_M
NEW li1 ( 560970 2192490 ) L1M1_PR_MR
NEW li1 ( 560970 2199630 ) L1M1_PR_MR
NEW met1 ( 560970 2199630 ) M1M2_PR
NEW met1 ( 560970 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[115] ( PIN la_data_in[115] ) ( chip_controller la_data_in[115] ) + USE SIGNAL
+ ROUTED met3 ( 565110 2215100 ) ( 631350 * )
NEW met2 ( 2668690 2380 0 ) ( * 16660 )
NEW met3 ( 631350 16660 ) ( 2668690 * )
NEW met2 ( 565110 2199460 0 ) ( * 2215100 )
NEW met2 ( 631350 16660 ) ( * 2215100 )
NEW met2 ( 565110 2215100 ) M2M3_PR_M
NEW met2 ( 631350 16660 ) M2M3_PR_M
NEW met2 ( 631350 2215100 ) M2M3_PR_M
NEW met2 ( 2668690 16660 ) M2M3_PR_M ;
- la_data_in[116] ( PIN la_data_in[116] ) ( chip_controller la_data_in[116] ) + USE SIGNAL
+ ROUTED met3 ( 567410 2199460 ) ( 571780 * )
NEW met2 ( 566490 2199460 0 ) ( 567410 * )
NEW met4 ( 571780 32300 ) ( * 2199460 )
NEW met2 ( 2686170 2380 0 ) ( * 32300 )
NEW met3 ( 571780 32300 ) ( 2686170 * )
NEW met3 ( 571780 32300 ) M3M4_PR_M
NEW met3 ( 571780 2199460 ) M3M4_PR_M
NEW met2 ( 567410 2199460 ) M2M3_PR_M
NEW met2 ( 2686170 32300 ) M2M3_PR_M ;
- la_data_in[117] ( PIN la_data_in[117] ) ( chip_controller la_data_in[117] ) + USE SIGNAL
+ ROUTED met2 ( 2704110 2380 0 ) ( * 31620 )
NEW met3 ( 573850 2199460 ) ( 579140 * )
NEW met2 ( 572930 2199460 0 ) ( 573850 * )
NEW met4 ( 579140 31620 ) ( * 2199460 )
NEW met3 ( 579140 31620 ) ( 2704110 * )
NEW met3 ( 579140 31620 ) M3M4_PR_M
NEW met2 ( 2704110 31620 ) M2M3_PR_M
NEW met3 ( 579140 2199460 ) M3M4_PR_M
NEW met2 ( 573850 2199460 ) M2M3_PR_M ;
- la_data_in[118] ( PIN la_data_in[118] ) ( chip_controller la_data_in[118] ) + USE SIGNAL
+ ROUTED met2 ( 2722050 2380 0 ) ( * 15980 )
NEW li1 ( 577070 2196230 ) ( * 2199630 )
NEW met2 ( 577070 2199460 ) ( * 2199630 )
NEW met2 ( 576150 2199460 0 ) ( 577070 * )
NEW met2 ( 1480510 15980 ) ( * 2196230 )
NEW met3 ( 1480510 15980 ) ( 2722050 * )
NEW met1 ( 577070 2196230 ) ( 1480510 * )
NEW met2 ( 1480510 15980 ) M2M3_PR_M
NEW met2 ( 2722050 15980 ) M2M3_PR_M
NEW li1 ( 577070 2196230 ) L1M1_PR_MR
NEW li1 ( 577070 2199630 ) L1M1_PR_MR
NEW met1 ( 577070 2199630 ) M1M2_PR
NEW met1 ( 1480510 2196230 ) M1M2_PR
NEW met1 ( 577070 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[119] ( PIN la_data_in[119] ) ( chip_controller la_data_in[119] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2185180 0 ) ( 607890 * )
NEW met2 ( 607890 2180590 ) ( * 2185180 )
NEW met2 ( 2739530 2380 0 ) ( * 32130 )
NEW met1 ( 1232110 32130 ) ( 2739530 * )
NEW met1 ( 607890 2180590 ) ( 1232110 * )
NEW met2 ( 1232110 32130 ) ( * 2180590 )
NEW met2 ( 607890 2185180 ) M2M3_PR_M
NEW met1 ( 607890 2180590 ) M1M2_PR
NEW met1 ( 1232110 32130 ) M1M2_PR
NEW met1 ( 2739530 32130 ) M1M2_PR
NEW met1 ( 1232110 2180590 ) M1M2_PR ;
- la_data_in[11] ( PIN la_data_in[11] ) ( chip_controller la_data_in[11] ) + USE SIGNAL
+ ROUTED met1 ( 288190 2214250 ) ( 310730 * )
NEW met1 ( 310730 2214250 ) ( * 2214590 )
NEW met2 ( 288190 2199460 0 ) ( * 2214250 )
NEW met2 ( 566030 2206430 ) ( * 2213570 )
NEW li1 ( 541650 2213570 ) ( * 2214590 )
NEW met1 ( 310730 2214590 ) ( 541650 * )
NEW met1 ( 541650 2213570 ) ( 566030 * )
NEW met2 ( 824550 2380 0 ) ( * 3060 )
NEW met2 ( 823630 3060 ) ( 824550 * )
NEW met2 ( 823630 2380 ) ( * 3060 )
NEW met2 ( 822250 2380 ) ( 823630 * )
NEW met2 ( 821330 82800 ) ( 822250 * )
NEW met2 ( 822250 2380 ) ( * 82800 )
NEW met1 ( 566030 2206430 ) ( 821330 * )
NEW met2 ( 821330 82800 ) ( * 2206430 )
NEW met1 ( 288190 2214250 ) M1M2_PR
NEW met1 ( 566030 2213570 ) M1M2_PR
NEW met1 ( 566030 2206430 ) M1M2_PR
NEW li1 ( 541650 2214590 ) L1M1_PR_MR
NEW li1 ( 541650 2213570 ) L1M1_PR_MR
NEW met1 ( 821330 2206430 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) ( chip_controller la_data_in[120] ) + USE SIGNAL
+ ROUTED met2 ( 586270 23970 ) ( * 1773300 )
NEW met2 ( 584430 1773300 ) ( 586270 * )
NEW met2 ( 584430 1773300 ) ( * 1800300 )
NEW met2 ( 583050 1800300 0 ) ( 584430 * )
NEW met2 ( 2757470 2380 0 ) ( * 23970 )
NEW met1 ( 586270 23970 ) ( 2757470 * )
NEW met1 ( 586270 23970 ) M1M2_PR
NEW met1 ( 2757470 23970 ) M1M2_PR ;
- la_data_in[121] ( PIN la_data_in[121] ) ( chip_controller la_data_in[121] ) + USE SIGNAL
+ ROUTED met3 ( 184230 2186540 ) ( 200100 * 0 )
NEW met1 ( 184230 1769870 ) ( 2774030 * )
NEW met2 ( 2774030 82800 ) ( 2774950 * )
NEW met2 ( 2774950 2380 0 ) ( * 82800 )
NEW met2 ( 2774030 82800 ) ( * 1769870 )
NEW met2 ( 184230 1769870 ) ( * 2186540 )
NEW met1 ( 184230 1769870 ) M1M2_PR
NEW met2 ( 184230 2186540 ) M2M3_PR_M
NEW met1 ( 2774030 1769870 ) M1M2_PR ;
- la_data_in[122] ( PIN la_data_in[122] ) ( chip_controller la_data_in[122] ) + USE SIGNAL
+ ROUTED met2 ( 2792890 2380 0 ) ( * 30940 )
NEW met3 ( 583510 2199460 ) ( 585580 * )
NEW met2 ( 583050 2199460 0 ) ( 583510 * )
NEW met4 ( 585580 30940 ) ( * 2199460 )
NEW met3 ( 585580 30940 ) ( 2792890 * )
NEW met3 ( 585580 30940 ) M3M4_PR_M
NEW met2 ( 2792890 30940 ) M2M3_PR_M
NEW met3 ( 585580 2199460 ) M3M4_PR_M
NEW met2 ( 583510 2199460 ) M2M3_PR_M ;
- la_data_in[123] ( PIN la_data_in[123] ) ( chip_controller la_data_in[123] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2191300 0 ) ( 607890 * )
NEW met2 ( 607890 2188750 ) ( * 2191300 )
NEW met2 ( 893550 79390 ) ( * 2188750 )
NEW met2 ( 2810370 2380 0 ) ( * 79390 )
NEW met1 ( 607890 2188750 ) ( 893550 * )
NEW met1 ( 893550 79390 ) ( 2810370 * )
NEW met2 ( 607890 2191300 ) M2M3_PR_M
NEW met1 ( 607890 2188750 ) M1M2_PR
NEW met1 ( 893550 79390 ) M1M2_PR
NEW met1 ( 893550 2188750 ) M1M2_PR
NEW met1 ( 2810370 79390 ) M1M2_PR ;
- la_data_in[124] ( PIN la_data_in[124] ) ( chip_controller la_data_in[124] ) + USE SIGNAL
+ ROUTED met2 ( 2828310 2380 0 ) ( * 3060 )
NEW met2 ( 2827390 3060 ) ( 2828310 * )
NEW met2 ( 2827390 2380 ) ( * 3060 )
NEW met2 ( 2826010 2380 ) ( 2827390 * )
NEW met3 ( 599380 2194700 0 ) ( 604900 * )
NEW met3 ( 604900 2194700 ) ( * 2195380 )
NEW met3 ( 604900 2195380 ) ( 607890 * )
NEW met2 ( 607890 2195380 ) ( * 2200310 )
NEW met2 ( 1072950 66130 ) ( * 2200310 )
NEW met2 ( 2826010 2380 ) ( * 66130 )
NEW met1 ( 607890 2200310 ) ( 1072950 * )
NEW met1 ( 1072950 66130 ) ( 2826010 * )
NEW met2 ( 607890 2195380 ) M2M3_PR_M
NEW met1 ( 607890 2200310 ) M1M2_PR
NEW met1 ( 1072950 66130 ) M1M2_PR
NEW met1 ( 1072950 2200310 ) M1M2_PR
NEW met1 ( 2826010 66130 ) M1M2_PR ;
- la_data_in[125] ( PIN la_data_in[125] ) ( chip_controller la_data_in[125] ) + USE SIGNAL
+ ROUTED met2 ( 595010 1783810 ) ( * 1800300 0 )
NEW met2 ( 2845790 2380 0 ) ( * 3060 )
NEW met2 ( 2844870 3060 ) ( 2845790 * )
NEW met2 ( 2844870 2380 ) ( * 3060 )
NEW met2 ( 2843490 2380 ) ( 2844870 * )
NEW met2 ( 2843030 82800 ) ( 2843490 * )
NEW met2 ( 2843490 2380 ) ( * 82800 )
NEW met1 ( 595010 1783810 ) ( 2843030 * )
NEW met2 ( 2843030 82800 ) ( * 1783810 )
NEW met1 ( 595010 1783810 ) M1M2_PR
NEW met1 ( 2843030 1783810 ) M1M2_PR ;
- la_data_in[126] ( PIN la_data_in[126] ) ( chip_controller la_data_in[126] ) + USE SIGNAL
+ ROUTED met2 ( 599150 1784830 ) ( * 1800300 0 )
NEW met2 ( 2863730 2380 0 ) ( * 17170 )
NEW met1 ( 2466750 17170 ) ( 2863730 * )
NEW met1 ( 599150 1784830 ) ( 2466750 * )
NEW met2 ( 2466750 17170 ) ( * 1784830 )
NEW met1 ( 599150 1784830 ) M1M2_PR
NEW met1 ( 2466750 17170 ) M1M2_PR
NEW met1 ( 2863730 17170 ) M1M2_PR
NEW met1 ( 2466750 1784830 ) M1M2_PR ;
- la_data_in[127] ( PIN la_data_in[127] ) ( chip_controller la_data_in[127] ) + USE SIGNAL
+ ROUTED met2 ( 596850 2200820 ) ( 599610 * )
NEW met2 ( 596850 2199460 ) ( * 2200820 )
NEW met2 ( 595930 2199460 0 ) ( 596850 * )
NEW met2 ( 2881670 2380 0 ) ( * 30770 )
NEW met1 ( 599610 30770 ) ( 2881670 * )
NEW met2 ( 599610 30770 ) ( * 2200820 )
NEW met1 ( 599610 30770 ) M1M2_PR
NEW met1 ( 2881670 30770 ) M1M2_PR ;
- la_data_in[12] ( PIN la_data_in[12] ) ( chip_controller la_data_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 277610 1789250 ) ( * 1800300 0 )
NEW met2 ( 842030 2380 0 ) ( * 26690 )
NEW met1 ( 355350 26690 ) ( 842030 * )
NEW met1 ( 277610 1789250 ) ( 355350 * )
NEW met2 ( 355350 26690 ) ( * 1789250 )
NEW met1 ( 277610 1789250 ) M1M2_PR
NEW met1 ( 355350 26690 ) M1M2_PR
NEW met1 ( 842030 26690 ) M1M2_PR
NEW met1 ( 355350 1789250 ) M1M2_PR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( chip_controller la_data_in[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1894140 0 ) ( 607890 * )
NEW met2 ( 607890 1890910 ) ( * 1894140 )
NEW met2 ( 855830 82800 ) ( 859970 * )
NEW met2 ( 859970 2380 0 ) ( * 82800 )
NEW met2 ( 855830 82800 ) ( * 1890910 )
NEW met1 ( 607890 1890910 ) ( 855830 * )
NEW met2 ( 607890 1894140 ) M2M3_PR_M
NEW met1 ( 607890 1890910 ) M1M2_PR
NEW met1 ( 855830 1890910 ) M1M2_PR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( chip_controller la_data_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 877450 2380 0 ) ( * 23630 )
NEW met2 ( 296010 1787890 ) ( * 1800300 0 )
NEW met1 ( 362250 23630 ) ( 877450 * )
NEW met1 ( 296010 1787890 ) ( 362250 * )
NEW met2 ( 362250 23630 ) ( * 1787890 )
NEW met1 ( 877450 23630 ) M1M2_PR
NEW met1 ( 296010 1787890 ) M1M2_PR
NEW met1 ( 362250 23630 ) M1M2_PR
NEW met1 ( 362250 1787890 ) M1M2_PR ;
- la_data_in[15] ( PIN la_data_in[15] ) ( chip_controller la_data_in[15] ) + USE SIGNAL
+ ROUTED met1 ( 477250 2211870 ) ( * 2212210 )
NEW met1 ( 477250 2211870 ) ( 489670 * )
NEW li1 ( 489670 2211870 ) ( * 2212550 )
NEW li1 ( 489670 2212550 ) ( 491050 * )
NEW li1 ( 491050 2212210 ) ( * 2212550 )
NEW met1 ( 491050 2212210 ) ( 507150 * )
NEW met2 ( 895390 2380 0 ) ( * 3060 )
NEW met2 ( 894470 3060 ) ( 895390 * )
NEW met2 ( 894470 2380 ) ( * 3060 )
NEW met2 ( 893090 2380 ) ( 894470 * )
NEW met2 ( 309350 2199460 0 ) ( * 2212210 )
NEW met2 ( 507150 2205750 ) ( * 2212210 )
NEW met2 ( 890330 82800 ) ( 893090 * )
NEW met2 ( 893090 2380 ) ( * 82800 )
NEW met2 ( 890330 82800 ) ( * 2205750 )
NEW met1 ( 309350 2212210 ) ( 477250 * )
NEW met1 ( 507150 2205750 ) ( 890330 * )
NEW met1 ( 309350 2212210 ) M1M2_PR
NEW li1 ( 489670 2211870 ) L1M1_PR_MR
NEW li1 ( 491050 2212210 ) L1M1_PR_MR
NEW met1 ( 507150 2212210 ) M1M2_PR
NEW met1 ( 507150 2205750 ) M1M2_PR
NEW met1 ( 890330 2205750 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( chip_controller la_data_in[16] ) + USE SIGNAL
+ ROUTED met1 ( 197110 1795710 ) ( 197570 * )
NEW met2 ( 197570 1775990 ) ( * 1795710 )
NEW met3 ( 197110 1908420 ) ( 200100 * 0 )
NEW met2 ( 912870 2380 0 ) ( * 3060 )
NEW met2 ( 911950 3060 ) ( 912870 * )
NEW met2 ( 911950 2380 ) ( * 3060 )
NEW met2 ( 911030 2380 ) ( 911950 * )
NEW met1 ( 197570 1775990 ) ( 911030 * )
NEW met2 ( 911030 2380 ) ( * 1775990 )
NEW li1 ( 197110 1795710 ) ( * 1801490 )
NEW met2 ( 197110 1801490 ) ( * 1908420 )
NEW li1 ( 197110 1795710 ) L1M1_PR_MR
NEW met1 ( 197570 1795710 ) M1M2_PR
NEW met1 ( 197570 1775990 ) M1M2_PR
NEW met2 ( 197110 1908420 ) M2M3_PR_M
NEW met1 ( 911030 1775990 ) M1M2_PR
NEW li1 ( 197110 1801490 ) L1M1_PR_MR
NEW met1 ( 197110 1801490 ) M1M2_PR
NEW met1 ( 197110 1801490 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[17] ( PIN la_data_in[17] ) ( chip_controller la_data_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 324070 2199460 0 ) ( * 2203370 )
NEW met2 ( 930810 2380 0 ) ( * 16830 )
NEW met1 ( 921150 16830 ) ( 930810 * )
NEW met1 ( 324070 2203370 ) ( 921150 * )
NEW met2 ( 921150 16830 ) ( * 2203370 )
NEW met1 ( 324070 2203370 ) M1M2_PR
NEW met1 ( 930810 16830 ) M1M2_PR
NEW met1 ( 921150 16830 ) M1M2_PR
NEW met1 ( 921150 2203370 ) M1M2_PR ;
- la_data_in[18] ( PIN la_data_in[18] ) ( chip_controller la_data_in[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1926100 0 ) ( 607430 * )
NEW met2 ( 607430 1925930 ) ( * 1926100 )
NEW met2 ( 948750 2380 0 ) ( * 3060 )
NEW met2 ( 947830 3060 ) ( 948750 * )
NEW met2 ( 947830 2380 ) ( * 3060 )
NEW met2 ( 946450 2380 ) ( 947830 * )
NEW met1 ( 607430 1925930 ) ( 945530 * )
NEW met2 ( 945530 82800 ) ( 946450 * )
NEW met2 ( 946450 2380 ) ( * 82800 )
NEW met2 ( 945530 82800 ) ( * 1925930 )
NEW met2 ( 607430 1926100 ) M2M3_PR_M
NEW met1 ( 607430 1925930 ) M1M2_PR
NEW met1 ( 945530 1925930 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( chip_controller la_data_in[19] ) + USE SIGNAL
+ ROUTED met3 ( 184690 1930860 ) ( 200100 * 0 )
NEW met2 ( 966230 2380 0 ) ( * 26350 )
NEW met1 ( 184690 26350 ) ( 966230 * )
NEW met2 ( 184690 26350 ) ( * 1930860 )
NEW met1 ( 184690 26350 ) M1M2_PR
NEW met2 ( 184690 1930860 ) M2M3_PR_M
NEW met1 ( 966230 26350 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( chip_controller la_data_in[1] ) + USE SIGNAL
+ ROUTED met1 ( 215050 1787210 ) ( 220570 * )
NEW met2 ( 215050 1787210 ) ( * 1800300 0 )
NEW met2 ( 220570 31450 ) ( * 1787210 )
NEW met2 ( 646990 2380 0 ) ( * 31450 )
NEW met1 ( 220570 31450 ) ( 646990 * )
NEW met1 ( 220570 31450 ) M1M2_PR
NEW met1 ( 220570 1787210 ) M1M2_PR
NEW met1 ( 215050 1787210 ) M1M2_PR
NEW met1 ( 646990 31450 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( chip_controller la_data_in[20] ) + USE SIGNAL
+ ROUTED met3 ( 193660 1937660 ) ( 200100 * 0 )
NEW met2 ( 980030 82800 ) ( 984170 * )
NEW met2 ( 984170 2380 0 ) ( * 82800 )
NEW met2 ( 980030 82800 ) ( * 1776500 )
NEW met3 ( 193660 1776500 ) ( 980030 * )
NEW met4 ( 193660 1776500 ) ( * 1937660 )
NEW met3 ( 193660 1937660 ) M3M4_PR_M
NEW met3 ( 193660 1776500 ) M3M4_PR_M
NEW met2 ( 980030 1776500 ) M2M3_PR_M ;
- la_data_in[21] ( PIN la_data_in[21] ) ( chip_controller la_data_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 1001650 2380 0 ) ( * 30090 )
NEW met1 ( 337870 30090 ) ( 1001650 * )
NEW met2 ( 336490 1800300 0 ) ( 337870 * )
NEW met2 ( 337870 30090 ) ( * 1800300 )
NEW met1 ( 337870 30090 ) M1M2_PR
NEW met1 ( 1001650 30090 ) M1M2_PR ;
- la_data_in[22] ( PIN la_data_in[22] ) ( chip_controller la_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 1019590 2380 0 ) ( * 30430 )
NEW met1 ( 344770 30430 ) ( 1019590 * )
NEW met1 ( 342470 1787210 ) ( 344770 * )
NEW met2 ( 342470 1787210 ) ( * 1800300 0 )
NEW met2 ( 344770 30430 ) ( * 1787210 )
NEW met1 ( 344770 30430 ) M1M2_PR
NEW met1 ( 1019590 30430 ) M1M2_PR
NEW met1 ( 344770 1787210 ) M1M2_PR
NEW met1 ( 342470 1787210 ) M1M2_PR ;
- la_data_in[23] ( PIN la_data_in[23] ) ( chip_controller la_data_in[23] ) + USE SIGNAL
+ ROUTED met3 ( 185150 1955340 ) ( 200100 * 0 )
NEW met2 ( 1037070 2380 0 ) ( * 26010 )
NEW met1 ( 185150 26010 ) ( 1037070 * )
NEW met2 ( 185150 26010 ) ( * 1955340 )
NEW met1 ( 185150 26010 ) M1M2_PR
NEW met2 ( 185150 1955340 ) M2M3_PR_M
NEW met1 ( 1037070 26010 ) M1M2_PR ;
- la_data_in[24] ( PIN la_data_in[24] ) ( chip_controller la_data_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 1055010 2380 0 ) ( * 48110 )
NEW met2 ( 1049030 48300 ) ( * 1960610 )
NEW met2 ( 1048570 48110 ) ( * 48300 )
NEW met1 ( 1048570 48110 ) ( 1055010 * )
NEW met2 ( 1048570 48300 ) ( 1049030 * )
NEW met3 ( 599380 1962140 0 ) ( 613870 * )
NEW met2 ( 613870 1960610 ) ( * 1962140 )
NEW met1 ( 613870 1960610 ) ( 1049030 * )
NEW met1 ( 1049030 1960610 ) M1M2_PR
NEW met1 ( 1055010 48110 ) M1M2_PR
NEW met1 ( 1048570 48110 ) M1M2_PR
NEW met2 ( 613870 1962140 ) M2M3_PR_M
NEW met1 ( 613870 1960610 ) M1M2_PR ;
- la_data_in[25] ( PIN la_data_in[25] ) ( chip_controller la_data_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 186070 1966900 ) ( 200100 * 0 )
NEW met2 ( 1069730 82800 ) ( 1072490 * )
NEW met2 ( 1072490 2380 0 ) ( * 82800 )
NEW met2 ( 1069730 82800 ) ( * 1781770 )
NEW met1 ( 186070 1781770 ) ( 1069730 * )
NEW met2 ( 186070 1781770 ) ( * 1966900 )
NEW met1 ( 186070 1781770 ) M1M2_PR
NEW met2 ( 186070 1966900 ) M2M3_PR_M
NEW met1 ( 1069730 1781770 ) M1M2_PR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( chip_controller la_data_in[26] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 2380 0 ) ( * 25330 )
NEW met2 ( 188830 1973700 ) ( * 1973870 )
NEW met3 ( 188830 1973700 ) ( 200100 * 0 )
NEW met1 ( 178250 25330 ) ( 1090430 * )
NEW met1 ( 178250 1973870 ) ( 188830 * )
NEW met2 ( 178250 25330 ) ( * 1973870 )
NEW met1 ( 1090430 25330 ) M1M2_PR
NEW met1 ( 188830 1973870 ) M1M2_PR
NEW met2 ( 188830 1973700 ) M2M3_PR_M
NEW met1 ( 178250 25330 ) M1M2_PR
NEW met1 ( 178250 1973870 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) ( chip_controller la_data_in[27] ) + USE SIGNAL
+ ROUTED met2 ( 1107910 2380 0 ) ( * 19210 )
NEW met1 ( 624450 19210 ) ( 1107910 * )
NEW met2 ( 371450 2199460 0 ) ( * 2207790 )
NEW met1 ( 371450 2207790 ) ( 624450 * )
NEW met2 ( 624450 19210 ) ( * 2207790 )
NEW met1 ( 624450 19210 ) M1M2_PR
NEW met1 ( 1107910 19210 ) M1M2_PR
NEW met1 ( 371450 2207790 ) M1M2_PR
NEW met1 ( 624450 2207790 ) M1M2_PR ;
- la_data_in[28] ( PIN la_data_in[28] ) ( chip_controller la_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 1124930 82800 ) ( 1125850 * )
NEW met2 ( 1125850 2380 0 ) ( * 82800 )
NEW met2 ( 1124930 82800 ) ( * 1980330 )
NEW met3 ( 599380 1983220 0 ) ( 613410 * )
NEW met2 ( 613410 1980330 ) ( * 1983220 )
NEW met1 ( 613410 1980330 ) ( 1124930 * )
NEW met1 ( 1124930 1980330 ) M1M2_PR
NEW met2 ( 613410 1983220 ) M2M3_PR_M
NEW met1 ( 613410 1980330 ) M1M2_PR ;
- la_data_in[29] ( PIN la_data_in[29] ) ( chip_controller la_data_in[29] ) + USE SIGNAL
+ ROUTED met1 ( 181010 1987470 ) ( 186990 * )
NEW met2 ( 186990 1987470 ) ( * 1989340 )
NEW met3 ( 186990 1989340 ) ( 200100 * 0 )
NEW met2 ( 1143790 2380 0 ) ( * 3060 )
NEW met2 ( 1142870 3060 ) ( 1143790 * )
NEW met2 ( 1142870 2380 ) ( * 3060 )
NEW met2 ( 1141490 2380 ) ( 1142870 * )
NEW met2 ( 1138730 82800 ) ( 1141490 * )
NEW met2 ( 1141490 2380 ) ( * 82800 )
NEW met1 ( 181010 1776330 ) ( 1138730 * )
NEW met2 ( 1138730 82800 ) ( * 1776330 )
NEW met2 ( 181010 1776330 ) ( * 1987470 )
NEW met1 ( 181010 1776330 ) M1M2_PR
NEW met1 ( 181010 1987470 ) M1M2_PR
NEW met1 ( 186990 1987470 ) M1M2_PR
NEW met2 ( 186990 1989340 ) M2M3_PR_M
NEW met1 ( 1138730 1776330 ) M1M2_PR ;
- la_data_in[2] ( PIN la_data_in[2] ) ( chip_controller la_data_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 662630 82800 ) ( 664930 * )
NEW met2 ( 664930 2380 0 ) ( * 82800 )
NEW met2 ( 662630 82800 ) ( * 1780750 )
NEW met1 ( 197110 1780750 ) ( 662630 * )
NEW met3 ( 197110 1800980 ) ( 197340 * )
NEW met3 ( 197340 1800980 ) ( * 1802340 )
NEW met3 ( 197340 1802340 ) ( 198030 * )
NEW met2 ( 198030 1802340 ) ( * 1821380 )
NEW met3 ( 198030 1821380 ) ( 200100 * 0 )
NEW met2 ( 197110 1780750 ) ( * 1800980 )
NEW met1 ( 197110 1780750 ) M1M2_PR
NEW met1 ( 662630 1780750 ) M1M2_PR
NEW met2 ( 197110 1800980 ) M2M3_PR_M
NEW met2 ( 198030 1802340 ) M2M3_PR_M
NEW met2 ( 198030 1821380 ) M2M3_PR_M ;
- la_data_in[30] ( PIN la_data_in[30] ) ( chip_controller la_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 1161270 2380 0 ) ( * 3060 )
NEW met2 ( 1160350 3060 ) ( 1161270 * )
NEW met2 ( 1160350 2380 ) ( * 3060 )
NEW met2 ( 1159430 2380 ) ( 1160350 * )
NEW met1 ( 181470 1994270 ) ( 188830 * )
NEW met2 ( 188830 1994270 ) ( * 1996140 )
NEW met3 ( 188830 1996140 ) ( 200100 * 0 )
NEW met2 ( 1159430 2380 ) ( * 1782110 )
NEW met1 ( 181470 1782110 ) ( 1159430 * )
NEW met2 ( 181470 1782110 ) ( * 1994270 )
NEW met1 ( 181470 1782110 ) M1M2_PR
NEW met1 ( 181470 1994270 ) M1M2_PR
NEW met1 ( 188830 1994270 ) M1M2_PR
NEW met2 ( 188830 1996140 ) M2M3_PR_M
NEW met1 ( 1159430 1782110 ) M1M2_PR ;
- la_data_in[31] ( PIN la_data_in[31] ) ( chip_controller la_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 1179210 2380 0 ) ( * 24990 )
NEW met2 ( 188830 2001070 ) ( * 2002940 )
NEW met3 ( 188830 2002940 ) ( 200100 * 0 )
NEW met1 ( 173650 24990 ) ( 1179210 * )
NEW met1 ( 173650 2001070 ) ( 188830 * )
NEW met2 ( 173650 24990 ) ( * 2001070 )
NEW met1 ( 1179210 24990 ) M1M2_PR
NEW met1 ( 188830 2001070 ) M1M2_PR
NEW met2 ( 188830 2002940 ) M2M3_PR_M
NEW met1 ( 173650 24990 ) M1M2_PR
NEW met1 ( 173650 2001070 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( chip_controller la_data_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 390770 2199460 0 ) ( * 2202350 )
NEW met2 ( 1190250 20570 ) ( * 2202350 )
NEW met2 ( 1196690 2380 0 ) ( * 20570 )
NEW met1 ( 1190250 20570 ) ( 1196690 * )
NEW met1 ( 390770 2202350 ) ( 1190250 * )
NEW met1 ( 1190250 20570 ) M1M2_PR
NEW met1 ( 390770 2202350 ) M1M2_PR
NEW met1 ( 1190250 2202350 ) M1M2_PR
NEW met1 ( 1196690 20570 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( chip_controller la_data_in[33] ) + USE SIGNAL
+ ROUTED met2 ( 384790 1800300 0 ) ( 386170 * )
NEW met2 ( 386170 34170 ) ( * 1800300 )
NEW met2 ( 1214630 2380 0 ) ( * 34170 )
NEW met1 ( 386170 34170 ) ( 1214630 * )
NEW met1 ( 386170 34170 ) M1M2_PR
NEW met1 ( 1214630 34170 ) M1M2_PR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( chip_controller la_data_in[34] ) + USE SIGNAL
+ ROUTED met1 ( 387090 1788570 ) ( 392610 * )
NEW met2 ( 387090 1788570 ) ( * 1800300 0 )
NEW met2 ( 392610 32130 ) ( * 1788570 )
NEW met2 ( 1232110 2380 0 ) ( * 15980 )
NEW met2 ( 1231650 15980 ) ( 1232110 * )
NEW met2 ( 1231650 15980 ) ( * 32130 )
NEW met1 ( 392610 32130 ) ( 1231650 * )
NEW met1 ( 392610 32130 ) M1M2_PR
NEW met1 ( 392610 1788570 ) M1M2_PR
NEW met1 ( 387090 1788570 ) M1M2_PR
NEW met1 ( 1231650 32130 ) M1M2_PR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( chip_controller la_data_in[35] ) + USE SIGNAL
+ ROUTED met2 ( 1250050 2380 0 ) ( * 33150 )
NEW met1 ( 388930 1787210 ) ( 393070 * )
NEW met2 ( 388930 1787210 ) ( * 1800300 0 )
NEW met2 ( 393070 33150 ) ( * 1787210 )
NEW met1 ( 393070 33150 ) ( 1250050 * )
NEW met1 ( 393070 33150 ) M1M2_PR
NEW met1 ( 1250050 33150 ) M1M2_PR
NEW met1 ( 393070 1787210 ) M1M2_PR
NEW met1 ( 388930 1787210 ) M1M2_PR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( chip_controller la_data_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267530 2380 0 ) ( * 14620 )
NEW met2 ( 1265690 14620 ) ( 1267530 * )
NEW met3 ( 599380 2013140 0 ) ( 608810 * )
NEW met2 ( 608810 2008210 ) ( * 2013140 )
NEW met2 ( 1262930 82800 ) ( 1265690 * )
NEW met2 ( 1265690 14620 ) ( * 82800 )
NEW met2 ( 1262930 82800 ) ( * 2008210 )
NEW met1 ( 608810 2008210 ) ( 1262930 * )
NEW met2 ( 608810 2013140 ) M2M3_PR_M
NEW met1 ( 608810 2008210 ) M1M2_PR
NEW met1 ( 1262930 2008210 ) M1M2_PR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( chip_controller la_data_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 2380 0 ) ( * 16830 )
NEW met1 ( 1279950 16830 ) ( 1285470 * )
NEW met2 ( 399050 2199460 0 ) ( * 2202010 )
NEW met2 ( 1279950 16830 ) ( * 2202010 )
NEW met1 ( 399050 2202010 ) ( 1279950 * )
NEW met1 ( 1285470 16830 ) M1M2_PR
NEW met1 ( 1279950 16830 ) M1M2_PR
NEW met1 ( 399050 2202010 ) M1M2_PR
NEW met1 ( 1279950 2202010 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( chip_controller la_data_in[38] ) + USE SIGNAL
+ ROUTED met2 ( 402270 2199460 0 ) ( * 2208130 )
NEW li1 ( 568790 2198610 ) ( * 2199630 )
NEW met1 ( 568790 2198610 ) ( 594090 * )
NEW li1 ( 594090 2198610 ) ( * 2200310 )
NEW met1 ( 594090 2200310 ) ( 607430 * )
NEW li1 ( 607430 2199970 ) ( * 2200310 )
NEW li1 ( 607430 2199970 ) ( 612030 * )
NEW li1 ( 612030 2198610 ) ( * 2199970 )
NEW met2 ( 568790 2199630 ) ( * 2208130 )
NEW met1 ( 402270 2208130 ) ( 568790 * )
NEW met2 ( 1303410 2380 0 ) ( * 17340 )
NEW met2 ( 1300190 17340 ) ( 1303410 * )
NEW met2 ( 1297430 82800 ) ( 1300190 * )
NEW met2 ( 1300190 17340 ) ( * 82800 )
NEW met1 ( 612030 2198610 ) ( 1297430 * )
NEW met2 ( 1297430 82800 ) ( * 2198610 )
NEW met1 ( 402270 2208130 ) M1M2_PR
NEW met1 ( 568790 2208130 ) M1M2_PR
NEW li1 ( 568790 2199630 ) L1M1_PR_MR
NEW met1 ( 568790 2199630 ) M1M2_PR
NEW li1 ( 568790 2198610 ) L1M1_PR_MR
NEW li1 ( 594090 2198610 ) L1M1_PR_MR
NEW li1 ( 594090 2200310 ) L1M1_PR_MR
NEW li1 ( 607430 2200310 ) L1M1_PR_MR
NEW li1 ( 612030 2198610 ) L1M1_PR_MR
NEW met1 ( 1297430 2198610 ) M1M2_PR
NEW met1 ( 568790 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[39] ( PIN la_data_in[39] ) ( chip_controller la_data_in[39] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2015010 ) ( * 2020620 )
NEW met3 ( 188830 2020620 ) ( 200100 * 0 )
NEW met1 ( 175030 2015010 ) ( 188830 * )
NEW met2 ( 1318130 82800 ) ( 1320890 * )
NEW met2 ( 1320890 2380 0 ) ( * 82800 )
NEW met1 ( 175030 1779050 ) ( 1318130 * )
NEW met2 ( 1318130 82800 ) ( * 1779050 )
NEW met2 ( 175030 1779050 ) ( * 2015010 )
NEW met1 ( 188830 2015010 ) M1M2_PR
NEW met2 ( 188830 2020620 ) M2M3_PR_M
NEW met1 ( 175030 2015010 ) M1M2_PR
NEW met1 ( 175030 1779050 ) M1M2_PR
NEW met1 ( 1318130 1779050 ) M1M2_PR ;
- la_data_in[3] ( PIN la_data_in[3] ) ( chip_controller la_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 682410 2380 0 ) ( * 31110 )
NEW met1 ( 234370 31110 ) ( 682410 * )
NEW met1 ( 229310 1787210 ) ( 234370 * )
NEW met2 ( 229310 1787210 ) ( * 1800300 0 )
NEW met2 ( 234370 31110 ) ( * 1787210 )
NEW met1 ( 682410 31110 ) M1M2_PR
NEW met1 ( 234370 31110 ) M1M2_PR
NEW met1 ( 234370 1787210 ) M1M2_PR
NEW met1 ( 229310 1787210 ) M1M2_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( chip_controller la_data_in[40] ) + USE SIGNAL
+ ROUTED met2 ( 408710 2199460 0 ) ( * 2208810 )
NEW met2 ( 496570 2204390 ) ( * 2208810 )
NEW met2 ( 1338830 2380 0 ) ( * 2204390 )
NEW met1 ( 408710 2208810 ) ( 496570 * )
NEW met1 ( 496570 2204390 ) ( 1338830 * )
NEW met1 ( 408710 2208810 ) M1M2_PR
NEW met1 ( 496570 2208810 ) M1M2_PR
NEW met1 ( 496570 2204390 ) M1M2_PR
NEW met1 ( 1338830 2204390 ) M1M2_PR ;
- la_data_in[41] ( PIN la_data_in[41] ) ( chip_controller la_data_in[41] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2022150 ) ( * 2027420 )
NEW met3 ( 188370 2027420 ) ( 200100 * 0 )
NEW met2 ( 1356310 2380 0 ) ( * 3060 )
NEW met2 ( 1355390 3060 ) ( 1356310 * )
NEW met2 ( 1355390 2380 ) ( * 3060 )
NEW met2 ( 1354010 2380 ) ( 1355390 * )
NEW met2 ( 1352630 82800 ) ( 1354010 * )
NEW met2 ( 1354010 2380 ) ( * 82800 )
NEW met2 ( 1352630 82800 ) ( * 1772590 )
NEW met1 ( 175950 2022150 ) ( 188370 * )
NEW met1 ( 175950 1772590 ) ( 1352630 * )
NEW met2 ( 175950 1772590 ) ( * 2022150 )
NEW met1 ( 188370 2022150 ) M1M2_PR
NEW met2 ( 188370 2027420 ) M2M3_PR_M
NEW met1 ( 1352630 1772590 ) M1M2_PR
NEW met1 ( 175950 1772590 ) M1M2_PR
NEW met1 ( 175950 2022150 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( chip_controller la_data_in[42] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2020620 0 ) ( 608350 * )
NEW met2 ( 608350 2015010 ) ( * 2020620 )
NEW met2 ( 1373330 82800 ) ( 1374250 * )
NEW met2 ( 1374250 2380 0 ) ( * 82800 )
NEW met2 ( 1373330 82800 ) ( * 2015010 )
NEW met1 ( 608350 2015010 ) ( 1373330 * )
NEW met2 ( 608350 2020620 ) M2M3_PR_M
NEW met1 ( 608350 2015010 ) M1M2_PR
NEW met1 ( 1373330 2015010 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( chip_controller la_data_in[43] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2028610 ) ( * 2032180 )
NEW met3 ( 188830 2032180 ) ( 200100 * 0 )
NEW met1 ( 175490 2028610 ) ( 188830 * )
NEW met2 ( 1391730 2380 0 ) ( * 17340 )
NEW met2 ( 1389890 17340 ) ( 1391730 * )
NEW met2 ( 1387130 82800 ) ( 1389890 * )
NEW met2 ( 1389890 17340 ) ( * 82800 )
NEW met1 ( 175490 1780070 ) ( 1387130 * )
NEW met2 ( 1387130 82800 ) ( * 1780070 )
NEW met2 ( 175490 1780070 ) ( * 2028610 )
NEW met1 ( 188830 2028610 ) M1M2_PR
NEW met2 ( 188830 2032180 ) M2M3_PR_M
NEW met1 ( 175490 2028610 ) M1M2_PR
NEW met1 ( 175490 1780070 ) M1M2_PR
NEW met1 ( 1387130 1780070 ) M1M2_PR ;
- la_data_in[44] ( PIN la_data_in[44] ) ( chip_controller la_data_in[44] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2035580 ) ( * 2035750 )
NEW met3 ( 188830 2035580 ) ( 200100 * 0 )
NEW met1 ( 176410 2035750 ) ( 188830 * )
NEW met2 ( 1409670 2380 0 ) ( * 3060 )
NEW met2 ( 1408750 3060 ) ( 1409670 * )
NEW met2 ( 1408750 2380 ) ( * 3060 )
NEW met2 ( 1407830 2380 ) ( 1408750 * )
NEW met1 ( 176410 1772250 ) ( 1407830 * )
NEW met2 ( 1407830 2380 ) ( * 1772250 )
NEW met2 ( 176410 1772250 ) ( * 2035750 )
NEW met1 ( 188830 2035750 ) M1M2_PR
NEW met2 ( 188830 2035580 ) M2M3_PR_M
NEW met1 ( 176410 1772250 ) M1M2_PR
NEW met1 ( 176410 2035750 ) M1M2_PR
NEW met1 ( 1407830 1772250 ) M1M2_PR ;
- la_data_in[45] ( PIN la_data_in[45] ) ( chip_controller la_data_in[45] ) + USE SIGNAL
+ ROUTED met1 ( 415150 1788570 ) ( 420210 * )
NEW met2 ( 415150 1788570 ) ( * 1800300 0 )
NEW met2 ( 420210 33830 ) ( * 1788570 )
NEW met2 ( 1427150 2380 0 ) ( * 33830 )
NEW met1 ( 420210 33830 ) ( 1427150 * )
NEW met1 ( 420210 33830 ) M1M2_PR
NEW met1 ( 420210 1788570 ) M1M2_PR
NEW met1 ( 415150 1788570 ) M1M2_PR
NEW met1 ( 1427150 33830 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( chip_controller la_data_in[46] ) + USE SIGNAL
+ ROUTED met2 ( 1445090 2380 0 ) ( * 33490 )
NEW met2 ( 419290 1800300 0 ) ( 420670 * )
NEW met2 ( 420670 33490 ) ( * 1800300 )
NEW met1 ( 420670 33490 ) ( 1445090 * )
NEW met1 ( 420670 33490 ) M1M2_PR
NEW met1 ( 1445090 33490 ) M1M2_PR ;
- la_data_in[47] ( PIN la_data_in[47] ) ( chip_controller la_data_in[47] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 2380 0 ) ( * 27370 )
NEW met1 ( 438610 27370 ) ( 1463030 * )
NEW met1 ( 423430 1789250 ) ( 438610 * )
NEW met2 ( 423430 1789250 ) ( * 1800300 0 )
NEW met2 ( 438610 27370 ) ( * 1789250 )
NEW met1 ( 1463030 27370 ) M1M2_PR
NEW met1 ( 438610 27370 ) M1M2_PR
NEW met1 ( 438610 1789250 ) M1M2_PR
NEW met1 ( 423430 1789250 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( chip_controller la_data_in[48] ) + USE SIGNAL
+ ROUTED met1 ( 182390 2036090 ) ( 192970 * )
NEW met2 ( 192970 2036090 ) ( * 2042380 )
NEW met3 ( 192970 2042380 ) ( 200100 * 0 )
NEW met2 ( 1480510 2380 0 ) ( * 3060 )
NEW met2 ( 1479590 3060 ) ( 1480510 * )
NEW met2 ( 1479590 2380 ) ( * 3060 )
NEW met2 ( 1478210 2380 ) ( 1479590 * )
NEW met2 ( 1476830 82800 ) ( 1478210 * )
NEW met2 ( 1478210 2380 ) ( * 82800 )
NEW met2 ( 1476830 82800 ) ( * 1779390 )
NEW met1 ( 182390 1779390 ) ( 1476830 * )
NEW met2 ( 182390 1779390 ) ( * 2036090 )
NEW met1 ( 182390 2036090 ) M1M2_PR
NEW met1 ( 192970 2036090 ) M1M2_PR
NEW met2 ( 192970 2042380 ) M2M3_PR_M
NEW met1 ( 182390 1779390 ) M1M2_PR
NEW met1 ( 1476830 1779390 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( chip_controller la_data_in[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2032860 0 ) ( 608350 * )
NEW met2 ( 608350 2028610 ) ( * 2032860 )
NEW met1 ( 608350 2028610 ) ( 1497530 * )
NEW met2 ( 1497530 82800 ) ( 1498450 * )
NEW met2 ( 1498450 2380 0 ) ( * 82800 )
NEW met2 ( 1497530 82800 ) ( * 2028610 )
NEW met2 ( 608350 2032860 ) M2M3_PR_M
NEW met1 ( 608350 2028610 ) M1M2_PR
NEW met1 ( 1497530 2028610 ) M1M2_PR ;
- la_data_in[4] ( PIN la_data_in[4] ) ( chip_controller la_data_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 700350 2380 0 ) ( * 3060 )
NEW met2 ( 699430 3060 ) ( 700350 * )
NEW met2 ( 699430 2380 ) ( * 3060 )
NEW met2 ( 698050 2380 ) ( 699430 * )
NEW met2 ( 286810 486370 ) ( * 1792310 )
NEW met2 ( 697130 82800 ) ( 698050 * )
NEW met2 ( 698050 2380 ) ( * 82800 )
NEW met2 ( 697130 82800 ) ( * 486370 )
NEW met1 ( 286810 486370 ) ( 697130 * )
NEW met2 ( 233450 1792310 ) ( * 1800300 0 )
NEW met1 ( 233450 1792310 ) ( 286810 * )
NEW met1 ( 286810 486370 ) M1M2_PR
NEW met1 ( 697130 486370 ) M1M2_PR
NEW met1 ( 286810 1792310 ) M1M2_PR
NEW met1 ( 233450 1792310 ) M1M2_PR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( chip_controller la_data_in[50] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2042550 ) ( * 2049180 )
NEW met3 ( 188370 2049180 ) ( 200100 * 0 )
NEW met1 ( 176870 2042550 ) ( 188370 * )
NEW met1 ( 176870 1771910 ) ( 1511330 * )
NEW met2 ( 1511330 82800 ) ( 1515930 * )
NEW met2 ( 1515930 2380 0 ) ( * 82800 )
NEW met2 ( 1511330 82800 ) ( * 1771910 )
NEW met2 ( 176870 1771910 ) ( * 2042550 )
NEW met1 ( 188370 2042550 ) M1M2_PR
NEW met2 ( 188370 2049180 ) M2M3_PR_M
NEW met1 ( 176870 1771910 ) M1M2_PR
NEW met1 ( 176870 2042550 ) M1M2_PR
NEW met1 ( 1511330 1771910 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( chip_controller la_data_in[51] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 2380 0 ) ( * 3060 )
NEW met2 ( 1532950 3060 ) ( 1533870 * )
NEW met2 ( 1532950 2380 ) ( * 3060 )
NEW met2 ( 1532030 2380 ) ( 1532950 * )
NEW met2 ( 1532030 2380 ) ( * 1773270 )
NEW met1 ( 439070 1773270 ) ( 1532030 * )
NEW met1 ( 431250 1787210 ) ( 439070 * )
NEW met2 ( 431250 1787210 ) ( * 1800300 0 )
NEW met2 ( 439070 1773270 ) ( * 1787210 )
NEW met1 ( 1532030 1773270 ) M1M2_PR
NEW met1 ( 439070 1773270 ) M1M2_PR
NEW met1 ( 439070 1787210 ) M1M2_PR
NEW met1 ( 431250 1787210 ) M1M2_PR ;
- la_data_in[52] ( PIN la_data_in[52] ) ( chip_controller la_data_in[52] ) + USE SIGNAL
+ ROUTED met2 ( 1551350 2380 0 ) ( * 3060 )
NEW met2 ( 1550430 3060 ) ( 1551350 * )
NEW met2 ( 1550430 2380 ) ( * 3060 )
NEW met2 ( 1549050 2380 ) ( 1550430 * )
NEW met2 ( 1545830 82800 ) ( 1549050 * )
NEW met2 ( 1549050 2380 ) ( * 82800 )
NEW met2 ( 1545830 82800 ) ( * 1772930 )
NEW met1 ( 441370 1772930 ) ( 1545830 * )
NEW met1 ( 437690 1788570 ) ( 441370 * )
NEW met2 ( 437690 1788570 ) ( * 1800300 0 )
NEW met2 ( 441370 1772930 ) ( * 1788570 )
NEW met1 ( 1545830 1772930 ) M1M2_PR
NEW met1 ( 441370 1772930 ) M1M2_PR
NEW met1 ( 441370 1788570 ) M1M2_PR
NEW met1 ( 437690 1788570 ) M1M2_PR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( chip_controller la_data_in[53] ) + USE SIGNAL
+ ROUTED met2 ( 1569290 2380 0 ) ( * 14450 )
NEW met1 ( 1494310 14450 ) ( 1569290 * )
NEW met2 ( 429870 2199460 0 ) ( * 2202180 )
NEW met3 ( 429870 2202180 ) ( 1494310 * )
NEW met2 ( 1494310 14450 ) ( * 2202180 )
NEW met1 ( 1569290 14450 ) M1M2_PR
NEW met1 ( 1494310 14450 ) M1M2_PR
NEW met2 ( 429870 2202180 ) M2M3_PR_M
NEW met2 ( 1494310 2202180 ) M2M3_PR_M ;
- la_data_in[54] ( PIN la_data_in[54] ) ( chip_controller la_data_in[54] ) + USE SIGNAL
+ ROUTED met2 ( 1586770 2380 0 ) ( * 14110 )
NEW met1 ( 1501670 14110 ) ( 1586770 * )
NEW li1 ( 434010 2192150 ) ( * 2199630 )
NEW met2 ( 434010 2199460 ) ( * 2199630 )
NEW met2 ( 433090 2199460 0 ) ( 434010 * )
NEW met1 ( 434010 2192150 ) ( 1501670 * )
NEW met2 ( 1501670 14110 ) ( * 2192150 )
NEW met1 ( 1501670 14110 ) M1M2_PR
NEW met1 ( 1586770 14110 ) M1M2_PR
NEW li1 ( 434010 2192150 ) L1M1_PR_MR
NEW li1 ( 434010 2199630 ) L1M1_PR_MR
NEW met1 ( 434010 2199630 ) M1M2_PR
NEW met1 ( 1501670 2192150 ) M1M2_PR
NEW met1 ( 434010 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[55] ( PIN la_data_in[55] ) ( chip_controller la_data_in[55] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2049350 ) ( * 2054620 )
NEW met3 ( 188830 2054620 ) ( 200100 * 0 )
NEW met1 ( 171350 2049350 ) ( 188830 * )
NEW met2 ( 1604710 2380 0 ) ( * 3060 )
NEW met2 ( 1603790 3060 ) ( 1604710 * )
NEW met2 ( 1603790 2380 ) ( * 3060 )
NEW met2 ( 1602410 2380 ) ( 1603790 * )
NEW met1 ( 171350 66470 ) ( 1602410 * )
NEW met2 ( 1602410 2380 ) ( * 66470 )
NEW met2 ( 171350 66470 ) ( * 2049350 )
NEW met1 ( 188830 2049350 ) M1M2_PR
NEW met2 ( 188830 2054620 ) M2M3_PR_M
NEW met1 ( 171350 2049350 ) M1M2_PR
NEW met1 ( 171350 66470 ) M1M2_PR
NEW met1 ( 1602410 66470 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( chip_controller la_data_in[56] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2056660 ) ( * 2056830 )
NEW met3 ( 188830 2056660 ) ( 200100 * 0 )
NEW met1 ( 167210 2056830 ) ( 188830 * )
NEW met2 ( 1622190 2380 0 ) ( * 24650 )
NEW met1 ( 167210 24650 ) ( 1622190 * )
NEW met2 ( 167210 24650 ) ( * 2056830 )
NEW met1 ( 188830 2056830 ) M1M2_PR
NEW met2 ( 188830 2056660 ) M2M3_PR_M
NEW met1 ( 167210 24650 ) M1M2_PR
NEW met1 ( 167210 2056830 ) M1M2_PR
NEW met1 ( 1622190 24650 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( chip_controller la_data_in[57] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2056490 ) ( * 2061420 )
NEW met3 ( 187910 2061420 ) ( 200100 * 0 )
NEW met2 ( 1635530 82800 ) ( 1640130 * )
NEW met2 ( 1640130 2380 0 ) ( * 82800 )
NEW met2 ( 1635530 82800 ) ( * 1765450 )
NEW met1 ( 168590 2056490 ) ( 187910 * )
NEW met1 ( 168590 1765450 ) ( 1635530 * )
NEW met2 ( 168590 1765450 ) ( * 2056490 )
NEW met1 ( 187910 2056490 ) M1M2_PR
NEW met2 ( 187910 2061420 ) M2M3_PR_M
NEW met1 ( 1635530 1765450 ) M1M2_PR
NEW met1 ( 168590 1765450 ) M1M2_PR
NEW met1 ( 168590 2056490 ) M1M2_PR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( chip_controller la_data_in[58] ) + USE SIGNAL
+ ROUTED met2 ( 1658070 2380 0 ) ( * 15470 )
NEW met1 ( 1494770 15470 ) ( 1658070 * )
NEW li1 ( 443670 2194870 ) ( * 2199630 )
NEW met2 ( 443670 2199460 ) ( * 2199630 )
NEW met2 ( 442750 2199460 0 ) ( 443670 * )
NEW met1 ( 443670 2194870 ) ( 1494770 * )
NEW met2 ( 1494770 15470 ) ( * 2194870 )
NEW met1 ( 1658070 15470 ) M1M2_PR
NEW met1 ( 1494770 15470 ) M1M2_PR
NEW li1 ( 443670 2194870 ) L1M1_PR_MR
NEW li1 ( 443670 2199630 ) L1M1_PR_MR
NEW met1 ( 443670 2199630 ) M1M2_PR
NEW met1 ( 1494770 2194870 ) M1M2_PR
NEW met1 ( 443670 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[59] ( PIN la_data_in[59] ) ( chip_controller la_data_in[59] ) + USE SIGNAL
+ ROUTED met2 ( 1675550 2380 0 ) ( * 3060 )
NEW met2 ( 1674630 3060 ) ( 1675550 * )
NEW met2 ( 1674630 2380 ) ( * 3060 )
NEW met2 ( 1673250 2380 ) ( 1674630 * )
NEW met2 ( 188830 2063460 ) ( * 2063630 )
NEW met3 ( 188830 2063460 ) ( 200100 * 0 )
NEW met2 ( 1670030 82800 ) ( 1673250 * )
NEW met2 ( 1673250 2380 ) ( * 82800 )
NEW met2 ( 1670030 82800 ) ( * 1771570 )
NEW met1 ( 168130 1771570 ) ( 1670030 * )
NEW met1 ( 168130 2063630 ) ( 188830 * )
NEW met2 ( 168130 1771570 ) ( * 2063630 )
NEW met1 ( 1670030 1771570 ) M1M2_PR
NEW met1 ( 188830 2063630 ) M1M2_PR
NEW met2 ( 188830 2063460 ) M2M3_PR_M
NEW met1 ( 168130 1771570 ) M1M2_PR
NEW met1 ( 168130 2063630 ) M1M2_PR ;
- la_data_in[5] ( PIN la_data_in[5] ) ( chip_controller la_data_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 717830 2380 0 ) ( * 17340 )
NEW met2 ( 717830 17340 ) ( 718290 * )
NEW met1 ( 241270 100130 ) ( 718290 * )
NEW met2 ( 241270 100130 ) ( * 1773300 )
NEW met2 ( 240810 1773300 ) ( 241270 * )
NEW met2 ( 240810 1773300 ) ( * 1800300 )
NEW met2 ( 239430 1800300 0 ) ( 240810 * )
NEW met2 ( 718290 17340 ) ( * 100130 )
NEW met1 ( 241270 100130 ) M1M2_PR
NEW met1 ( 718290 100130 ) M1M2_PR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( chip_controller la_data_in[60] ) + USE SIGNAL
+ ROUTED met2 ( 461610 1779730 ) ( * 1800300 0 )
NEW met2 ( 1690730 82800 ) ( 1693490 * )
NEW met2 ( 1693490 2380 0 ) ( * 82800 )
NEW met1 ( 461610 1779730 ) ( 1690730 * )
NEW met2 ( 1690730 82800 ) ( * 1779730 )
NEW met1 ( 461610 1779730 ) M1M2_PR
NEW met1 ( 1690730 1779730 ) M1M2_PR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( chip_controller la_data_in[61] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2051220 0 ) ( 608350 * )
NEW met2 ( 608350 2049690 ) ( * 2051220 )
NEW met1 ( 608350 2049690 ) ( 1045350 * )
NEW met2 ( 1710970 2380 0 ) ( * 30430 )
NEW met1 ( 1045350 30430 ) ( 1710970 * )
NEW met2 ( 1045350 30430 ) ( * 2049690 )
NEW met2 ( 608350 2051220 ) M2M3_PR_M
NEW met1 ( 608350 2049690 ) M1M2_PR
NEW met1 ( 1045350 30430 ) M1M2_PR
NEW met1 ( 1045350 2049690 ) M1M2_PR
NEW met1 ( 1710970 30430 ) M1M2_PR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( chip_controller la_data_in[62] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2053940 0 ) ( 607890 * )
NEW met2 ( 607890 2050030 ) ( * 2053940 )
NEW met2 ( 1728910 2380 0 ) ( * 3060 )
NEW met2 ( 1727990 3060 ) ( 1728910 * )
NEW met2 ( 1727990 2380 ) ( * 3060 )
NEW met2 ( 1726610 2380 ) ( 1727990 * )
NEW met2 ( 900910 293590 ) ( * 2050030 )
NEW met2 ( 1725230 82800 ) ( 1726610 * )
NEW met2 ( 1726610 2380 ) ( * 82800 )
NEW met2 ( 1725230 82800 ) ( * 293590 )
NEW met1 ( 607890 2050030 ) ( 900910 * )
NEW met1 ( 900910 293590 ) ( 1725230 * )
NEW met2 ( 607890 2053940 ) M2M3_PR_M
NEW met1 ( 607890 2050030 ) M1M2_PR
NEW met1 ( 900910 293590 ) M1M2_PR
NEW met1 ( 900910 2050030 ) M1M2_PR
NEW met1 ( 1725230 293590 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( chip_controller la_data_in[63] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2057340 0 ) ( 607430 * )
NEW met2 ( 607430 2056830 ) ( * 2057340 )
NEW met2 ( 1746390 2380 0 ) ( * 23290 )
NEW met2 ( 990610 23290 ) ( * 2056830 )
NEW met1 ( 607430 2056830 ) ( 990610 * )
NEW met1 ( 990610 23290 ) ( 1746390 * )
NEW met2 ( 607430 2057340 ) M2M3_PR_M
NEW met1 ( 607430 2056830 ) M1M2_PR
NEW met1 ( 990610 23290 ) M1M2_PR
NEW met1 ( 990610 2056830 ) M1M2_PR
NEW met1 ( 1746390 23290 ) M1M2_PR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( chip_controller la_data_in[64] ) + USE SIGNAL
+ ROUTED met1 ( 471730 1787210 ) ( 475870 * )
NEW met2 ( 471730 1787210 ) ( * 1800300 0 )
NEW met2 ( 475870 40970 ) ( * 1787210 )
NEW met2 ( 1764330 2380 0 ) ( * 40970 )
NEW met1 ( 475870 40970 ) ( 1764330 * )
NEW met1 ( 475870 40970 ) M1M2_PR
NEW met1 ( 475870 1787210 ) M1M2_PR
NEW met1 ( 471730 1787210 ) M1M2_PR
NEW met1 ( 1764330 40970 ) M1M2_PR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( chip_controller la_data_in[65] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2070090 ) ( * 2073660 )
NEW met3 ( 188370 2073660 ) ( 200100 * 0 )
NEW met1 ( 177790 2070090 ) ( 188370 * )
NEW met2 ( 1780430 82800 ) ( 1781810 * )
NEW met2 ( 1781810 2380 0 ) ( * 82800 )
NEW met1 ( 177790 1783130 ) ( 1780430 * )
NEW met2 ( 1780430 82800 ) ( * 1783130 )
NEW met2 ( 177790 1783130 ) ( * 2070090 )
NEW met1 ( 188370 2070090 ) M1M2_PR
NEW met2 ( 188370 2073660 ) M2M3_PR_M
NEW met1 ( 177790 1783130 ) M1M2_PR
NEW met1 ( 177790 2070090 ) M1M2_PR
NEW met1 ( 1780430 1783130 ) M1M2_PR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( chip_controller la_data_in[66] ) + USE SIGNAL
+ ROUTED met2 ( 1799750 2380 0 ) ( * 19890 )
NEW met1 ( 1100550 19890 ) ( 1799750 * )
NEW met2 ( 459310 2199460 0 ) ( * 2204900 )
NEW met3 ( 459310 2204900 ) ( 1100550 * )
NEW met2 ( 1100550 19890 ) ( * 2204900 )
NEW met1 ( 1100550 19890 ) M1M2_PR
NEW met1 ( 1799750 19890 ) M1M2_PR
NEW met2 ( 459310 2204900 ) M2M3_PR_M
NEW met2 ( 1100550 2204900 ) M2M3_PR_M ;
- la_data_in[67] ( PIN la_data_in[67] ) ( chip_controller la_data_in[67] ) + USE SIGNAL
+ ROUTED met2 ( 1817690 2380 0 ) ( * 16150 )
NEW met1 ( 1501210 16150 ) ( 1817690 * )
NEW li1 ( 462990 2195210 ) ( * 2199630 )
NEW met2 ( 462990 2199460 ) ( * 2199630 )
NEW met2 ( 462530 2199460 0 ) ( 462990 * )
NEW met1 ( 462990 2195210 ) ( 1501210 * )
NEW met2 ( 1501210 16150 ) ( * 2195210 )
NEW met1 ( 1501210 16150 ) M1M2_PR
NEW met1 ( 1817690 16150 ) M1M2_PR
NEW li1 ( 462990 2195210 ) L1M1_PR_MR
NEW li1 ( 462990 2199630 ) L1M1_PR_MR
NEW met1 ( 462990 2199630 ) M1M2_PR
NEW met1 ( 1501210 2195210 ) M1M2_PR
NEW met1 ( 462990 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[68] ( PIN la_data_in[68] ) ( chip_controller la_data_in[68] ) + USE SIGNAL
+ ROUTED met2 ( 1835170 2380 0 ) ( * 33660 )
NEW met3 ( 463910 2214420 ) ( 555220 * )
NEW met3 ( 555220 33660 ) ( 1835170 * )
NEW met2 ( 463910 2199460 0 ) ( * 2214420 )
NEW met4 ( 555220 33660 ) ( * 2214420 )
NEW met2 ( 1835170 33660 ) M2M3_PR_M
NEW met2 ( 463910 2214420 ) M2M3_PR_M
NEW met3 ( 555220 33660 ) M3M4_PR_M
NEW met3 ( 555220 2214420 ) M3M4_PR_M ;
- la_data_in[69] ( PIN la_data_in[69] ) ( chip_controller la_data_in[69] ) + USE SIGNAL
+ ROUTED met2 ( 1853110 2380 0 ) ( * 3060 )
NEW met2 ( 1852190 3060 ) ( 1853110 * )
NEW met2 ( 1852190 2380 ) ( * 3060 )
NEW met2 ( 1850810 2380 ) ( 1852190 * )
NEW met2 ( 186990 2077230 ) ( * 2081820 )
NEW met3 ( 186990 2081820 ) ( 200100 * 0 )
NEW met2 ( 1849430 82800 ) ( 1850810 * )
NEW met2 ( 1850810 2380 ) ( * 82800 )
NEW met2 ( 1849430 82800 ) ( * 1764430 )
NEW met1 ( 169510 1764430 ) ( 1849430 * )
NEW met1 ( 169510 2077230 ) ( 186990 * )
NEW met2 ( 169510 1764430 ) ( * 2077230 )
NEW met1 ( 1849430 1764430 ) M1M2_PR
NEW met1 ( 186990 2077230 ) M1M2_PR
NEW met2 ( 186990 2081820 ) M2M3_PR_M
NEW met1 ( 169510 1764430 ) M1M2_PR
NEW met1 ( 169510 2077230 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( chip_controller la_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 489670 2206770 ) ( * 2210510 )
NEW met1 ( 238050 2210510 ) ( 489670 * )
NEW met2 ( 238050 2199460 0 ) ( * 2210510 )
NEW met2 ( 735770 2380 0 ) ( * 34500 )
NEW met2 ( 731630 34500 ) ( 735770 * )
NEW met1 ( 489670 2206770 ) ( 731630 * )
NEW met2 ( 731630 34500 ) ( * 2206770 )
NEW met1 ( 489670 2210510 ) M1M2_PR
NEW met1 ( 489670 2206770 ) M1M2_PR
NEW met1 ( 238050 2210510 ) M1M2_PR
NEW met1 ( 731630 2206770 ) M1M2_PR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( chip_controller la_data_in[70] ) + USE SIGNAL
+ ROUTED met1 ( 490130 1788570 ) ( 496570 * )
NEW met2 ( 490130 1788570 ) ( * 1800300 0 )
NEW met2 ( 496570 40290 ) ( * 1788570 )
NEW met1 ( 496570 40290 ) ( 1870590 * )
NEW met2 ( 1870590 2380 0 ) ( * 40290 )
NEW met1 ( 496570 40290 ) M1M2_PR
NEW met1 ( 496570 1788570 ) M1M2_PR
NEW met1 ( 490130 1788570 ) M1M2_PR
NEW met1 ( 1870590 40290 ) M1M2_PR ;
- la_data_in[71] ( PIN la_data_in[71] ) ( chip_controller la_data_in[71] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2072300 0 ) ( 607430 * )
NEW met2 ( 607430 2070090 ) ( * 2072300 )
NEW met2 ( 1888530 2380 0 ) ( * 14620 )
NEW met2 ( 1886690 14620 ) ( 1888530 * )
NEW met1 ( 607430 2070090 ) ( 1121250 * )
NEW met2 ( 1121250 79730 ) ( * 2070090 )
NEW met1 ( 1121250 79730 ) ( 1886690 * )
NEW met2 ( 1886690 14620 ) ( * 79730 )
NEW met2 ( 607430 2072300 ) M2M3_PR_M
NEW met1 ( 607430 2070090 ) M1M2_PR
NEW met1 ( 1121250 79730 ) M1M2_PR
NEW met1 ( 1121250 2070090 ) M1M2_PR
NEW met1 ( 1886690 79730 ) M1M2_PR ;
- la_data_in[72] ( PIN la_data_in[72] ) ( chip_controller la_data_in[72] ) + USE SIGNAL
+ ROUTED met3 ( 475180 2199460 ) ( 475870 * )
NEW met2 ( 475410 2199460 0 ) ( 475870 * )
NEW met4 ( 475180 32980 ) ( * 2199460 )
NEW met2 ( 1906010 2380 0 ) ( * 32980 )
NEW met3 ( 475180 32980 ) ( 1906010 * )
NEW met3 ( 475180 32980 ) M3M4_PR_M
NEW met3 ( 475180 2199460 ) M3M4_PR_M
NEW met2 ( 475870 2199460 ) M2M3_PR_M
NEW met2 ( 1906010 32980 ) M2M3_PR_M ;
- la_data_in[73] ( PIN la_data_in[73] ) ( chip_controller la_data_in[73] ) + USE SIGNAL
+ ROUTED met2 ( 1923950 2380 0 ) ( * 32810 )
NEW met3 ( 599380 2073660 0 ) ( 607890 * )
NEW met2 ( 607890 2070430 ) ( * 2073660 )
NEW met1 ( 645610 32810 ) ( 1923950 * )
NEW met1 ( 607890 2070430 ) ( 645610 * )
NEW met2 ( 645610 32810 ) ( * 2070430 )
NEW met1 ( 1923950 32810 ) M1M2_PR
NEW met2 ( 607890 2073660 ) M2M3_PR_M
NEW met1 ( 607890 2070430 ) M1M2_PR
NEW met1 ( 645610 32810 ) M1M2_PR
NEW met1 ( 645610 2070430 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( chip_controller la_data_in[74] ) + USE SIGNAL
+ ROUTED met2 ( 1941430 2380 0 ) ( * 3060 )
NEW met2 ( 1940510 3060 ) ( 1941430 * )
NEW met2 ( 1940510 2380 ) ( * 3060 )
NEW met2 ( 1939130 2380 ) ( 1940510 * )
NEW met3 ( 599380 2077060 0 ) ( 607430 * )
NEW met2 ( 607430 2077060 ) ( * 2077570 )
NEW met2 ( 1939130 2380 ) ( * 1769530 )
NEW met1 ( 1411510 1769530 ) ( 1939130 * )
NEW met1 ( 607430 2077570 ) ( 1411510 * )
NEW met2 ( 1411510 1769530 ) ( * 2077570 )
NEW met1 ( 1939130 1769530 ) M1M2_PR
NEW met2 ( 607430 2077060 ) M2M3_PR_M
NEW met1 ( 607430 2077570 ) M1M2_PR
NEW met1 ( 1411510 1769530 ) M1M2_PR
NEW met1 ( 1411510 2077570 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( chip_controller la_data_in[75] ) + USE SIGNAL
+ ROUTED met2 ( 1959370 2380 0 ) ( * 23630 )
NEW met3 ( 599380 2079780 0 ) ( 607430 * )
NEW met2 ( 607430 2078250 ) ( * 2079780 )
NEW met1 ( 942310 23630 ) ( 1959370 * )
NEW met1 ( 607430 2078250 ) ( 942310 * )
NEW met2 ( 942310 23630 ) ( * 2078250 )
NEW met1 ( 1959370 23630 ) M1M2_PR
NEW met2 ( 607430 2079780 ) M2M3_PR_M
NEW met1 ( 607430 2078250 ) M1M2_PR
NEW met1 ( 942310 23630 ) M1M2_PR
NEW met1 ( 942310 2078250 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( chip_controller la_data_in[76] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2082500 0 ) ( 607890 * )
NEW met2 ( 607890 2077910 ) ( * 2082500 )
NEW met2 ( 1356310 1772590 ) ( * 2077910 )
NEW met1 ( 1356310 1772590 ) ( 1973630 * )
NEW met1 ( 607890 2077910 ) ( 1356310 * )
NEW met2 ( 1973630 82800 ) ( 1976850 * )
NEW met2 ( 1976850 2380 0 ) ( * 82800 )
NEW met2 ( 1973630 82800 ) ( * 1772590 )
NEW met1 ( 1356310 1772590 ) M1M2_PR
NEW met2 ( 607890 2082500 ) M2M3_PR_M
NEW met1 ( 607890 2077910 ) M1M2_PR
NEW met1 ( 1356310 2077910 ) M1M2_PR
NEW met1 ( 1973630 1772590 ) M1M2_PR ;
- la_data_in[77] ( PIN la_data_in[77] ) ( chip_controller la_data_in[77] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2087260 0 ) ( 608350 * )
NEW met2 ( 608350 2084030 ) ( * 2087260 )
NEW met1 ( 608350 2084030 ) ( 1390810 * )
NEW met2 ( 1390810 1780070 ) ( * 2084030 )
NEW met2 ( 1994790 2380 0 ) ( * 34500 )
NEW met2 ( 1994330 34500 ) ( 1994790 * )
NEW met1 ( 1390810 1780070 ) ( 1994330 * )
NEW met2 ( 1994330 34500 ) ( * 1780070 )
NEW met2 ( 608350 2087260 ) M2M3_PR_M
NEW met1 ( 608350 2084030 ) M1M2_PR
NEW met1 ( 1390810 1780070 ) M1M2_PR
NEW met1 ( 1390810 2084030 ) M1M2_PR
NEW met1 ( 1994330 1780070 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( chip_controller la_data_in[78] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2090660 0 ) ( 607890 * )
NEW met2 ( 607890 2084710 ) ( * 2090660 )
NEW met2 ( 866410 26690 ) ( * 2084710 )
NEW met2 ( 2012730 2380 0 ) ( * 26690 )
NEW met1 ( 866410 26690 ) ( 2012730 * )
NEW met1 ( 607890 2084710 ) ( 866410 * )
NEW met1 ( 866410 26690 ) M1M2_PR
NEW met2 ( 607890 2090660 ) M2M3_PR_M
NEW met1 ( 607890 2084710 ) M1M2_PR
NEW met1 ( 866410 2084710 ) M1M2_PR
NEW met1 ( 2012730 26690 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( chip_controller la_data_in[79] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2093380 0 ) ( 608350 * )
NEW met2 ( 608350 2090830 ) ( * 2093380 )
NEW met2 ( 2028830 82800 ) ( 2030210 * )
NEW met2 ( 2030210 2380 0 ) ( * 82800 )
NEW met2 ( 2028830 82800 ) ( * 1775990 )
NEW met1 ( 608350 2090830 ) ( 1321810 * )
NEW met2 ( 1321810 1775990 ) ( * 2090830 )
NEW met1 ( 1321810 1775990 ) ( 2028830 * )
NEW met2 ( 608350 2093380 ) M2M3_PR_M
NEW met1 ( 608350 2090830 ) M1M2_PR
NEW met1 ( 2028830 1775990 ) M1M2_PR
NEW met1 ( 1321810 1775990 ) M1M2_PR
NEW met1 ( 1321810 2090830 ) M1M2_PR ;
- la_data_in[7] ( PIN la_data_in[7] ) ( chip_controller la_data_in[7] ) + USE SIGNAL
+ ROUTED met3 ( 195730 1858780 ) ( 200100 * 0 )
NEW met1 ( 195730 1768850 ) ( 752330 * )
NEW met2 ( 752330 82800 ) ( 753250 * )
NEW met2 ( 753250 2380 0 ) ( * 82800 )
NEW met2 ( 752330 82800 ) ( * 1768850 )
NEW met2 ( 195730 1768850 ) ( * 1858780 )
NEW met1 ( 195730 1768850 ) M1M2_PR
NEW met2 ( 195730 1858780 ) M2M3_PR_M
NEW met1 ( 752330 1768850 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) ( chip_controller la_data_in[80] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2098310 ) ( * 2100860 )
NEW met3 ( 188830 2100860 ) ( 200100 * 0 )
NEW met2 ( 2048150 2380 0 ) ( * 39610 )
NEW met1 ( 167670 2098310 ) ( 188830 * )
NEW met1 ( 167670 39610 ) ( 2048150 * )
NEW met2 ( 167670 39610 ) ( * 2098310 )
NEW met1 ( 188830 2098310 ) M1M2_PR
NEW met2 ( 188830 2100860 ) M2M3_PR_M
NEW met1 ( 2048150 39610 ) M1M2_PR
NEW met1 ( 167670 39610 ) M1M2_PR
NEW met1 ( 167670 2098310 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( chip_controller la_data_in[81] ) + USE SIGNAL
+ ROUTED li1 ( 577530 2209490 ) ( * 2211190 )
NEW met1 ( 577530 2211190 ) ( 604210 * )
NEW met2 ( 499790 2199460 0 ) ( * 2208810 )
NEW li1 ( 542110 2208810 ) ( * 2209490 )
NEW met1 ( 499790 2208810 ) ( 542110 * )
NEW met1 ( 542110 2209490 ) ( 577530 * )
NEW met2 ( 2065630 2380 0 ) ( * 3060 )
NEW met2 ( 2064710 3060 ) ( 2065630 * )
NEW met2 ( 2064710 2380 ) ( * 3060 )
NEW met2 ( 2063330 2380 ) ( 2064710 * )
NEW li1 ( 2063330 1803530 ) ( * 1805230 )
NEW met2 ( 2063330 2380 ) ( * 1803530 )
NEW met1 ( 604210 1805230 ) ( 2063330 * )
NEW met2 ( 604210 1805230 ) ( * 2211190 )
NEW met1 ( 499790 2208810 ) M1M2_PR
NEW li1 ( 577530 2209490 ) L1M1_PR_MR
NEW li1 ( 577530 2211190 ) L1M1_PR_MR
NEW met1 ( 604210 2211190 ) M1M2_PR
NEW li1 ( 542110 2208810 ) L1M1_PR_MR
NEW li1 ( 542110 2209490 ) L1M1_PR_MR
NEW li1 ( 2063330 1805230 ) L1M1_PR_MR
NEW li1 ( 2063330 1803530 ) L1M1_PR_MR
NEW met1 ( 2063330 1803530 ) M1M2_PR
NEW met1 ( 604210 1805230 ) M1M2_PR
NEW met1 ( 2063330 1803530 ) RECT ( 0 -70 355 70 ) ;
- la_data_in[82] ( PIN la_data_in[82] ) ( chip_controller la_data_in[82] ) + USE SIGNAL
+ ROUTED met1 ( 506230 1787210 ) ( 510370 * )
NEW met2 ( 506230 1787210 ) ( * 1800300 0 )
NEW met2 ( 510370 1765110 ) ( * 1787210 )
NEW met2 ( 2052750 17850 ) ( * 1765110 )
NEW met1 ( 510370 1765110 ) ( 2052750 * )
NEW met2 ( 2083570 2380 0 ) ( * 17850 )
NEW met1 ( 2052750 17850 ) ( 2083570 * )
NEW met1 ( 510370 1765110 ) M1M2_PR
NEW met1 ( 2052750 17850 ) M1M2_PR
NEW met1 ( 2052750 1765110 ) M1M2_PR
NEW met1 ( 510370 1787210 ) M1M2_PR
NEW met1 ( 506230 1787210 ) M1M2_PR
NEW met1 ( 2083570 17850 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( chip_controller la_data_in[83] ) + USE SIGNAL
+ ROUTED met2 ( 503010 2199460 0 ) ( * 2210850 )
NEW met1 ( 503010 2210850 ) ( 810750 * )
NEW met2 ( 810750 40630 ) ( * 2210850 )
NEW met1 ( 810750 40630 ) ( 2101050 * )
NEW met2 ( 2101050 2380 0 ) ( * 40630 )
NEW met1 ( 503010 2210850 ) M1M2_PR
NEW met1 ( 810750 2210850 ) M1M2_PR
NEW met1 ( 810750 40630 ) M1M2_PR
NEW met1 ( 2101050 40630 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( chip_controller la_data_in[84] ) + USE SIGNAL
+ ROUTED met3 ( 182850 2113100 ) ( 200100 * 0 )
NEW met2 ( 2118990 2380 0 ) ( * 34500 )
NEW met2 ( 2118990 34500 ) ( 2119450 * )
NEW met2 ( 2119450 34500 ) ( * 1798430 )
NEW met1 ( 182850 1798430 ) ( 2119450 * )
NEW met2 ( 182850 1798430 ) ( * 2113100 )
NEW met2 ( 182850 2113100 ) M2M3_PR_M
NEW met1 ( 182850 1798430 ) M1M2_PR
NEW met1 ( 2119450 1798430 ) M1M2_PR ;
- la_data_in[85] ( PIN la_data_in[85] ) ( chip_controller la_data_in[85] ) + USE SIGNAL
+ ROUTED met2 ( 2136470 2380 0 ) ( * 32470 )
NEW met3 ( 599380 2102220 0 ) ( 607890 * )
NEW met2 ( 607890 2098310 ) ( * 2102220 )
NEW met1 ( 652510 32470 ) ( 2136470 * )
NEW met1 ( 607890 2098310 ) ( 652510 * )
NEW met2 ( 652510 32470 ) ( * 2098310 )
NEW met1 ( 2136470 32470 ) M1M2_PR
NEW met2 ( 607890 2102220 ) M2M3_PR_M
NEW met1 ( 607890 2098310 ) M1M2_PR
NEW met1 ( 652510 32470 ) M1M2_PR
NEW met1 ( 652510 2098310 ) M1M2_PR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( chip_controller la_data_in[86] ) + USE SIGNAL
+ ROUTED met2 ( 512210 1778370 ) ( * 1800300 0 )
NEW met2 ( 2153030 82800 ) ( 2154410 * )
NEW met2 ( 2154410 2380 0 ) ( * 82800 )
NEW met2 ( 2153030 82800 ) ( * 1778370 )
NEW met1 ( 512210 1778370 ) ( 2153030 * )
NEW met1 ( 512210 1778370 ) M1M2_PR
NEW met1 ( 2153030 1778370 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( chip_controller la_data_in[87] ) + USE SIGNAL
+ ROUTED met2 ( 508070 2199460 0 ) ( * 2209490 )
NEW met1 ( 541650 2209490 ) ( * 2209830 )
NEW met1 ( 508070 2209490 ) ( 541650 * )
NEW met1 ( 541650 2209830 ) ( 941850 * )
NEW met2 ( 941390 82800 ) ( 941850 * )
NEW met2 ( 941390 41310 ) ( * 82800 )
NEW met2 ( 941850 82800 ) ( * 2209830 )
NEW met1 ( 941390 41310 ) ( 2172350 * )
NEW met2 ( 2172350 2380 0 ) ( * 41310 )
NEW met1 ( 508070 2209490 ) M1M2_PR
NEW met1 ( 941850 2209830 ) M1M2_PR
NEW met1 ( 941390 41310 ) M1M2_PR
NEW met1 ( 2172350 41310 ) M1M2_PR ;
- la_data_in[88] ( PIN la_data_in[88] ) ( chip_controller la_data_in[88] ) + USE SIGNAL
+ ROUTED met1 ( 523710 1771230 ) ( 2087250 * )
NEW met2 ( 2189830 2380 0 ) ( * 17850 )
NEW met1 ( 2087710 17850 ) ( 2189830 * )
NEW met2 ( 523710 1771230 ) ( * 1773300 )
NEW met2 ( 521870 1773300 ) ( 523710 * )
NEW met2 ( 521870 1773300 ) ( * 1800300 )
NEW met2 ( 520490 1800300 0 ) ( 521870 * )
NEW met2 ( 2087250 82800 ) ( 2087710 * )
NEW met2 ( 2087710 17850 ) ( * 82800 )
NEW met2 ( 2087250 82800 ) ( * 1771230 )
NEW met1 ( 523710 1771230 ) M1M2_PR
NEW met1 ( 2087710 17850 ) M1M2_PR
NEW met1 ( 2087250 1771230 ) M1M2_PR
NEW met1 ( 2189830 17850 ) M1M2_PR ;
- la_data_in[89] ( PIN la_data_in[89] ) ( chip_controller la_data_in[89] ) + USE SIGNAL
+ ROUTED met2 ( 2207770 2380 0 ) ( * 17170 )
NEW met1 ( 2197650 17170 ) ( 2207770 * )
NEW met1 ( 524170 1764770 ) ( 2197650 * )
NEW met2 ( 522330 1800300 0 ) ( 524170 * )
NEW met2 ( 524170 1764770 ) ( * 1800300 )
NEW met2 ( 2197650 17170 ) ( * 1764770 )
NEW met1 ( 524170 1764770 ) M1M2_PR
NEW met1 ( 2207770 17170 ) M1M2_PR
NEW met1 ( 2197650 17170 ) M1M2_PR
NEW met1 ( 2197650 1764770 ) M1M2_PR ;
- la_data_in[8] ( PIN la_data_in[8] ) ( chip_controller la_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 771190 2380 0 ) ( * 17510 )
NEW met1 ( 624910 17850 ) ( 662400 * )
NEW met1 ( 662400 17510 ) ( * 17850 )
NEW met1 ( 662400 17510 ) ( 771190 * )
NEW met2 ( 260590 2199460 0 ) ( * 2204050 )
NEW met1 ( 260590 2204050 ) ( 624910 * )
NEW met2 ( 624910 17850 ) ( * 2204050 )
NEW met1 ( 771190 17510 ) M1M2_PR
NEW met1 ( 624910 17850 ) M1M2_PR
NEW met1 ( 260590 2204050 ) M1M2_PR
NEW met1 ( 624910 2204050 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( chip_controller la_data_in[90] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2118370 ) ( * 2124660 )
NEW met3 ( 186990 2124660 ) ( 200100 * 0 )
NEW met2 ( 2225250 2380 0 ) ( * 38930 )
NEW met1 ( 164450 2118370 ) ( 186990 * )
NEW met1 ( 164450 38930 ) ( 2225250 * )
NEW met2 ( 164450 38930 ) ( * 2118370 )
NEW met1 ( 186990 2118370 ) M1M2_PR
NEW met2 ( 186990 2124660 ) M2M3_PR_M
NEW met1 ( 2225250 38930 ) M1M2_PR
NEW met1 ( 164450 2118370 ) M1M2_PR
NEW met1 ( 164450 38930 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( chip_controller la_data_in[91] ) + USE SIGNAL
+ ROUTED met2 ( 2243190 2380 0 ) ( * 1778030 )
NEW met2 ( 524630 1778030 ) ( * 1800300 0 )
NEW met1 ( 524630 1778030 ) ( 2243190 * )
NEW met1 ( 2243190 1778030 ) M1M2_PR
NEW met1 ( 524630 1778030 ) M1M2_PR ;
- la_data_in[92] ( PIN la_data_in[92] ) ( chip_controller la_data_in[92] ) + USE SIGNAL
+ ROUTED met1 ( 528310 1790270 ) ( 530610 * )
NEW met2 ( 528310 1790270 ) ( * 1800300 0 )
NEW met2 ( 530610 46750 ) ( * 1790270 )
NEW met1 ( 530610 46750 ) ( 2260670 * )
NEW met2 ( 2260670 2380 0 ) ( * 46750 )
NEW met1 ( 530610 46750 ) M1M2_PR
NEW met1 ( 530610 1790270 ) M1M2_PR
NEW met1 ( 528310 1790270 ) M1M2_PR
NEW met1 ( 2260670 46750 ) M1M2_PR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( chip_controller la_data_in[93] ) + USE SIGNAL
+ ROUTED met2 ( 529690 1800300 ) ( 530610 * 0 )
NEW met2 ( 529690 46410 ) ( * 1800300 )
NEW met1 ( 529690 46410 ) ( 2278610 * )
NEW met2 ( 2278610 2380 0 ) ( * 46410 )
NEW met1 ( 529690 46410 ) M1M2_PR
NEW met1 ( 2278610 46410 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( chip_controller la_data_in[94] ) + USE SIGNAL
+ ROUTED met3 ( 519570 2210340 ) ( 921610 * )
NEW met1 ( 921610 1744030 ) ( 2291030 * )
NEW met2 ( 519570 2199460 0 ) ( * 2210340 )
NEW met2 ( 921610 1744030 ) ( * 2210340 )
NEW met2 ( 2291030 82800 ) ( 2296090 * )
NEW met2 ( 2296090 2380 0 ) ( * 82800 )
NEW met2 ( 2291030 82800 ) ( * 1744030 )
NEW met2 ( 519570 2210340 ) M2M3_PR_M
NEW met1 ( 921610 1744030 ) M1M2_PR
NEW met2 ( 921610 2210340 ) M2M3_PR_M
NEW met1 ( 2291030 1744030 ) M1M2_PR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( chip_controller la_data_in[95] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2130780 0 ) ( 607890 * )
NEW met2 ( 607890 2125850 ) ( * 2130780 )
NEW met2 ( 2314030 2380 0 ) ( * 26350 )
NEW met1 ( 607890 2125850 ) ( 1141950 * )
NEW met1 ( 1141950 26350 ) ( 2314030 * )
NEW met2 ( 1141950 26350 ) ( * 2125850 )
NEW met2 ( 607890 2130780 ) M2M3_PR_M
NEW met1 ( 607890 2125850 ) M1M2_PR
NEW met1 ( 2314030 26350 ) M1M2_PR
NEW met1 ( 1141950 26350 ) M1M2_PR
NEW met1 ( 1141950 2125850 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( chip_controller la_data_in[96] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2136900 0 ) ( 607430 * )
NEW met2 ( 607430 2133330 ) ( * 2136900 )
NEW met2 ( 2331510 2380 0 ) ( * 3060 )
NEW met2 ( 2330590 3060 ) ( 2331510 * )
NEW met2 ( 2330590 2380 ) ( * 3060 )
NEW met2 ( 2329210 2380 ) ( 2330590 * )
NEW met2 ( 2325990 82800 ) ( * 86190 )
NEW met2 ( 2325990 82800 ) ( 2329210 * )
NEW met2 ( 2329210 2380 ) ( * 82800 )
NEW met1 ( 607430 2133330 ) ( 756010 * )
NEW met1 ( 756010 86190 ) ( 2325990 * )
NEW met2 ( 756010 86190 ) ( * 2133330 )
NEW met2 ( 607430 2136900 ) M2M3_PR_M
NEW met1 ( 607430 2133330 ) M1M2_PR
NEW met1 ( 2325990 86190 ) M1M2_PR
NEW met1 ( 756010 86190 ) M1M2_PR
NEW met1 ( 756010 2133330 ) M1M2_PR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( chip_controller la_data_in[97] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2132650 ) ( * 2138940 )
NEW met3 ( 189290 2138940 ) ( 200100 * 0 )
NEW met2 ( 2349450 2380 0 ) ( * 38590 )
NEW met1 ( 174110 2132650 ) ( 189290 * )
NEW met1 ( 174110 38590 ) ( 2349450 * )
NEW met2 ( 174110 38590 ) ( * 2132650 )
NEW met1 ( 189290 2132650 ) M1M2_PR
NEW met2 ( 189290 2138940 ) M2M3_PR_M
NEW met1 ( 2349450 38590 ) M1M2_PR
NEW met1 ( 174110 2132650 ) M1M2_PR
NEW met1 ( 174110 38590 ) M1M2_PR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( chip_controller la_data_in[98] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2141660 0 ) ( 607430 * )
NEW met2 ( 607430 2139110 ) ( * 2141660 )
NEW met2 ( 1162650 26010 ) ( * 2139110 )
NEW met1 ( 607430 2139110 ) ( 1162650 * )
NEW met2 ( 2367390 2380 0 ) ( * 26010 )
NEW met1 ( 1162650 26010 ) ( 2367390 * )
NEW met2 ( 607430 2141660 ) M2M3_PR_M
NEW met1 ( 607430 2139110 ) M1M2_PR
NEW met1 ( 1162650 26010 ) M1M2_PR
NEW met1 ( 1162650 2139110 ) M1M2_PR
NEW met1 ( 2367390 26010 ) M1M2_PR ;
- la_data_in[99] ( PIN la_data_in[99] ) ( chip_controller la_data_in[99] ) + USE SIGNAL
+ ROUTED met3 ( 530610 2211020 ) ( 914250 * )
NEW met2 ( 2384870 2380 0 ) ( * 3060 )
NEW met2 ( 2383950 3060 ) ( 2384870 * )
NEW met2 ( 2383950 2380 ) ( * 3060 )
NEW met2 ( 2382570 2380 ) ( 2383950 * )
NEW met1 ( 914250 1743350 ) ( 2380730 * )
NEW met2 ( 530610 2199460 0 ) ( * 2211020 )
NEW met2 ( 914250 1743350 ) ( * 2211020 )
NEW met2 ( 2380730 82800 ) ( 2382570 * )
NEW met2 ( 2382570 2380 ) ( * 82800 )
NEW met2 ( 2380730 82800 ) ( * 1743350 )
NEW met2 ( 530610 2211020 ) M2M3_PR_M
NEW met1 ( 914250 1743350 ) M1M2_PR
NEW met2 ( 914250 2211020 ) M2M3_PR_M
NEW met1 ( 2380730 1743350 ) M1M2_PR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( chip_controller la_data_in[9] ) + USE SIGNAL
+ ROUTED met3 ( 197570 1867620 ) ( 200100 * 0 )
NEW met2 ( 786830 82800 ) ( 789130 * )
NEW met2 ( 789130 2380 0 ) ( * 82800 )
NEW met2 ( 786830 82800 ) ( * 1796390 )
NEW met1 ( 197570 1796390 ) ( 786830 * )
NEW met2 ( 197570 1796390 ) ( * 1867620 )
NEW met2 ( 197570 1867620 ) M2M3_PR_M
NEW met1 ( 197570 1796390 ) M1M2_PR
NEW met1 ( 786830 1796390 ) M1M2_PR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( chip_controller la_data_out[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1823420 0 ) ( 610190 * )
NEW met2 ( 610190 1823250 ) ( * 1823420 )
NEW met1 ( 610190 1823250 ) ( 635030 * )
NEW met2 ( 635030 2380 0 ) ( * 1823250 )
NEW met2 ( 610190 1823420 ) M2M3_PR_M
NEW met1 ( 610190 1823250 ) M1M2_PR
NEW met1 ( 635030 1823250 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( chip_controller la_data_out[100] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2144380 0 ) ( 607890 * )
NEW met2 ( 607890 2139450 ) ( * 2144380 )
NEW met2 ( 983250 362270 ) ( * 2139450 )
NEW met2 ( 2408790 2380 0 ) ( * 362270 )
NEW met1 ( 607890 2139450 ) ( 983250 * )
NEW met1 ( 983250 362270 ) ( 2408790 * )
NEW met2 ( 607890 2144380 ) M2M3_PR_M
NEW met1 ( 607890 2139450 ) M1M2_PR
NEW met1 ( 983250 2139450 ) M1M2_PR
NEW met1 ( 983250 362270 ) M1M2_PR
NEW met1 ( 2408790 362270 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( chip_controller la_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 2426270 2380 0 ) ( * 3060 )
NEW met2 ( 2425350 3060 ) ( 2426270 * )
NEW met2 ( 2425350 2380 ) ( * 3060 )
NEW met2 ( 2423970 2380 ) ( 2425350 * )
NEW met2 ( 2422130 82800 ) ( 2423970 * )
NEW met2 ( 2423970 2380 ) ( * 82800 )
NEW met2 ( 2422130 82800 ) ( * 1766130 )
NEW met3 ( 535670 2209660 ) ( 1011310 * )
NEW met1 ( 1011310 1766130 ) ( 2422130 * )
NEW met2 ( 535670 2199460 0 ) ( * 2209660 )
NEW met2 ( 1011310 1766130 ) ( * 2209660 )
NEW met1 ( 2422130 1766130 ) M1M2_PR
NEW met2 ( 535670 2209660 ) M2M3_PR_M
NEW met1 ( 1011310 1766130 ) M1M2_PR
NEW met2 ( 1011310 2209660 ) M2M3_PR_M ;
- la_data_out[102] ( PIN la_data_out[102] ) ( chip_controller la_data_out[102] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2150500 0 ) ( 607430 * )
NEW met2 ( 607430 2146590 ) ( * 2150500 )
NEW met2 ( 666770 1757290 ) ( * 2146590 )
NEW met2 ( 2442830 82800 ) ( 2444210 * )
NEW met2 ( 2444210 2380 0 ) ( * 82800 )
NEW met2 ( 2442830 82800 ) ( * 1757290 )
NEW met1 ( 607430 2146590 ) ( 666770 * )
NEW met1 ( 666770 1757290 ) ( 2442830 * )
NEW met2 ( 607430 2150500 ) M2M3_PR_M
NEW met1 ( 607430 2146590 ) M1M2_PR
NEW met1 ( 666770 1757290 ) M1M2_PR
NEW met1 ( 666770 2146590 ) M1M2_PR
NEW met1 ( 2442830 1757290 ) M1M2_PR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( chip_controller la_data_out[103] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2151860 0 ) ( 608350 * )
NEW met2 ( 608350 2146250 ) ( * 2151860 )
NEW met2 ( 900450 31790 ) ( * 2146250 )
NEW met1 ( 608350 2146250 ) ( 900450 * )
NEW met2 ( 2461690 2380 0 ) ( * 31790 )
NEW met1 ( 900450 31790 ) ( 2461690 * )
NEW met2 ( 608350 2151860 ) M2M3_PR_M
NEW met1 ( 608350 2146250 ) M1M2_PR
NEW met1 ( 900450 31790 ) M1M2_PR
NEW met1 ( 900450 2146250 ) M1M2_PR
NEW met1 ( 2461690 31790 ) M1M2_PR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( chip_controller la_data_out[104] ) + USE SIGNAL
+ ROUTED met1 ( 552690 1790270 ) ( 558670 * )
NEW met2 ( 552690 1790270 ) ( * 1800300 0 )
NEW met2 ( 558670 46070 ) ( * 1790270 )
NEW met1 ( 558670 46070 ) ( 2479630 * )
NEW met2 ( 2479630 2380 0 ) ( * 46070 )
NEW met1 ( 558670 46070 ) M1M2_PR
NEW met1 ( 558670 1790270 ) M1M2_PR
NEW met1 ( 552690 1790270 ) M1M2_PR
NEW met1 ( 2479630 46070 ) M1M2_PR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( chip_controller la_data_out[105] ) + USE SIGNAL
+ ROUTED met1 ( 554530 1787210 ) ( 558210 * )
NEW met2 ( 554530 1787210 ) ( * 1800300 0 )
NEW met2 ( 558210 45730 ) ( * 1787210 )
NEW met1 ( 558210 45730 ) ( 2497110 * )
NEW met2 ( 2497110 2380 0 ) ( * 45730 )
NEW met1 ( 558210 45730 ) M1M2_PR
NEW met1 ( 558210 1787210 ) M1M2_PR
NEW met1 ( 554530 1787210 ) M1M2_PR
NEW met1 ( 2497110 45730 ) M1M2_PR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( chip_controller la_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 2515050 2380 0 ) ( * 17340 )
NEW met2 ( 2514590 17340 ) ( 2515050 * )
NEW met2 ( 2514590 17340 ) ( * 45390 )
NEW met2 ( 556830 1800300 0 ) ( 557750 * )
NEW met2 ( 557750 45390 ) ( * 1800300 )
NEW met1 ( 557750 45390 ) ( 2514590 * )
NEW met1 ( 2514590 45390 ) M1M2_PR
NEW met1 ( 557750 45390 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( chip_controller la_data_out[107] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2153050 ) ( * 2155940 )
NEW met3 ( 187910 2155940 ) ( 200100 * 0 )
NEW met2 ( 2532530 2380 0 ) ( * 34500 )
NEW met2 ( 2532530 34500 ) ( 2533450 * )
NEW met2 ( 2533450 34500 ) ( * 1798090 )
NEW met1 ( 169970 2153050 ) ( 187910 * )
NEW met1 ( 169970 1798090 ) ( 2533450 * )
NEW met2 ( 169970 1798090 ) ( * 2153050 )
NEW met1 ( 187910 2153050 ) M1M2_PR
NEW met2 ( 187910 2155940 ) M2M3_PR_M
NEW met1 ( 2533450 1798090 ) M1M2_PR
NEW met1 ( 169970 2153050 ) M1M2_PR
NEW met1 ( 169970 1798090 ) M1M2_PR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( chip_controller la_data_out[108] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2159850 ) ( * 2160700 )
NEW met3 ( 189750 2160700 ) ( 200100 * 0 )
NEW met1 ( 164910 2159850 ) ( 189750 * )
NEW met1 ( 164910 37910 ) ( 2550470 * )
NEW met2 ( 2550470 2380 0 ) ( * 37910 )
NEW met2 ( 164910 37910 ) ( * 2159850 )
NEW met1 ( 189750 2159850 ) M1M2_PR
NEW met2 ( 189750 2160700 ) M2M3_PR_M
NEW met1 ( 164910 37910 ) M1M2_PR
NEW met1 ( 164910 2159850 ) M1M2_PR
NEW met1 ( 2550470 37910 ) M1M2_PR ;
- la_data_out[109] ( PIN la_data_out[109] ) ( chip_controller la_data_out[109] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2160190 ) ( * 2162740 )
NEW met3 ( 189290 2162740 ) ( 200100 * 0 )
NEW met1 ( 163070 1755930 ) ( 2567030 * )
NEW met1 ( 163070 2160190 ) ( 189290 * )
NEW met2 ( 2567030 82800 ) ( 2567950 * )
NEW met2 ( 2567950 2380 0 ) ( * 82800 )
NEW met2 ( 2567030 82800 ) ( * 1755930 )
NEW met2 ( 163070 1755930 ) ( * 2160190 )
NEW met1 ( 189290 2160190 ) M1M2_PR
NEW met2 ( 189290 2162740 ) M2M3_PR_M
NEW met1 ( 163070 1755930 ) M1M2_PR
NEW met1 ( 2567030 1755930 ) M1M2_PR
NEW met1 ( 163070 2160190 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( chip_controller la_data_out[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1880540 0 ) ( 607430 * )
NEW met2 ( 607430 1876970 ) ( * 1880540 )
NEW met2 ( 812590 2380 0 ) ( * 3060 )
NEW met2 ( 811670 3060 ) ( 812590 * )
NEW met2 ( 811670 2380 ) ( * 3060 )
NEW met2 ( 810290 2380 ) ( 811670 * )
NEW met2 ( 807530 82800 ) ( 810290 * )
NEW met2 ( 810290 2380 ) ( * 82800 )
NEW met1 ( 607430 1876970 ) ( 807530 * )
NEW met2 ( 807530 82800 ) ( * 1876970 )
NEW met2 ( 607430 1880540 ) M2M3_PR_M
NEW met1 ( 607430 1876970 ) M1M2_PR
NEW met1 ( 807530 1876970 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( chip_controller la_data_out[110] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2166650 ) ( * 2168180 )
NEW met3 ( 189290 2168180 ) ( 200100 * 0 )
NEW met1 ( 162610 2166650 ) ( 189290 * )
NEW met2 ( 2581290 82800 ) ( 2585890 * )
NEW met2 ( 2585890 2380 0 ) ( * 82800 )
NEW met1 ( 162610 1797410 ) ( 2581290 * )
NEW met2 ( 2581290 82800 ) ( * 1797410 )
NEW met2 ( 162610 1797410 ) ( * 2166650 )
NEW met1 ( 189290 2166650 ) M1M2_PR
NEW met2 ( 189290 2168180 ) M2M3_PR_M
NEW met1 ( 162610 1797410 ) M1M2_PR
NEW met1 ( 162610 2166650 ) M1M2_PR
NEW met1 ( 2581290 1797410 ) M1M2_PR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( chip_controller la_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2603830 2380 0 ) ( * 3060 )
NEW met2 ( 2602910 3060 ) ( 2603830 * )
NEW met2 ( 2602910 2380 ) ( * 3060 )
NEW met2 ( 2601530 2380 ) ( 2602910 * )
NEW met2 ( 887110 1763750 ) ( * 2211700 )
NEW met2 ( 2601530 2380 ) ( * 1763750 )
NEW met3 ( 551770 2211700 ) ( 887110 * )
NEW met1 ( 887110 1763750 ) ( 2601530 * )
NEW met2 ( 551770 2199460 0 ) ( * 2211700 )
NEW met1 ( 887110 1763750 ) M1M2_PR
NEW met2 ( 887110 2211700 ) M2M3_PR_M
NEW met1 ( 2601530 1763750 ) M1M2_PR
NEW met2 ( 551770 2211700 ) M2M3_PR_M ;
- la_data_out[112] ( PIN la_data_out[112] ) ( chip_controller la_data_out[112] ) + USE SIGNAL
+ ROUTED li1 ( 587190 2208810 ) ( * 2210170 )
NEW met2 ( 2621310 2380 0 ) ( * 31450 )
NEW met2 ( 976350 31450 ) ( * 2210170 )
NEW met1 ( 554990 2208810 ) ( 587190 * )
NEW met1 ( 587190 2210170 ) ( 976350 * )
NEW met1 ( 976350 31450 ) ( 2621310 * )
NEW met2 ( 554990 2199460 0 ) ( * 2208810 )
NEW li1 ( 587190 2208810 ) L1M1_PR_MR
NEW li1 ( 587190 2210170 ) L1M1_PR_MR
NEW met1 ( 976350 31450 ) M1M2_PR
NEW met1 ( 976350 2210170 ) M1M2_PR
NEW met1 ( 2621310 31450 ) M1M2_PR
NEW met1 ( 554990 2208810 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( chip_controller la_data_out[113] ) + USE SIGNAL
+ ROUTED met2 ( 2639250 2380 0 ) ( * 18190 )
NEW met3 ( 191130 2171580 ) ( 200100 * 0 )
NEW met2 ( 2508150 18190 ) ( * 1797580 )
NEW met1 ( 2508150 18190 ) ( 2639250 * )
NEW met3 ( 191130 1797580 ) ( 2508150 * )
NEW met2 ( 191130 1797580 ) ( * 2171580 )
NEW met1 ( 2508150 18190 ) M1M2_PR
NEW met1 ( 2639250 18190 ) M1M2_PR
NEW met2 ( 191130 1797580 ) M2M3_PR_M
NEW met2 ( 191130 2171580 ) M2M3_PR_M
NEW met2 ( 2508150 1797580 ) M2M3_PR_M ;
- la_data_out[114] ( PIN la_data_out[114] ) ( chip_controller la_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 571090 1800300 0 ) ( 572470 * )
NEW met2 ( 572470 45050 ) ( * 1800300 )
NEW met1 ( 572470 45050 ) ( 2656730 * )
NEW met2 ( 2656730 2380 0 ) ( * 45050 )
NEW met1 ( 572470 45050 ) M1M2_PR
NEW met1 ( 2656730 45050 ) M1M2_PR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( chip_controller la_data_out[115] ) + USE SIGNAL
+ ROUTED met3 ( 190210 2172940 ) ( 200100 * 0 )
NEW met2 ( 2674670 2380 0 ) ( * 17510 )
NEW met1 ( 2363250 17510 ) ( 2674670 * )
NEW met3 ( 190210 1798260 ) ( 2363250 * )
NEW met2 ( 2363250 17510 ) ( * 1798260 )
NEW met2 ( 190210 1798260 ) ( * 2172940 )
NEW met2 ( 190210 1798260 ) M2M3_PR_M
NEW met2 ( 190210 2172940 ) M2M3_PR_M
NEW met1 ( 2363250 17510 ) M1M2_PR
NEW met1 ( 2674670 17510 ) M1M2_PR
NEW met2 ( 2363250 1798260 ) M2M3_PR_M ;
- la_data_out[116] ( PIN la_data_out[116] ) ( chip_controller la_data_out[116] ) + USE SIGNAL
+ ROUTED met1 ( 568330 2213570 ) ( 571550 * )
NEW met2 ( 571550 2213570 ) ( * 2213740 )
NEW met2 ( 571550 2213740 ) ( 573390 * )
NEW met2 ( 573390 2213230 ) ( * 2213740 )
NEW met2 ( 568330 2199460 0 ) ( * 2213570 )
NEW met2 ( 2691230 82800 ) ( 2692150 * )
NEW met2 ( 2692150 2380 0 ) ( * 82800 )
NEW met2 ( 2691230 82800 ) ( * 1756610 )
NEW met1 ( 573390 2213230 ) ( 625370 * )
NEW met1 ( 625370 1756610 ) ( 2691230 * )
NEW met2 ( 625370 1756610 ) ( * 2213230 )
NEW met1 ( 568330 2213570 ) M1M2_PR
NEW met1 ( 571550 2213570 ) M1M2_PR
NEW met1 ( 573390 2213230 ) M1M2_PR
NEW met1 ( 2691230 1756610 ) M1M2_PR
NEW met1 ( 625370 1756610 ) M1M2_PR
NEW met1 ( 625370 2213230 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( chip_controller la_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 2710090 2380 0 ) ( * 17850 )
NEW met3 ( 191590 2176340 ) ( 200100 * 0 )
NEW met1 ( 2356350 17850 ) ( 2710090 * )
NEW met3 ( 191590 1798940 ) ( 2356350 * )
NEW met2 ( 2356350 17850 ) ( * 1798940 )
NEW met2 ( 191590 1798940 ) ( * 2176340 )
NEW met1 ( 2710090 17850 ) M1M2_PR
NEW met2 ( 191590 1798940 ) M2M3_PR_M
NEW met2 ( 191590 2176340 ) M2M3_PR_M
NEW met1 ( 2356350 17850 ) M1M2_PR
NEW met2 ( 2356350 1798940 ) M2M3_PR_M ;
- la_data_out[118] ( PIN la_data_out[118] ) ( chip_controller la_data_out[118] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2183820 0 ) ( 607430 * )
NEW met2 ( 607430 2181270 ) ( * 2183820 )
NEW met2 ( 686550 39270 ) ( * 2181270 )
NEW met2 ( 2727570 2380 0 ) ( * 39270 )
NEW met1 ( 607430 2181270 ) ( 686550 * )
NEW met1 ( 686550 39270 ) ( 2727570 * )
NEW met2 ( 607430 2183820 ) M2M3_PR_M
NEW met1 ( 607430 2181270 ) M1M2_PR
NEW met1 ( 686550 39270 ) M1M2_PR
NEW met1 ( 686550 2181270 ) M1M2_PR
NEW met1 ( 2727570 39270 ) M1M2_PR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( chip_controller la_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2180590 ) ( * 2181780 )
NEW met3 ( 189750 2181780 ) ( 200100 * 0 )
NEW met2 ( 2745510 2380 0 ) ( * 3060 )
NEW met2 ( 2744590 3060 ) ( 2745510 * )
NEW met2 ( 2744590 2380 ) ( * 3060 )
NEW met2 ( 2743210 2380 ) ( 2744590 * )
NEW met1 ( 170430 2180590 ) ( 189750 * )
NEW met2 ( 2739530 82800 ) ( 2743210 * )
NEW met2 ( 2743210 2380 ) ( * 82800 )
NEW met3 ( 170430 1796900 ) ( 2739530 * )
NEW met2 ( 2739530 82800 ) ( * 1796900 )
NEW met2 ( 170430 1796900 ) ( * 2180590 )
NEW met1 ( 189750 2180590 ) M1M2_PR
NEW met2 ( 189750 2181780 ) M2M3_PR_M
NEW met2 ( 170430 1796900 ) M2M3_PR_M
NEW met1 ( 170430 2180590 ) M1M2_PR
NEW met2 ( 2739530 1796900 ) M2M3_PR_M ;
- la_data_out[11] ( PIN la_data_out[11] ) ( chip_controller la_data_out[11] ) + USE SIGNAL
+ ROUTED met3 ( 188370 1879860 ) ( 200100 * 0 )
NEW met2 ( 828230 82800 ) ( 830530 * )
NEW met2 ( 830530 2380 0 ) ( * 82800 )
NEW met1 ( 188830 1796730 ) ( 828230 * )
NEW met2 ( 828230 82800 ) ( * 1796730 )
NEW met2 ( 188370 1826820 ) ( 188830 * )
NEW met2 ( 188370 1826820 ) ( * 1879860 )
NEW met2 ( 188830 1796730 ) ( * 1826820 )
NEW met1 ( 188830 1796730 ) M1M2_PR
NEW met2 ( 188370 1879860 ) M2M3_PR_M
NEW met1 ( 828230 1796730 ) M1M2_PR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( chip_controller la_data_out[120] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2187220 0 ) ( 608350 * )
NEW met2 ( 608350 2180930 ) ( * 2187220 )
NEW met1 ( 608350 2180930 ) ( 831450 * )
NEW met2 ( 831450 58990 ) ( * 2180930 )
NEW met1 ( 831450 58990 ) ( 2763450 * )
NEW met2 ( 2763450 2380 0 ) ( * 58990 )
NEW met2 ( 608350 2187220 ) M2M3_PR_M
NEW met1 ( 608350 2180930 ) M1M2_PR
NEW met1 ( 831450 58990 ) M1M2_PR
NEW met1 ( 831450 2180930 ) M1M2_PR
NEW met1 ( 2763450 58990 ) M1M2_PR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( chip_controller la_data_out[121] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2188580 0 ) ( 607430 * )
NEW met2 ( 607430 2188580 ) ( * 2189430 )
NEW met2 ( 673210 99790 ) ( * 2189430 )
NEW met2 ( 2780930 2380 0 ) ( * 17340 )
NEW met2 ( 2780930 17340 ) ( 2781390 * )
NEW met1 ( 673210 99790 ) ( 2781390 * )
NEW met1 ( 607430 2189430 ) ( 673210 * )
NEW met2 ( 2781390 17340 ) ( * 99790 )
NEW met1 ( 673210 99790 ) M1M2_PR
NEW met2 ( 607430 2188580 ) M2M3_PR_M
NEW met1 ( 607430 2189430 ) M1M2_PR
NEW met1 ( 673210 2189430 ) M1M2_PR
NEW met1 ( 2781390 99790 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( chip_controller la_data_out[122] ) + USE SIGNAL
+ ROUTED met1 ( 587190 1787210 ) ( 593170 * )
NEW met2 ( 587190 1787210 ) ( * 1800300 0 )
NEW met2 ( 593170 44710 ) ( * 1787210 )
NEW met2 ( 2798870 2380 0 ) ( * 44710 )
NEW met1 ( 593170 44710 ) ( 2798870 * )
NEW met1 ( 593170 44710 ) M1M2_PR
NEW met1 ( 593170 1787210 ) M1M2_PR
NEW met1 ( 587190 1787210 ) M1M2_PR
NEW met1 ( 2798870 44710 ) M1M2_PR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( chip_controller la_data_out[123] ) + USE SIGNAL
+ ROUTED met2 ( 2816350 2380 0 ) ( * 31110 )
NEW met3 ( 599380 2192660 0 ) ( 608810 * )
NEW met2 ( 608810 2189090 ) ( * 2192660 )
NEW met2 ( 707250 31110 ) ( * 2189090 )
NEW met1 ( 707250 31110 ) ( 2816350 * )
NEW met1 ( 608810 2189090 ) ( 707250 * )
NEW met1 ( 707250 31110 ) M1M2_PR
NEW met1 ( 2816350 31110 ) M1M2_PR
NEW met2 ( 608810 2192660 ) M2M3_PR_M
NEW met1 ( 608810 2189090 ) M1M2_PR
NEW met1 ( 707250 2189090 ) M1M2_PR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( chip_controller la_data_out[124] ) + USE SIGNAL
+ ROUTED met2 ( 586270 2199460 0 ) ( * 2211530 )
NEW met2 ( 762450 1735870 ) ( * 2211190 )
NEW met2 ( 2829230 82800 ) ( 2834290 * )
NEW met2 ( 2834290 2380 0 ) ( * 82800 )
NEW met2 ( 2829230 82800 ) ( * 1735870 )
NEW met1 ( 586270 2211530 ) ( 614100 * )
NEW met1 ( 614100 2211190 ) ( * 2211530 )
NEW met1 ( 614100 2211190 ) ( 762450 * )
NEW met1 ( 762450 1735870 ) ( 2829230 * )
NEW met1 ( 586270 2211530 ) M1M2_PR
NEW met1 ( 762450 1735870 ) M1M2_PR
NEW met1 ( 762450 2211190 ) M1M2_PR
NEW met1 ( 2829230 1735870 ) M1M2_PR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( chip_controller la_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 587650 2199460 0 ) ( * 2208810 )
NEW met1 ( 587650 2208810 ) ( 646530 * )
NEW met1 ( 646530 1735530 ) ( 2849930 * )
NEW met2 ( 646530 1735530 ) ( * 2208810 )
NEW met2 ( 2849930 82800 ) ( 2851770 * )
NEW met2 ( 2851770 2380 0 ) ( * 82800 )
NEW met2 ( 2849930 82800 ) ( * 1735530 )
NEW met1 ( 587650 2208810 ) M1M2_PR
NEW met1 ( 646530 1735530 ) M1M2_PR
NEW met1 ( 646530 2208810 ) M1M2_PR
NEW met1 ( 2849930 1735530 ) M1M2_PR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( chip_controller la_data_out[126] ) + USE SIGNAL
+ ROUTED met3 ( 199410 2196740 ) ( 200100 * 0 )
NEW met2 ( 199410 2196740 ) ( * 2200310 )
NEW met2 ( 593630 2200310 ) ( * 2200820 )
NEW met2 ( 790050 1763410 ) ( * 2200820 )
NEW met2 ( 2869710 2380 0 ) ( * 3060 )
NEW met2 ( 2868790 3060 ) ( 2869710 * )
NEW met2 ( 2868790 2380 ) ( * 3060 )
NEW met2 ( 2867410 2380 ) ( 2868790 * )
NEW met1 ( 790050 1763410 ) ( 2864190 * )
NEW met1 ( 199410 2200310 ) ( 593630 * )
NEW met3 ( 593630 2200820 ) ( 790050 * )
NEW met2 ( 2864190 82800 ) ( 2867410 * )
NEW met2 ( 2867410 2380 ) ( * 82800 )
NEW met2 ( 2864190 82800 ) ( * 1763410 )
NEW met1 ( 790050 1763410 ) M1M2_PR
NEW met2 ( 199410 2196740 ) M2M3_PR_M
NEW met1 ( 199410 2200310 ) M1M2_PR
NEW met1 ( 593630 2200310 ) M1M2_PR
NEW met2 ( 593630 2200820 ) M2M3_PR_M
NEW met2 ( 790050 2200820 ) M2M3_PR_M
NEW met1 ( 2864190 1763410 ) M1M2_PR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( chip_controller la_data_out[127] ) + USE SIGNAL
+ ROUTED met1 ( 598230 2199630 ) ( 611110 * )
NEW met2 ( 598230 2199460 ) ( * 2199630 )
NEW met2 ( 597310 2199460 0 ) ( 598230 * )
NEW met2 ( 2887190 2380 0 ) ( * 38250 )
NEW met1 ( 611110 38250 ) ( 2887190 * )
NEW met2 ( 611110 38250 ) ( * 2199630 )
NEW met1 ( 611110 38250 ) M1M2_PR
NEW met1 ( 611110 2199630 ) M1M2_PR
NEW met1 ( 598230 2199630 ) M1M2_PR
NEW met1 ( 2887190 38250 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( chip_controller la_data_out[12] ) + USE SIGNAL
+ ROUTED met3 ( 195270 1888020 ) ( 200100 * 0 )
NEW met2 ( 848010 2380 0 ) ( * 17340 )
NEW met2 ( 844790 17340 ) ( 848010 * )
NEW met2 ( 842030 82800 ) ( 844790 * )
NEW met2 ( 844790 17340 ) ( * 82800 )
NEW met1 ( 195270 1797070 ) ( 842030 * )
NEW met2 ( 842030 82800 ) ( * 1797070 )
NEW met2 ( 195270 1797070 ) ( * 1888020 )
NEW met1 ( 195270 1797070 ) M1M2_PR
NEW met2 ( 195270 1888020 ) M2M3_PR_M
NEW met1 ( 842030 1797070 ) M1M2_PR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( chip_controller la_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 865950 2380 0 ) ( * 17340 )
NEW met2 ( 865490 17340 ) ( 865950 * )
NEW met1 ( 290030 1787210 ) ( 296470 * )
NEW met2 ( 290030 1787210 ) ( * 1800300 0 )
NEW met2 ( 296470 48110 ) ( * 1787210 )
NEW met2 ( 865490 17340 ) ( * 48110 )
NEW met1 ( 296470 48110 ) ( 865490 * )
NEW met1 ( 296470 48110 ) M1M2_PR
NEW met1 ( 296470 1787210 ) M1M2_PR
NEW met1 ( 290030 1787210 ) M1M2_PR
NEW met1 ( 865490 48110 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( chip_controller la_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 883430 2380 0 ) ( * 19550 )
NEW met2 ( 306130 2199460 0 ) ( * 2206090 )
NEW met1 ( 631810 19550 ) ( 883430 * )
NEW met1 ( 306130 2206090 ) ( 631810 * )
NEW met2 ( 631810 19550 ) ( * 2206090 )
NEW met1 ( 883430 19550 ) M1M2_PR
NEW met1 ( 306130 2206090 ) M1M2_PR
NEW met1 ( 631810 19550 ) M1M2_PR
NEW met1 ( 631810 2206090 ) M1M2_PR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( chip_controller la_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 310270 47770 ) ( * 1800300 0 )
NEW met2 ( 901370 2380 0 ) ( * 47770 )
NEW met1 ( 310270 47770 ) ( 901370 * )
NEW met1 ( 310270 47770 ) M1M2_PR
NEW met1 ( 901370 47770 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( chip_controller la_data_out[16] ) + USE SIGNAL
+ ROUTED met3 ( 186990 1910460 ) ( 200100 * 0 )
NEW met1 ( 186990 1766130 ) ( 917930 * )
NEW met2 ( 917930 82800 ) ( 918850 * )
NEW met2 ( 918850 2380 0 ) ( * 82800 )
NEW met2 ( 917930 82800 ) ( * 1766130 )
NEW met2 ( 186990 1766130 ) ( * 1910460 )
NEW met1 ( 186990 1766130 ) M1M2_PR
NEW met2 ( 186990 1910460 ) M2M3_PR_M
NEW met1 ( 917930 1766130 ) M1M2_PR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( chip_controller la_data_out[17] ) + USE SIGNAL
+ ROUTED met3 ( 187450 1915900 ) ( 200100 * 0 )
NEW met2 ( 936790 2380 0 ) ( * 3060 )
NEW met2 ( 935870 3060 ) ( 936790 * )
NEW met2 ( 935870 2380 ) ( * 3060 )
NEW met2 ( 934490 2380 ) ( 935870 * )
NEW met1 ( 187450 1769190 ) ( 931730 * )
NEW met2 ( 931730 82800 ) ( 934490 * )
NEW met2 ( 934490 2380 ) ( * 82800 )
NEW met2 ( 931730 82800 ) ( * 1769190 )
NEW met2 ( 187450 1769190 ) ( * 1915900 )
NEW met1 ( 187450 1769190 ) M1M2_PR
NEW met2 ( 187450 1915900 ) M2M3_PR_M
NEW met1 ( 931730 1769190 ) M1M2_PR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( chip_controller la_data_out[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1927460 0 ) ( 607890 * )
NEW met2 ( 607890 1925590 ) ( * 1927460 )
NEW met2 ( 954270 2380 0 ) ( * 3060 )
NEW met2 ( 953350 3060 ) ( 954270 * )
NEW met2 ( 953350 2380 ) ( * 3060 )
NEW met2 ( 952430 2380 ) ( 953350 * )
NEW met2 ( 952430 2380 ) ( * 1925590 )
NEW met1 ( 607890 1925590 ) ( 952430 * )
NEW met2 ( 607890 1927460 ) M2M3_PR_M
NEW met1 ( 607890 1925590 ) M1M2_PR
NEW met1 ( 952430 1925590 ) M1M2_PR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( chip_controller la_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 972210 2380 0 ) ( * 19550 )
NEW met2 ( 886650 19550 ) ( * 2197250 )
NEW met1 ( 886650 19550 ) ( 972210 * )
NEW met1 ( 372600 2197250 ) ( * 2199630 )
NEW met1 ( 334650 2199630 ) ( 372600 * )
NEW met2 ( 334650 2199460 ) ( * 2199630 )
NEW met2 ( 334190 2199460 0 ) ( 334650 * )
NEW met1 ( 372600 2197250 ) ( 886650 * )
NEW met1 ( 886650 19550 ) M1M2_PR
NEW met1 ( 972210 19550 ) M1M2_PR
NEW met1 ( 886650 2197250 ) M1M2_PR
NEW met1 ( 334650 2199630 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( chip_controller la_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 217350 1791290 ) ( * 1800300 0 )
NEW met1 ( 217350 1791290 ) ( 238050 * )
NEW met2 ( 238050 40630 ) ( * 1791290 )
NEW met1 ( 238050 40630 ) ( 652970 * )
NEW met2 ( 652970 2380 0 ) ( * 40630 )
NEW met1 ( 217350 1791290 ) M1M2_PR
NEW met1 ( 238050 40630 ) M1M2_PR
NEW met1 ( 238050 1791290 ) M1M2_PR
NEW met1 ( 652970 40630 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( chip_controller la_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 989690 2380 0 ) ( * 73270 )
NEW met2 ( 330510 1800300 0 ) ( 330970 * )
NEW met2 ( 330970 73270 ) ( * 1800300 )
NEW met1 ( 330970 73270 ) ( 989690 * )
NEW met1 ( 989690 73270 ) M1M2_PR
NEW met1 ( 330970 73270 ) M1M2_PR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( chip_controller la_data_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 955650 19890 ) ( * 2203030 )
NEW met2 ( 1007630 2380 0 ) ( * 19890 )
NEW met1 ( 955650 19890 ) ( 1007630 * )
NEW met2 ( 340630 2199460 0 ) ( * 2203030 )
NEW met1 ( 340630 2203030 ) ( 955650 * )
NEW met1 ( 955650 19890 ) M1M2_PR
NEW met1 ( 955650 2203030 ) M1M2_PR
NEW met1 ( 1007630 19890 ) M1M2_PR
NEW met1 ( 340630 2203030 ) M1M2_PR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( chip_controller la_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1021430 82800 ) ( 1025570 * )
NEW met2 ( 1025570 2380 0 ) ( * 82800 )
NEW met2 ( 1021430 82800 ) ( * 1946330 )
NEW met3 ( 599380 1951260 0 ) ( 613410 * )
NEW met2 ( 613410 1946330 ) ( * 1951260 )
NEW met1 ( 613410 1946330 ) ( 1021430 * )
NEW met1 ( 1021430 1946330 ) M1M2_PR
NEW met2 ( 613410 1951260 ) M2M3_PR_M
NEW met1 ( 613410 1946330 ) M1M2_PR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( chip_controller la_data_out[23] ) + USE SIGNAL
+ ROUTED li1 ( 476790 2211870 ) ( * 2212550 )
NEW met1 ( 476790 2212550 ) ( 506690 * )
NEW met2 ( 506690 2199970 ) ( * 2212550 )
NEW met1 ( 351670 2211870 ) ( 476790 * )
NEW met2 ( 351670 2199460 0 ) ( * 2211870 )
NEW met2 ( 1042130 82800 ) ( 1043050 * )
NEW met2 ( 1043050 2380 0 ) ( * 82800 )
NEW met1 ( 506690 2199970 ) ( 1042130 * )
NEW met2 ( 1042130 82800 ) ( * 2199970 )
NEW li1 ( 476790 2211870 ) L1M1_PR_MR
NEW li1 ( 476790 2212550 ) L1M1_PR_MR
NEW met1 ( 506690 2212550 ) M1M2_PR
NEW met1 ( 506690 2199970 ) M1M2_PR
NEW met1 ( 351670 2211870 ) M1M2_PR
NEW met1 ( 1042130 2199970 ) M1M2_PR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( chip_controller la_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 2380 0 ) ( * 3060 )
NEW met2 ( 1060070 3060 ) ( 1060990 * )
NEW met2 ( 1060070 2380 ) ( * 3060 )
NEW met2 ( 1058690 2380 ) ( 1060070 * )
NEW met2 ( 1055930 82800 ) ( 1058690 * )
NEW met2 ( 1058690 2380 ) ( * 82800 )
NEW met2 ( 1055930 82800 ) ( * 1960270 )
NEW met3 ( 599380 1963500 0 ) ( 608350 * )
NEW met2 ( 608350 1960270 ) ( * 1963500 )
NEW met1 ( 608350 1960270 ) ( 1055930 * )
NEW met1 ( 1055930 1960270 ) M1M2_PR
NEW met2 ( 608350 1963500 ) M2M3_PR_M
NEW met1 ( 608350 1960270 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( chip_controller la_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 1078470 2380 0 ) ( * 3060 )
NEW met2 ( 1077550 3060 ) ( 1078470 * )
NEW met2 ( 1077550 2380 ) ( * 3060 )
NEW met2 ( 1076630 2380 ) ( 1077550 * )
NEW met2 ( 1076630 2380 ) ( * 1966730 )
NEW met3 ( 599380 1970980 0 ) ( 613870 * )
NEW met2 ( 613870 1966730 ) ( * 1970980 )
NEW met1 ( 613870 1966730 ) ( 1076630 * )
NEW met1 ( 1076630 1966730 ) M1M2_PR
NEW met2 ( 613870 1970980 ) M2M3_PR_M
NEW met1 ( 613870 1966730 ) M1M2_PR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( chip_controller la_data_out[26] ) + USE SIGNAL
+ ROUTED met1 ( 1090430 58310 ) ( 1096410 * )
NEW met2 ( 1096410 2380 0 ) ( * 58310 )
NEW met2 ( 1090430 58310 ) ( * 1974210 )
NEW met3 ( 599380 1974380 0 ) ( 613870 * )
NEW met2 ( 613870 1974210 ) ( * 1974380 )
NEW met1 ( 613870 1974210 ) ( 1090430 * )
NEW met1 ( 1090430 58310 ) M1M2_PR
NEW met1 ( 1096410 58310 ) M1M2_PR
NEW met1 ( 1090430 1974210 ) M1M2_PR
NEW met2 ( 613870 1974380 ) M2M3_PR_M
NEW met1 ( 613870 1974210 ) M1M2_PR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( chip_controller la_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 1111130 82800 ) ( 1113890 * )
NEW met2 ( 1113890 2380 0 ) ( * 82800 )
NEW met2 ( 1111130 82800 ) ( * 1980670 )
NEW met3 ( 599380 1980500 0 ) ( 613870 * )
NEW met2 ( 613870 1980500 ) ( * 1980670 )
NEW met1 ( 613870 1980670 ) ( 1111130 * )
NEW met1 ( 1111130 1980670 ) M1M2_PR
NEW met2 ( 613870 1980500 ) M2M3_PR_M
NEW met1 ( 613870 1980670 ) M1M2_PR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( chip_controller la_data_out[28] ) + USE SIGNAL
+ ROUTED met1 ( 187910 1798770 ) ( 201250 * )
NEW li1 ( 201250 1798770 ) ( * 1799450 )
NEW met3 ( 187910 1980500 ) ( 200100 * 0 )
NEW met1 ( 201250 1799450 ) ( 1131830 * )
NEW met2 ( 1131830 2380 0 ) ( * 1799450 )
NEW met2 ( 187910 1798770 ) ( * 1980500 )
NEW met1 ( 187910 1798770 ) M1M2_PR
NEW li1 ( 201250 1798770 ) L1M1_PR_MR
NEW li1 ( 201250 1799450 ) L1M1_PR_MR
NEW met2 ( 187910 1980500 ) M2M3_PR_M
NEW met1 ( 1131830 1799450 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( chip_controller la_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 376050 1793500 ) ( * 1793670 )
NEW met2 ( 376050 1793500 ) ( 376510 * )
NEW met2 ( 376510 47090 ) ( * 1793500 )
NEW met2 ( 1149310 2380 0 ) ( * 47090 )
NEW met2 ( 368690 1793670 ) ( * 1800300 0 )
NEW met1 ( 368690 1793670 ) ( 376050 * )
NEW met1 ( 376510 47090 ) ( 1149310 * )
NEW met1 ( 376510 47090 ) M1M2_PR
NEW met1 ( 376050 1793670 ) M1M2_PR
NEW met1 ( 1149310 47090 ) M1M2_PR
NEW met1 ( 368690 1793670 ) M1M2_PR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( chip_controller la_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 669530 82800 ) ( 670910 * )
NEW met2 ( 670910 2380 0 ) ( * 82800 )
NEW met2 ( 669530 82800 ) ( * 1828690 )
NEW met3 ( 599380 1832260 0 ) ( 609270 * )
NEW met2 ( 609270 1828690 ) ( * 1832260 )
NEW met1 ( 609270 1828690 ) ( 669530 * )
NEW met1 ( 669530 1828690 ) M1M2_PR
NEW met2 ( 609270 1832260 ) M2M3_PR_M
NEW met1 ( 609270 1828690 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( chip_controller la_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 386170 2199460 0 ) ( * 2202690 )
NEW met2 ( 1166330 82800 ) ( 1167250 * )
NEW met2 ( 1167250 2380 0 ) ( * 82800 )
NEW met2 ( 1166330 82800 ) ( * 2202690 )
NEW met1 ( 386170 2202690 ) ( 1166330 * )
NEW met1 ( 386170 2202690 ) M1M2_PR
NEW met1 ( 1166330 2202690 ) M1M2_PR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( chip_controller la_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1185190 2380 0 ) ( * 3060 )
NEW met2 ( 1184270 3060 ) ( 1185190 * )
NEW met2 ( 1184270 2380 ) ( * 3060 )
NEW met2 ( 1182890 2380 ) ( 1184270 * )
NEW met2 ( 396750 1786700 ) ( 397210 * )
NEW met2 ( 396750 1786700 ) ( * 1789590 )
NEW met1 ( 376970 1789590 ) ( 396750 * )
NEW met2 ( 376970 1789590 ) ( * 1800300 0 )
NEW met2 ( 397210 60350 ) ( * 1786700 )
NEW met2 ( 1182890 2380 ) ( * 60350 )
NEW met1 ( 397210 60350 ) ( 1182890 * )
NEW met1 ( 397210 60350 ) M1M2_PR
NEW met1 ( 396750 1789590 ) M1M2_PR
NEW met1 ( 376970 1789590 ) M1M2_PR
NEW met1 ( 1182890 60350 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( chip_controller la_data_out[32] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2008380 ) ( * 2008550 )
NEW met3 ( 188830 2008380 ) ( 200100 * 0 )
NEW met2 ( 1202670 2380 0 ) ( * 3060 )
NEW met2 ( 1201750 3060 ) ( 1202670 * )
NEW met2 ( 1201750 2380 ) ( * 3060 )
NEW met2 ( 1200830 2380 ) ( 1201750 * )
NEW met1 ( 161230 1762050 ) ( 1200830 * )
NEW met1 ( 161230 2008550 ) ( 188830 * )
NEW met2 ( 1200830 2380 ) ( * 1762050 )
NEW met2 ( 161230 1762050 ) ( * 2008550 )
NEW met1 ( 188830 2008550 ) M1M2_PR
NEW met2 ( 188830 2008380 ) M2M3_PR_M
NEW met1 ( 161230 1762050 ) M1M2_PR
NEW met1 ( 1200830 1762050 ) M1M2_PR
NEW met1 ( 161230 2008550 ) M1M2_PR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( chip_controller la_data_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2004300 0 ) ( 607430 * )
NEW met2 ( 607430 2001070 ) ( * 2004300 )
NEW met1 ( 1214630 58310 ) ( 1220610 * )
NEW met2 ( 1220610 2380 0 ) ( * 58310 )
NEW met1 ( 607430 2001070 ) ( 1214630 * )
NEW met2 ( 1214630 58310 ) ( * 2001070 )
NEW met2 ( 607430 2004300 ) M2M3_PR_M
NEW met1 ( 607430 2001070 ) M1M2_PR
NEW met1 ( 1214630 58310 ) M1M2_PR
NEW met1 ( 1220610 58310 ) M1M2_PR
NEW met1 ( 1214630 2001070 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( chip_controller la_data_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2009060 0 ) ( 607430 * )
NEW met2 ( 607430 2008890 ) ( * 2009060 )
NEW met2 ( 1235330 82800 ) ( 1238090 * )
NEW met2 ( 1238090 2380 0 ) ( * 82800 )
NEW met1 ( 607430 2008890 ) ( 1235330 * )
NEW met2 ( 1235330 82800 ) ( * 2008890 )
NEW met2 ( 607430 2009060 ) M2M3_PR_M
NEW met1 ( 607430 2008890 ) M1M2_PR
NEW met1 ( 1235330 2008890 ) M1M2_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( chip_controller la_data_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2011780 0 ) ( 608350 * )
NEW met2 ( 608350 2008550 ) ( * 2011780 )
NEW met2 ( 1256030 2380 0 ) ( * 34500 )
NEW met2 ( 1256030 34500 ) ( 1256490 * )
NEW met2 ( 1256490 34500 ) ( * 2008550 )
NEW met1 ( 608350 2008550 ) ( 1256490 * )
NEW met2 ( 608350 2011780 ) M2M3_PR_M
NEW met1 ( 608350 2008550 ) M1M2_PR
NEW met1 ( 1256490 2008550 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( chip_controller la_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 2380 0 ) ( * 15470 )
NEW met1 ( 1266150 15470 ) ( 1273510 * )
NEW li1 ( 398130 2196910 ) ( * 2199630 )
NEW met2 ( 398130 2199460 ) ( * 2199630 )
NEW met2 ( 397210 2199460 0 ) ( 398130 * )
NEW met2 ( 1266150 15470 ) ( * 2196910 )
NEW met1 ( 398130 2196910 ) ( 1266150 * )
NEW met1 ( 1273510 15470 ) M1M2_PR
NEW met1 ( 1266150 15470 ) M1M2_PR
NEW li1 ( 398130 2196910 ) L1M1_PR_MR
NEW li1 ( 398130 2199630 ) L1M1_PR_MR
NEW met1 ( 398130 2199630 ) M1M2_PR
NEW met1 ( 1266150 2196910 ) M1M2_PR
NEW met1 ( 398130 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[37] ( PIN la_data_out[37] ) ( chip_controller la_data_out[37] ) + USE SIGNAL
+ ROUTED met2 ( 400890 2199460 0 ) ( * 2201670 )
NEW met2 ( 1290530 82800 ) ( 1291450 * )
NEW met2 ( 1291450 2380 0 ) ( * 82800 )
NEW met1 ( 400890 2201670 ) ( 1290530 * )
NEW met2 ( 1290530 82800 ) ( * 2201670 )
NEW met1 ( 400890 2201670 ) M1M2_PR
NEW met1 ( 1290530 2201670 ) M1M2_PR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( chip_controller la_data_out[38] ) + USE SIGNAL
+ ROUTED li1 ( 404570 2196570 ) ( * 2199630 )
NEW met2 ( 404570 2199460 ) ( * 2199630 )
NEW met2 ( 404110 2199460 0 ) ( 404570 * )
NEW met2 ( 1308930 2380 0 ) ( * 16830 )
NEW met1 ( 1293750 16830 ) ( 1308930 * )
NEW met1 ( 404570 2196570 ) ( 1293750 * )
NEW met2 ( 1293750 16830 ) ( * 2196570 )
NEW li1 ( 404570 2196570 ) L1M1_PR_MR
NEW li1 ( 404570 2199630 ) L1M1_PR_MR
NEW met1 ( 404570 2199630 ) M1M2_PR
NEW met1 ( 1308930 16830 ) M1M2_PR
NEW met1 ( 1293750 16830 ) M1M2_PR
NEW met1 ( 1293750 2196570 ) M1M2_PR
NEW met1 ( 404570 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[39] ( PIN la_data_out[39] ) ( chip_controller la_data_out[39] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2021980 ) ( * 2022490 )
NEW met3 ( 188830 2021980 ) ( 200100 * 0 )
NEW met1 ( 163990 2022490 ) ( 188830 * )
NEW met2 ( 1326870 2380 0 ) ( * 3060 )
NEW met2 ( 1325950 3060 ) ( 1326870 * )
NEW met2 ( 1325950 2380 ) ( * 3060 )
NEW met2 ( 1325030 2380 ) ( 1325950 * )
NEW met1 ( 163990 86530 ) ( 1325030 * )
NEW met2 ( 1325030 2380 ) ( * 86530 )
NEW met2 ( 163990 86530 ) ( * 2022490 )
NEW met1 ( 188830 2022490 ) M1M2_PR
NEW met2 ( 188830 2021980 ) M2M3_PR_M
NEW met1 ( 163990 86530 ) M1M2_PR
NEW met1 ( 163990 2022490 ) M1M2_PR
NEW met1 ( 1325030 86530 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( chip_controller la_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 688390 2380 0 ) ( * 3060 )
NEW met2 ( 687470 3060 ) ( 688390 * )
NEW met2 ( 687470 2380 ) ( * 3060 )
NEW met2 ( 686090 2380 ) ( 687470 * )
NEW met2 ( 683330 82800 ) ( 686090 * )
NEW met2 ( 686090 2380 ) ( * 82800 )
NEW met2 ( 683330 82800 ) ( * 1781090 )
NEW met1 ( 199410 1781090 ) ( 683330 * )
NEW met3 ( 199410 1829540 ) ( 200100 * 0 )
NEW met2 ( 199410 1781090 ) ( * 1829540 )
NEW met1 ( 199410 1781090 ) M1M2_PR
NEW met1 ( 683330 1781090 ) M1M2_PR
NEW met2 ( 199410 1829540 ) M2M3_PR_M ;
- la_data_out[40] ( PIN la_data_out[40] ) ( chip_controller la_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 1344350 2380 0 ) ( * 3060 )
NEW met2 ( 1343430 3060 ) ( 1344350 * )
NEW met2 ( 1343430 2380 ) ( * 3060 )
NEW met2 ( 1342050 2380 ) ( 1343430 * )
NEW met1 ( 397210 1787210 ) ( 403650 * )
NEW met2 ( 397210 1787210 ) ( * 1800300 0 )
NEW met2 ( 403650 865810 ) ( * 1787210 )
NEW met2 ( 1339290 82800 ) ( 1342050 * )
NEW met2 ( 1342050 2380 ) ( * 82800 )
NEW met2 ( 1339290 82800 ) ( * 865810 )
NEW met1 ( 403650 865810 ) ( 1339290 * )
NEW met1 ( 403650 865810 ) M1M2_PR
NEW met1 ( 1339290 865810 ) M1M2_PR
NEW met1 ( 403650 1787210 ) M1M2_PR
NEW met1 ( 397210 1787210 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( chip_controller la_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 1362290 2380 0 ) ( * 16830 )
NEW met1 ( 1355850 16830 ) ( 1362290 * )
NEW met2 ( 410550 2199460 0 ) ( * 2201330 )
NEW met2 ( 1355850 16830 ) ( * 2201330 )
NEW met1 ( 410550 2201330 ) ( 1355850 * )
NEW met1 ( 1362290 16830 ) M1M2_PR
NEW met1 ( 1355850 16830 ) M1M2_PR
NEW met1 ( 410550 2201330 ) M1M2_PR
NEW met1 ( 1355850 2201330 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( chip_controller la_data_out[42] ) + USE SIGNAL
+ ROUTED met1 ( 181930 2028950 ) ( 186990 * )
NEW met2 ( 186990 2028780 ) ( * 2028950 )
NEW met3 ( 186990 2028780 ) ( 200100 * 0 )
NEW met1 ( 181930 1799450 ) ( 199410 * )
NEW li1 ( 199410 1798430 ) ( * 1799450 )
NEW li1 ( 199410 1798430 ) ( 201710 * )
NEW li1 ( 201710 1798430 ) ( * 1798770 )
NEW met2 ( 1380230 2380 0 ) ( * 1798770 )
NEW met1 ( 201710 1798770 ) ( 1380230 * )
NEW met2 ( 181930 1799450 ) ( * 2028950 )
NEW met1 ( 181930 2028950 ) M1M2_PR
NEW met1 ( 186990 2028950 ) M1M2_PR
NEW met2 ( 186990 2028780 ) M2M3_PR_M
NEW met1 ( 181930 1799450 ) M1M2_PR
NEW li1 ( 199410 1799450 ) L1M1_PR_MR
NEW li1 ( 201710 1798770 ) L1M1_PR_MR
NEW met1 ( 1380230 1798770 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( chip_controller la_data_out[43] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2024020 0 ) ( 608350 * )
NEW met2 ( 608350 2021810 ) ( * 2024020 )
NEW met2 ( 1397710 2380 0 ) ( * 3060 )
NEW met2 ( 1396790 3060 ) ( 1397710 * )
NEW met2 ( 1396790 2380 ) ( * 3060 )
NEW met2 ( 1395410 2380 ) ( 1396790 * )
NEW met1 ( 608350 2021810 ) ( 1394030 * )
NEW met2 ( 1394030 82800 ) ( 1395410 * )
NEW met2 ( 1395410 2380 ) ( * 82800 )
NEW met2 ( 1394030 82800 ) ( * 2021810 )
NEW met2 ( 608350 2024020 ) M2M3_PR_M
NEW met1 ( 608350 2021810 ) M1M2_PR
NEW met1 ( 1394030 2021810 ) M1M2_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( chip_controller la_data_out[44] ) + USE SIGNAL
+ ROUTED li1 ( 416070 2195890 ) ( * 2199630 )
NEW met2 ( 416070 2199460 ) ( * 2199630 )
NEW met2 ( 415150 2199460 0 ) ( 416070 * )
NEW met2 ( 1415650 2380 0 ) ( * 16830 )
NEW met1 ( 1411050 16830 ) ( 1415650 * )
NEW met1 ( 416070 2195890 ) ( 1411050 * )
NEW met2 ( 1411050 16830 ) ( * 2195890 )
NEW li1 ( 416070 2195890 ) L1M1_PR_MR
NEW li1 ( 416070 2199630 ) L1M1_PR_MR
NEW met1 ( 416070 2199630 ) M1M2_PR
NEW met1 ( 1415650 16830 ) M1M2_PR
NEW met1 ( 1411050 16830 ) M1M2_PR
NEW met1 ( 1411050 2195890 ) M1M2_PR
NEW met1 ( 416070 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[45] ( PIN la_data_out[45] ) ( chip_controller la_data_out[45] ) + USE SIGNAL
+ ROUTED met2 ( 416990 2199460 0 ) ( * 2212890 )
NEW met2 ( 476330 2199630 ) ( * 2212550 )
NEW met1 ( 428030 2212550 ) ( * 2212890 )
NEW met1 ( 416990 2212890 ) ( 428030 * )
NEW met1 ( 428030 2212550 ) ( 476330 * )
NEW met2 ( 1433130 2380 0 ) ( * 17340 )
NEW met2 ( 1431290 17340 ) ( 1433130 * )
NEW met1 ( 476330 2199630 ) ( 517500 * )
NEW met1 ( 517500 2197590 ) ( * 2199630 )
NEW met2 ( 1428530 82800 ) ( 1431290 * )
NEW met2 ( 1431290 17340 ) ( * 82800 )
NEW met1 ( 517500 2197590 ) ( 1428530 * )
NEW met2 ( 1428530 82800 ) ( * 2197590 )
NEW met1 ( 416990 2212890 ) M1M2_PR
NEW met1 ( 476330 2212550 ) M1M2_PR
NEW met1 ( 476330 2199630 ) M1M2_PR
NEW met1 ( 1428530 2197590 ) M1M2_PR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( chip_controller la_data_out[46] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2028780 0 ) ( 607430 * )
NEW met2 ( 607430 2028780 ) ( * 2028950 )
NEW met2 ( 1451070 2380 0 ) ( * 3060 )
NEW met2 ( 1450150 3060 ) ( 1451070 * )
NEW met2 ( 1450150 2380 ) ( * 3060 )
NEW met2 ( 1449230 2380 ) ( 1450150 * )
NEW met2 ( 1449230 2380 ) ( * 2028950 )
NEW met1 ( 607430 2028950 ) ( 1449230 * )
NEW met2 ( 607430 2028780 ) M2M3_PR_M
NEW met1 ( 607430 2028950 ) M1M2_PR
NEW met1 ( 1449230 2028950 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( chip_controller la_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 2380 0 ) ( * 16490 )
NEW met1 ( 1459350 16490 ) ( 1468550 * )
NEW met2 ( 418370 2199460 0 ) ( * 2202860 )
NEW met2 ( 1459350 16490 ) ( * 2202860 )
NEW met3 ( 418370 2202860 ) ( 1459350 * )
NEW met1 ( 1468550 16490 ) M1M2_PR
NEW met1 ( 1459350 16490 ) M1M2_PR
NEW met2 ( 418370 2202860 ) M2M3_PR_M
NEW met2 ( 1459350 2202860 ) M2M3_PR_M ;
- la_data_out[48] ( PIN la_data_out[48] ) ( chip_controller la_data_out[48] ) + USE SIGNAL
+ ROUTED li1 ( 420670 2194530 ) ( * 2199630 )
NEW met2 ( 420670 2199460 ) ( * 2199630 )
NEW met2 ( 420210 2199460 0 ) ( 420670 * )
NEW met2 ( 1480050 16150 ) ( * 2194530 )
NEW met2 ( 1486490 2380 0 ) ( * 16150 )
NEW met1 ( 1480050 16150 ) ( 1486490 * )
NEW met1 ( 420670 2194530 ) ( 1480050 * )
NEW met1 ( 1480050 16150 ) M1M2_PR
NEW li1 ( 420670 2194530 ) L1M1_PR_MR
NEW li1 ( 420670 2199630 ) L1M1_PR_MR
NEW met1 ( 420670 2199630 ) M1M2_PR
NEW met1 ( 1480050 2194530 ) M1M2_PR
NEW met1 ( 1486490 16150 ) M1M2_PR
NEW met1 ( 420670 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( chip_controller la_data_out[49] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2042890 ) ( * 2045780 )
NEW met3 ( 186990 2045780 ) ( 200100 * 0 )
NEW met1 ( 151570 2042890 ) ( 186990 * )
NEW met2 ( 1503970 2380 0 ) ( * 25670 )
NEW met1 ( 151570 25670 ) ( 1503970 * )
NEW met2 ( 151570 25670 ) ( * 2042890 )
NEW met1 ( 186990 2042890 ) M1M2_PR
NEW met2 ( 186990 2045780 ) M2M3_PR_M
NEW met1 ( 151570 25670 ) M1M2_PR
NEW met1 ( 151570 2042890 ) M1M2_PR
NEW met1 ( 1503970 25670 ) M1M2_PR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( chip_controller la_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 704030 82800 ) ( 706330 * )
NEW met2 ( 706330 2380 0 ) ( * 82800 )
NEW met2 ( 704030 82800 ) ( * 1842630 )
NEW met3 ( 599380 1847220 0 ) ( 610190 * )
NEW met2 ( 610190 1842630 ) ( * 1847220 )
NEW met1 ( 610190 1842630 ) ( 704030 * )
NEW met1 ( 704030 1842630 ) M1M2_PR
NEW met2 ( 610190 1847220 ) M2M3_PR_M
NEW met1 ( 610190 1842630 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( chip_controller la_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 1521910 2380 0 ) ( * 15130 )
NEW met1 ( 1500750 15130 ) ( 1521910 * )
NEW met2 ( 425270 2199460 0 ) ( * 2206260 )
NEW met3 ( 425270 2206260 ) ( 1500750 * )
NEW met2 ( 1500750 15130 ) ( * 2206260 )
NEW met1 ( 1521910 15130 ) M1M2_PR
NEW met1 ( 1500750 15130 ) M1M2_PR
NEW met2 ( 425270 2206260 ) M2M3_PR_M
NEW met2 ( 1500750 2206260 ) M2M3_PR_M ;
- la_data_out[51] ( PIN la_data_out[51] ) ( chip_controller la_data_out[51] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 2380 0 ) ( * 27030 )
NEW met1 ( 445050 27030 ) ( 1539850 * )
NEW met3 ( 433550 1787380 ) ( 445050 * )
NEW met2 ( 433550 1787380 ) ( * 1800300 0 )
NEW met2 ( 445050 27030 ) ( * 1787380 )
NEW met1 ( 1539850 27030 ) M1M2_PR
NEW met1 ( 445050 27030 ) M1M2_PR
NEW met2 ( 445050 1787380 ) M2M3_PR_M
NEW met2 ( 433550 1787380 ) M2M3_PR_M ;
- la_data_out[52] ( PIN la_data_out[52] ) ( chip_controller la_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 82800 ) ( 1557330 * )
NEW met2 ( 1557330 2380 0 ) ( * 82800 )
NEW met2 ( 1552730 82800 ) ( * 1758990 )
NEW met1 ( 445970 1758990 ) ( 1552730 * )
NEW met1 ( 439530 1787210 ) ( 445970 * )
NEW met2 ( 439530 1787210 ) ( * 1800300 0 )
NEW met2 ( 445970 1758990 ) ( * 1787210 )
NEW met1 ( 1552730 1758990 ) M1M2_PR
NEW met1 ( 445970 1758990 ) M1M2_PR
NEW met1 ( 445970 1787210 ) M1M2_PR
NEW met1 ( 439530 1787210 ) M1M2_PR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( chip_controller la_data_out[53] ) + USE SIGNAL
+ ROUTED met2 ( 1575270 2380 0 ) ( * 14790 )
NEW met1 ( 1493850 14790 ) ( 1575270 * )
NEW met1 ( 431710 2221390 ) ( 1493850 * )
NEW met2 ( 431710 2199460 0 ) ( * 2221390 )
NEW met2 ( 1493850 14790 ) ( * 2221390 )
NEW met1 ( 1575270 14790 ) M1M2_PR
NEW met1 ( 431710 2221390 ) M1M2_PR
NEW met1 ( 1493850 14790 ) M1M2_PR
NEW met1 ( 1493850 2221390 ) M1M2_PR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( chip_controller la_data_out[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2043740 0 ) ( 607430 * )
NEW met2 ( 607430 2042550 ) ( * 2043740 )
NEW met1 ( 607430 2042550 ) ( 1204510 * )
NEW met2 ( 1592750 2380 0 ) ( * 3060 )
NEW met2 ( 1591830 3060 ) ( 1592750 * )
NEW met2 ( 1591830 2380 ) ( * 3060 )
NEW met2 ( 1590450 2380 ) ( 1591830 * )
NEW met1 ( 1204510 1759330 ) ( 1587230 * )
NEW met2 ( 1204510 1759330 ) ( * 2042550 )
NEW met2 ( 1587230 82800 ) ( 1590450 * )
NEW met2 ( 1590450 2380 ) ( * 82800 )
NEW met2 ( 1587230 82800 ) ( * 1759330 )
NEW met2 ( 607430 2043740 ) M2M3_PR_M
NEW met1 ( 607430 2042550 ) M1M2_PR
NEW met1 ( 1204510 1759330 ) M1M2_PR
NEW met1 ( 1204510 2042550 ) M1M2_PR
NEW met1 ( 1587230 1759330 ) M1M2_PR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( chip_controller la_data_out[55] ) + USE SIGNAL
+ ROUTED met1 ( 452410 1758650 ) ( 1607930 * )
NEW met1 ( 447810 1787210 ) ( 452410 * )
NEW met2 ( 447810 1787210 ) ( * 1800300 0 )
NEW met2 ( 452410 1758650 ) ( * 1787210 )
NEW met2 ( 1607930 82800 ) ( 1610690 * )
NEW met2 ( 1610690 2380 0 ) ( * 82800 )
NEW met2 ( 1607930 82800 ) ( * 1758650 )
NEW met1 ( 452410 1758650 ) M1M2_PR
NEW met1 ( 1607930 1758650 ) M1M2_PR
NEW met1 ( 452410 1787210 ) M1M2_PR
NEW met1 ( 447810 1787210 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( chip_controller la_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2057170 ) ( * 2058020 )
NEW met3 ( 188370 2058020 ) ( 200100 * 0 )
NEW met1 ( 156630 2057170 ) ( 188370 * )
NEW met2 ( 1628170 2380 0 ) ( * 15810 )
NEW met1 ( 1621730 15810 ) ( 1628170 * )
NEW met1 ( 156630 1765790 ) ( 1621730 * )
NEW met2 ( 156630 1765790 ) ( * 2057170 )
NEW met2 ( 1621730 15810 ) ( * 1765790 )
NEW met1 ( 188370 2057170 ) M1M2_PR
NEW met2 ( 188370 2058020 ) M2M3_PR_M
NEW met1 ( 156630 1765790 ) M1M2_PR
NEW met1 ( 156630 2057170 ) M1M2_PR
NEW met1 ( 1628170 15810 ) M1M2_PR
NEW met1 ( 1621730 15810 ) M1M2_PR
NEW met1 ( 1621730 1765790 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( chip_controller la_data_out[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2045100 0 ) ( 607890 * )
NEW met2 ( 607890 2042890 ) ( * 2045100 )
NEW met2 ( 1646110 2380 0 ) ( * 3060 )
NEW met2 ( 1645190 3060 ) ( 1646110 * )
NEW met2 ( 1645190 2380 ) ( * 3060 )
NEW met2 ( 1643810 2380 ) ( 1645190 * )
NEW met2 ( 1642430 82800 ) ( * 113730 )
NEW met2 ( 1642430 82800 ) ( 1643810 * )
NEW met2 ( 1643810 2380 ) ( * 82800 )
NEW met1 ( 607890 2042890 ) ( 934950 * )
NEW met1 ( 934950 113730 ) ( 1642430 * )
NEW met2 ( 934950 113730 ) ( * 2042890 )
NEW met2 ( 607890 2045100 ) M2M3_PR_M
NEW met1 ( 607890 2042890 ) M1M2_PR
NEW met1 ( 1642430 113730 ) M1M2_PR
NEW met1 ( 934950 113730 ) M1M2_PR
NEW met1 ( 934950 2042890 ) M1M2_PR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( chip_controller la_data_out[58] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 2380 0 ) ( * 15810 )
NEW met1 ( 1659450 15810 ) ( 1663590 * )
NEW met2 ( 1659450 15810 ) ( * 1758310 )
NEW met1 ( 462070 1758310 ) ( 1659450 * )
NEW met1 ( 455630 1787210 ) ( 462070 * )
NEW met2 ( 455630 1787210 ) ( * 1800300 0 )
NEW met2 ( 462070 1758310 ) ( * 1787210 )
NEW met1 ( 1663590 15810 ) M1M2_PR
NEW met1 ( 1659450 15810 ) M1M2_PR
NEW met1 ( 1659450 1758310 ) M1M2_PR
NEW met1 ( 462070 1758310 ) M1M2_PR
NEW met1 ( 462070 1787210 ) M1M2_PR
NEW met1 ( 455630 1787210 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( chip_controller la_data_out[59] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2047820 0 ) ( 608350 * )
NEW met2 ( 608350 2043230 ) ( * 2047820 )
NEW met1 ( 608350 2043230 ) ( 845710 * )
NEW met1 ( 845710 1752530 ) ( 1676930 * )
NEW met2 ( 845710 1752530 ) ( * 2043230 )
NEW met2 ( 1676930 82800 ) ( 1681530 * )
NEW met2 ( 1681530 2380 0 ) ( * 82800 )
NEW met2 ( 1676930 82800 ) ( * 1752530 )
NEW met2 ( 608350 2047820 ) M2M3_PR_M
NEW met1 ( 608350 2043230 ) M1M2_PR
NEW met1 ( 845710 1752530 ) M1M2_PR
NEW met1 ( 845710 2043230 ) M1M2_PR
NEW met1 ( 1676930 1752530 ) M1M2_PR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( chip_controller la_data_out[5] ) + USE SIGNAL
+ ROUTED met1 ( 231610 2212890 ) ( 234830 * )
NEW met2 ( 234830 2212890 ) ( * 2219010 )
NEW met2 ( 723810 2380 0 ) ( * 17850 )
NEW met1 ( 717830 17850 ) ( 723810 * )
NEW met1 ( 234830 2219010 ) ( 717830 * )
NEW met2 ( 231610 2199460 0 ) ( * 2212890 )
NEW met2 ( 717830 17850 ) ( * 2219010 )
NEW met1 ( 231610 2212890 ) M1M2_PR
NEW met1 ( 234830 2212890 ) M1M2_PR
NEW met1 ( 234830 2219010 ) M1M2_PR
NEW met1 ( 723810 17850 ) M1M2_PR
NEW met1 ( 717830 17850 ) M1M2_PR
NEW met1 ( 717830 2219010 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( chip_controller la_data_out[60] ) + USE SIGNAL
+ ROUTED met1 ( 447810 2213910 ) ( 1010850 * )
NEW met2 ( 1699470 2380 0 ) ( * 3060 )
NEW met2 ( 1698550 3060 ) ( 1699470 * )
NEW met2 ( 1698550 2380 ) ( * 3060 )
NEW met2 ( 1697630 2380 ) ( 1698550 * )
NEW met1 ( 1010850 1730770 ) ( 1697630 * )
NEW met2 ( 447810 2199460 0 ) ( * 2213910 )
NEW met2 ( 1010850 1730770 ) ( * 2213910 )
NEW met2 ( 1697630 2380 ) ( * 1730770 )
NEW met1 ( 447810 2213910 ) M1M2_PR
NEW met1 ( 1010850 1730770 ) M1M2_PR
NEW met1 ( 1010850 2213910 ) M1M2_PR
NEW met1 ( 1697630 1730770 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( chip_controller la_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2063290 ) ( * 2066860 )
NEW met3 ( 187910 2066860 ) ( 200100 * 0 )
NEW met2 ( 1716950 2380 0 ) ( * 3060 )
NEW met2 ( 1716030 3060 ) ( 1716950 * )
NEW met2 ( 1716030 2380 ) ( * 3060 )
NEW met2 ( 1714650 2380 ) ( 1716030 * )
NEW met1 ( 177330 2063290 ) ( 187910 * )
NEW met2 ( 1711430 82800 ) ( 1714650 * )
NEW met2 ( 1714650 2380 ) ( * 82800 )
NEW met1 ( 177330 1782790 ) ( 1711430 * )
NEW met2 ( 1711430 82800 ) ( * 1782790 )
NEW met2 ( 177330 1782790 ) ( * 2063290 )
NEW met1 ( 187910 2063290 ) M1M2_PR
NEW met2 ( 187910 2066860 ) M2M3_PR_M
NEW met1 ( 177330 1782790 ) M1M2_PR
NEW met1 ( 177330 2063290 ) M1M2_PR
NEW met1 ( 1711430 1782790 ) M1M2_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( chip_controller la_data_out[62] ) + USE SIGNAL
+ ROUTED met2 ( 1732130 82800 ) ( 1734890 * )
NEW met2 ( 1734890 2380 0 ) ( * 82800 )
NEW met2 ( 1732130 82800 ) ( * 1751170 )
NEW met1 ( 468970 1751170 ) ( 1732130 * )
NEW met2 ( 468050 1800300 0 ) ( 468970 * )
NEW met2 ( 468970 1751170 ) ( * 1800300 )
NEW met1 ( 1732130 1751170 ) M1M2_PR
NEW met1 ( 468970 1751170 ) M1M2_PR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( chip_controller la_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 1752370 2380 0 ) ( * 10030 )
NEW met1 ( 1745930 10030 ) ( 1752370 * )
NEW met1 ( 469890 1788570 ) ( 475410 * )
NEW met2 ( 469890 1788570 ) ( * 1800300 0 )
NEW met2 ( 475410 1757970 ) ( * 1788570 )
NEW met2 ( 1745930 10030 ) ( * 1757970 )
NEW met1 ( 475410 1757970 ) ( 1745930 * )
NEW met1 ( 475410 1757970 ) M1M2_PR
NEW met1 ( 1752370 10030 ) M1M2_PR
NEW met1 ( 1745930 10030 ) M1M2_PR
NEW met1 ( 1745930 1757970 ) M1M2_PR
NEW met1 ( 475410 1788570 ) M1M2_PR
NEW met1 ( 469890 1788570 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( chip_controller la_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1770310 2380 0 ) ( * 3060 )
NEW met2 ( 1769390 3060 ) ( 1770310 * )
NEW met2 ( 1769390 2380 ) ( * 3060 )
NEW met2 ( 1768010 2380 ) ( 1769390 * )
NEW met1 ( 474030 1789250 ) ( 507610 * )
NEW met2 ( 474030 1789250 ) ( * 1800300 0 )
NEW met2 ( 507610 1751510 ) ( * 1789250 )
NEW met2 ( 1766630 82800 ) ( 1768010 * )
NEW met2 ( 1768010 2380 ) ( * 82800 )
NEW met2 ( 1766630 82800 ) ( * 1751510 )
NEW met1 ( 507610 1751510 ) ( 1766630 * )
NEW met1 ( 507610 1751510 ) M1M2_PR
NEW met1 ( 1766630 1751510 ) M1M2_PR
NEW met1 ( 507610 1789250 ) M1M2_PR
NEW met1 ( 474030 1789250 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( chip_controller la_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2070430 ) ( * 2075020 )
NEW met3 ( 188830 2075020 ) ( 200100 * 0 )
NEW met1 ( 157090 1750490 ) ( 1787790 * )
NEW met2 ( 157090 1750490 ) ( * 2070430 )
NEW met1 ( 157090 2070430 ) ( 188830 * )
NEW met2 ( 1787790 2380 0 ) ( * 1750490 )
NEW met1 ( 188830 2070430 ) M1M2_PR
NEW met2 ( 188830 2075020 ) M2M3_PR_M
NEW met1 ( 157090 1750490 ) M1M2_PR
NEW met1 ( 1787790 1750490 ) M1M2_PR
NEW met1 ( 157090 2070430 ) M1M2_PR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( chip_controller la_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 477710 1793670 ) ( * 1800300 0 )
NEW met1 ( 534750 1750830 ) ( 1801130 * )
NEW met2 ( 534750 1750830 ) ( * 1773300 )
NEW met2 ( 534290 1773300 ) ( * 1793670 )
NEW met2 ( 534290 1773300 ) ( 534750 * )
NEW met1 ( 477710 1793670 ) ( 534290 * )
NEW met2 ( 1801130 82800 ) ( 1805730 * )
NEW met2 ( 1805730 2380 0 ) ( * 82800 )
NEW met2 ( 1801130 82800 ) ( * 1750830 )
NEW met1 ( 477710 1793670 ) M1M2_PR
NEW met1 ( 534750 1750830 ) M1M2_PR
NEW met1 ( 1801130 1750830 ) M1M2_PR
NEW met1 ( 534290 1793670 ) M1M2_PR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( chip_controller la_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2077910 ) ( * 2078420 )
NEW met3 ( 187910 2078420 ) ( 200100 * 0 )
NEW met2 ( 1821830 82800 ) ( 1823210 * )
NEW met2 ( 1823210 2380 0 ) ( * 82800 )
NEW met2 ( 1821830 82800 ) ( * 1770890 )
NEW met1 ( 161690 1770890 ) ( 1821830 * )
NEW met1 ( 161690 2077910 ) ( 187910 * )
NEW met2 ( 161690 1770890 ) ( * 2077910 )
NEW met1 ( 1821830 1770890 ) M1M2_PR
NEW met1 ( 187910 2077910 ) M1M2_PR
NEW met2 ( 187910 2078420 ) M2M3_PR_M
NEW met1 ( 161690 1770890 ) M1M2_PR
NEW met1 ( 161690 2077910 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( chip_controller la_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 1841150 2380 0 ) ( * 3060 )
NEW met2 ( 1840230 3060 ) ( 1841150 * )
NEW met2 ( 1840230 2380 ) ( * 3060 )
NEW met2 ( 1838850 2380 ) ( 1840230 * )
NEW met2 ( 1835630 82800 ) ( 1838850 * )
NEW met2 ( 1838850 2380 ) ( * 82800 )
NEW met2 ( 1835630 82800 ) ( * 1752190 )
NEW met1 ( 465750 2214250 ) ( 907350 * )
NEW met1 ( 907350 1752190 ) ( 1835630 * )
NEW met2 ( 465750 2199460 0 ) ( * 2214250 )
NEW met2 ( 907350 1752190 ) ( * 2214250 )
NEW met1 ( 1835630 1752190 ) M1M2_PR
NEW met1 ( 465750 2214250 ) M1M2_PR
NEW met1 ( 907350 1752190 ) M1M2_PR
NEW met1 ( 907350 2214250 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( chip_controller la_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 1858630 2380 0 ) ( * 3060 )
NEW met2 ( 1857710 3060 ) ( 1858630 * )
NEW met2 ( 1857710 2380 ) ( * 3060 )
NEW met2 ( 1856330 2380 ) ( 1857710 * )
NEW met2 ( 511290 1788570 ) ( * 1788740 )
NEW met3 ( 487370 1788740 ) ( 511290 * )
NEW met2 ( 487370 1788740 ) ( * 1800300 )
NEW met2 ( 485990 1800300 0 ) ( 487370 * )
NEW met2 ( 1856330 2380 ) ( * 66810 )
NEW met1 ( 511290 1788570 ) ( 617550 * )
NEW met2 ( 617550 66810 ) ( * 1788570 )
NEW met1 ( 617550 66810 ) ( 1856330 * )
NEW met1 ( 511290 1788570 ) M1M2_PR
NEW met2 ( 511290 1788740 ) M2M3_PR_M
NEW met2 ( 487370 1788740 ) M2M3_PR_M
NEW met1 ( 1856330 66810 ) M1M2_PR
NEW met1 ( 617550 66810 ) M1M2_PR
NEW met1 ( 617550 1788570 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( chip_controller la_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 284970 2211190 ) ( * 2219690 )
NEW met1 ( 239430 2211190 ) ( 284970 * )
NEW met2 ( 741750 2380 0 ) ( * 3060 )
NEW met2 ( 740830 3060 ) ( 741750 * )
NEW met2 ( 740830 2380 ) ( * 3060 )
NEW met2 ( 739450 2380 ) ( 740830 * )
NEW met1 ( 284970 2219690 ) ( 738530 * )
NEW met2 ( 239430 2199460 0 ) ( * 2211190 )
NEW met2 ( 738530 82800 ) ( 739450 * )
NEW met2 ( 739450 2380 ) ( * 82800 )
NEW met2 ( 738530 82800 ) ( * 2219690 )
NEW met1 ( 284970 2211190 ) M1M2_PR
NEW met1 ( 284970 2219690 ) M1M2_PR
NEW met1 ( 239430 2211190 ) M1M2_PR
NEW met1 ( 738530 2219690 ) M1M2_PR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( chip_controller la_data_out[70] ) + USE SIGNAL
+ ROUTED met1 ( 468970 2212890 ) ( 1135510 * )
NEW met2 ( 1876570 2380 0 ) ( * 16830 )
NEW met1 ( 1870130 16830 ) ( 1876570 * )
NEW met2 ( 468970 2199460 0 ) ( * 2212890 )
NEW met2 ( 1135510 1799450 ) ( * 2212890 )
NEW met1 ( 1135510 1799450 ) ( 1870130 * )
NEW met2 ( 1870130 16830 ) ( * 1799450 )
NEW met1 ( 468970 2212890 ) M1M2_PR
NEW met1 ( 1135510 2212890 ) M1M2_PR
NEW met1 ( 1876570 16830 ) M1M2_PR
NEW met1 ( 1870130 16830 ) M1M2_PR
NEW met1 ( 1135510 1799450 ) M1M2_PR
NEW met1 ( 1870130 1799450 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( chip_controller la_data_out[71] ) + USE SIGNAL
+ ROUTED met1 ( 491970 1787210 ) ( 496110 * )
NEW met2 ( 491970 1787210 ) ( * 1800300 0 )
NEW met2 ( 496110 1762390 ) ( * 1787210 )
NEW met2 ( 1894510 2380 0 ) ( * 15470 )
NEW met1 ( 1887150 15470 ) ( 1894510 * )
NEW met1 ( 496110 1762390 ) ( 1887150 * )
NEW met2 ( 1887150 15470 ) ( * 1762390 )
NEW met1 ( 496110 1762390 ) M1M2_PR
NEW met1 ( 496110 1787210 ) M1M2_PR
NEW met1 ( 491970 1787210 ) M1M2_PR
NEW met1 ( 1894510 15470 ) M1M2_PR
NEW met1 ( 1887150 15470 ) M1M2_PR
NEW met1 ( 1887150 1762390 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( chip_controller la_data_out[72] ) + USE SIGNAL
+ ROUTED met1 ( 478170 2212210 ) ( 490590 * )
NEW li1 ( 490590 2210510 ) ( * 2212210 )
NEW met2 ( 477250 2199460 0 ) ( 478170 * )
NEW met2 ( 478170 2199460 ) ( * 2212210 )
NEW met1 ( 490590 2210510 ) ( 811210 * )
NEW met1 ( 811210 1745050 ) ( 1911990 * )
NEW met2 ( 811210 1745050 ) ( * 2210510 )
NEW met2 ( 1911990 2380 0 ) ( * 1745050 )
NEW met1 ( 478170 2212210 ) M1M2_PR
NEW li1 ( 490590 2212210 ) L1M1_PR_MR
NEW li1 ( 490590 2210510 ) L1M1_PR_MR
NEW met1 ( 811210 1745050 ) M1M2_PR
NEW met1 ( 811210 2210510 ) M1M2_PR
NEW met1 ( 1911990 1745050 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( chip_controller la_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 1929930 2380 0 ) ( * 17340 )
NEW met2 ( 1928090 17340 ) ( 1929930 * )
NEW li1 ( 510830 1787210 ) ( * 1788570 )
NEW met1 ( 497030 1788570 ) ( 510830 * )
NEW met2 ( 497030 1788570 ) ( * 1789420 )
NEW met2 ( 495650 1789420 ) ( 497030 * )
NEW met2 ( 495650 1789420 ) ( * 1800300 )
NEW met2 ( 494270 1800300 0 ) ( 495650 * )
NEW met2 ( 1925330 82800 ) ( 1928090 * )
NEW met2 ( 1928090 17340 ) ( * 82800 )
NEW met2 ( 1925330 82800 ) ( * 1743690 )
NEW met1 ( 541650 1743690 ) ( 1925330 * )
NEW li1 ( 526010 1785850 ) ( * 1787210 )
NEW met1 ( 526010 1785850 ) ( 541650 * )
NEW met1 ( 510830 1787210 ) ( 526010 * )
NEW met2 ( 541650 1743690 ) ( * 1785850 )
NEW met1 ( 1925330 1743690 ) M1M2_PR
NEW li1 ( 510830 1787210 ) L1M1_PR_MR
NEW li1 ( 510830 1788570 ) L1M1_PR_MR
NEW met1 ( 497030 1788570 ) M1M2_PR
NEW met1 ( 541650 1743690 ) M1M2_PR
NEW li1 ( 526010 1787210 ) L1M1_PR_MR
NEW li1 ( 526010 1785850 ) L1M1_PR_MR
NEW met1 ( 541650 1785850 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( chip_controller la_data_out[74] ) + USE SIGNAL
+ ROUTED met2 ( 496110 1791460 ) ( * 1800300 0 )
NEW met2 ( 1946030 82800 ) ( 1947410 * )
NEW met2 ( 1947410 2380 0 ) ( * 82800 )
NEW met2 ( 1946030 82800 ) ( * 1744370 )
NEW met1 ( 618010 1744370 ) ( 1946030 * )
NEW met3 ( 496110 1791460 ) ( 618010 * )
NEW met2 ( 618010 1744370 ) ( * 1791460 )
NEW met1 ( 1946030 1744370 ) M1M2_PR
NEW met2 ( 496110 1791460 ) M2M3_PR_M
NEW met1 ( 618010 1744370 ) M1M2_PR
NEW met2 ( 618010 1791460 ) M2M3_PR_M ;
- la_data_out[75] ( PIN la_data_out[75] ) ( chip_controller la_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1965350 2380 0 ) ( * 3060 )
NEW met2 ( 1964430 3060 ) ( 1965350 * )
NEW met2 ( 1964430 2380 ) ( * 3060 )
NEW met2 ( 1963050 2380 ) ( 1964430 * )
NEW met2 ( 483690 2199460 0 ) ( * 2208470 )
NEW met2 ( 1190710 1776330 ) ( * 2214590 )
NEW met2 ( 1959830 82800 ) ( 1963050 * )
NEW met2 ( 1963050 2380 ) ( * 82800 )
NEW met2 ( 1959830 82800 ) ( * 1776330 )
NEW met2 ( 536130 2208470 ) ( * 2211700 )
NEW met3 ( 536130 2211700 ) ( 546250 * )
NEW met2 ( 546250 2211700 ) ( * 2214590 )
NEW met1 ( 483690 2208470 ) ( 536130 * )
NEW met1 ( 546250 2214590 ) ( 1190710 * )
NEW met1 ( 1190710 1776330 ) ( 1959830 * )
NEW met1 ( 483690 2208470 ) M1M2_PR
NEW met1 ( 1190710 2214590 ) M1M2_PR
NEW met1 ( 1190710 1776330 ) M1M2_PR
NEW met1 ( 1959830 1776330 ) M1M2_PR
NEW met1 ( 536130 2208470 ) M1M2_PR
NEW met2 ( 536130 2211700 ) M2M3_PR_M
NEW met2 ( 546250 2211700 ) M2M3_PR_M
NEW met1 ( 546250 2214590 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( chip_controller la_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2090830 ) ( * 2094060 )
NEW met3 ( 186990 2094060 ) ( 200100 * 0 )
NEW met2 ( 1982830 2380 0 ) ( * 3060 )
NEW met2 ( 1981910 3060 ) ( 1982830 * )
NEW met2 ( 1981910 2380 ) ( * 3060 )
NEW met2 ( 1980530 2380 ) ( 1981910 * )
NEW met1 ( 169050 2090830 ) ( 186990 * )
NEW met1 ( 169050 1777690 ) ( 1980530 * )
NEW met2 ( 1980530 2380 ) ( * 1777690 )
NEW met2 ( 169050 1777690 ) ( * 2090830 )
NEW met1 ( 186990 2090830 ) M1M2_PR
NEW met2 ( 186990 2094060 ) M2M3_PR_M
NEW met1 ( 169050 1777690 ) M1M2_PR
NEW met1 ( 169050 2090830 ) M1M2_PR
NEW met1 ( 1980530 1777690 ) M1M2_PR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( chip_controller la_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 490130 2199460 0 ) ( * 2211870 )
NEW met2 ( 700350 486370 ) ( * 2211870 )
NEW met1 ( 490130 2211870 ) ( 700350 * )
NEW met1 ( 700350 486370 ) ( 1994790 * )
NEW met1 ( 1994790 58310 ) ( 2000770 * )
NEW met2 ( 2000770 2380 0 ) ( * 58310 )
NEW met2 ( 1994790 58310 ) ( * 486370 )
NEW met1 ( 490130 2211870 ) M1M2_PR
NEW met1 ( 700350 486370 ) M1M2_PR
NEW met1 ( 700350 2211870 ) M1M2_PR
NEW met1 ( 1994790 486370 ) M1M2_PR
NEW met1 ( 1994790 58310 ) M1M2_PR
NEW met1 ( 2000770 58310 ) M1M2_PR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( chip_controller la_data_out[78] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2092020 0 ) ( 607430 * )
NEW met2 ( 607430 2091510 ) ( * 2092020 )
NEW met2 ( 2015030 82800 ) ( 2018250 * )
NEW met2 ( 2018250 2380 0 ) ( * 82800 )
NEW met2 ( 2015030 82800 ) ( * 1737570 )
NEW met1 ( 853070 1737570 ) ( 2015030 * )
NEW met1 ( 607430 2091510 ) ( 853070 * )
NEW met2 ( 853070 1737570 ) ( * 2091510 )
NEW met1 ( 2015030 1737570 ) M1M2_PR
NEW met2 ( 607430 2092020 ) M2M3_PR_M
NEW met1 ( 607430 2091510 ) M1M2_PR
NEW met1 ( 853070 1737570 ) M1M2_PR
NEW met1 ( 853070 2091510 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( chip_controller la_data_out[79] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2094740 0 ) ( 607890 * )
NEW met2 ( 607890 2091170 ) ( * 2094740 )
NEW met2 ( 976810 1738250 ) ( * 2091170 )
NEW met2 ( 2036190 2380 0 ) ( * 1738250 )
NEW met1 ( 976810 1738250 ) ( 2036190 * )
NEW met1 ( 607890 2091170 ) ( 976810 * )
NEW met1 ( 976810 1738250 ) M1M2_PR
NEW met1 ( 2036190 1738250 ) M1M2_PR
NEW met2 ( 607890 2094740 ) M2M3_PR_M
NEW met1 ( 607890 2091170 ) M1M2_PR
NEW met1 ( 976810 2091170 ) M1M2_PR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( chip_controller la_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 759230 2380 0 ) ( * 17340 )
NEW met2 ( 759230 17340 ) ( 759690 * )
NEW met2 ( 759690 17340 ) ( * 1789930 )
NEW met2 ( 249550 1789930 ) ( * 1800300 0 )
NEW met1 ( 249550 1789930 ) ( 759690 * )
NEW met1 ( 759690 1789930 ) M1M2_PR
NEW met1 ( 249550 1789930 ) M1M2_PR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( chip_controller la_data_out[80] ) + USE SIGNAL
+ ROUTED met2 ( 2054130 2380 0 ) ( * 25670 )
NEW met2 ( 497950 1789590 ) ( * 1800300 0 )
NEW met1 ( 1521450 25670 ) ( 2054130 * )
NEW met1 ( 497950 1789590 ) ( 1521450 * )
NEW met2 ( 1521450 25670 ) ( * 1789590 )
NEW met1 ( 2054130 25670 ) M1M2_PR
NEW met1 ( 497950 1789590 ) M1M2_PR
NEW met1 ( 1521450 25670 ) M1M2_PR
NEW met1 ( 1521450 1789590 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( chip_controller la_data_out[81] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2098140 0 ) ( 607430 * )
NEW met2 ( 607430 2097970 ) ( * 2098140 )
NEW met1 ( 1024650 1738590 ) ( 2070230 * )
NEW met1 ( 607430 2097970 ) ( 1024650 * )
NEW met2 ( 1024650 1738590 ) ( * 2097970 )
NEW met2 ( 2070230 82800 ) ( 2071610 * )
NEW met2 ( 2071610 2380 0 ) ( * 82800 )
NEW met2 ( 2070230 82800 ) ( * 1738590 )
NEW met2 ( 607430 2098140 ) M2M3_PR_M
NEW met1 ( 607430 2097970 ) M1M2_PR
NEW met1 ( 1024650 1738590 ) M1M2_PR
NEW met1 ( 2070230 1738590 ) M1M2_PR
NEW met1 ( 1024650 2097970 ) M1M2_PR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( chip_controller la_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 501630 2199460 0 ) ( * 2209150 )
NEW met1 ( 501630 2209150 ) ( 625830 * )
NEW met2 ( 2089550 2380 0 ) ( * 3060 )
NEW met2 ( 2088630 3060 ) ( 2089550 * )
NEW met2 ( 2088630 2380 ) ( * 3060 )
NEW met2 ( 2087250 2380 ) ( 2088630 * )
NEW met2 ( 2087250 2380 ) ( * 34500 )
NEW met2 ( 2084030 34500 ) ( 2087250 * )
NEW li1 ( 2084030 1803530 ) ( * 1805570 )
NEW met2 ( 2084030 34500 ) ( * 1803530 )
NEW met1 ( 625830 1805570 ) ( 2084030 * )
NEW met2 ( 625830 1805570 ) ( * 2209150 )
NEW met1 ( 501630 2209150 ) M1M2_PR
NEW met1 ( 625830 2209150 ) M1M2_PR
NEW li1 ( 2084030 1805570 ) L1M1_PR_MR
NEW li1 ( 2084030 1803530 ) L1M1_PR_MR
NEW met1 ( 2084030 1803530 ) M1M2_PR
NEW met1 ( 625830 1805570 ) M1M2_PR
NEW met1 ( 2084030 1803530 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[83] ( PIN la_data_out[83] ) ( chip_controller la_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2105110 ) ( * 2107660 )
NEW met3 ( 188830 2107660 ) ( 200100 * 0 )
NEW met2 ( 2107030 2380 0 ) ( * 3060 )
NEW met2 ( 2106110 3060 ) ( 2107030 * )
NEW met2 ( 2106110 2380 ) ( * 3060 )
NEW met2 ( 2104730 2380 ) ( 2106110 * )
NEW met1 ( 157550 1770210 ) ( 2104730 * )
NEW met2 ( 157550 1770210 ) ( * 2105110 )
NEW met1 ( 157550 2105110 ) ( 188830 * )
NEW met2 ( 2104730 2380 ) ( * 1770210 )
NEW met1 ( 188830 2105110 ) M1M2_PR
NEW met2 ( 188830 2107660 ) M2M3_PR_M
NEW met1 ( 157550 1770210 ) M1M2_PR
NEW met1 ( 2104730 1770210 ) M1M2_PR
NEW met1 ( 157550 2105110 ) M1M2_PR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( chip_controller la_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 506230 2199460 0 ) ( 507610 * )
NEW met2 ( 507610 2199460 ) ( * 2212210 )
NEW met2 ( 2124970 2380 0 ) ( * 39950 )
NEW met1 ( 507610 2212210 ) ( 714610 * )
NEW met2 ( 714610 39950 ) ( * 2212210 )
NEW met1 ( 714610 39950 ) ( 2124970 * )
NEW met1 ( 507610 2212210 ) M1M2_PR
NEW met1 ( 2124970 39950 ) M1M2_PR
NEW met1 ( 714610 2212210 ) M1M2_PR
NEW met1 ( 714610 39950 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( chip_controller la_data_out[85] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2103580 0 ) ( 608350 * )
NEW met2 ( 608350 2097630 ) ( * 2103580 )
NEW met2 ( 1066510 1737910 ) ( * 2097630 )
NEW met2 ( 2139230 82800 ) ( 2142450 * )
NEW met2 ( 2142450 2380 0 ) ( * 82800 )
NEW met2 ( 2139230 82800 ) ( * 1737910 )
NEW met1 ( 1066510 1737910 ) ( 2139230 * )
NEW met1 ( 608350 2097630 ) ( 1066510 * )
NEW met1 ( 1066510 1737910 ) M1M2_PR
NEW met1 ( 2139230 1737910 ) M1M2_PR
NEW met2 ( 608350 2103580 ) M2M3_PR_M
NEW met1 ( 608350 2097630 ) M1M2_PR
NEW met1 ( 1066510 2097630 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( chip_controller la_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 514510 1789250 ) ( * 1800300 0 )
NEW met2 ( 2160390 2380 0 ) ( * 33490 )
NEW met1 ( 1528350 33490 ) ( 2160390 * )
NEW met1 ( 514510 1789250 ) ( 1528350 * )
NEW met2 ( 1528350 33490 ) ( * 1789250 )
NEW met1 ( 514510 1789250 ) M1M2_PR
NEW met1 ( 1528350 33490 ) M1M2_PR
NEW met1 ( 2160390 33490 ) M1M2_PR
NEW met1 ( 1528350 1789250 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( chip_controller la_data_out[87] ) + USE SIGNAL
+ ROUTED met3 ( 190670 2123300 ) ( 200100 * 0 )
NEW met2 ( 2177870 2380 0 ) ( * 3060 )
NEW met2 ( 2176950 3060 ) ( 2177870 * )
NEW met2 ( 2176950 2380 ) ( * 3060 )
NEW met2 ( 2175570 2380 ) ( 2176950 * )
NEW met1 ( 190670 1749810 ) ( 2173730 * )
NEW met2 ( 2173730 82800 ) ( 2175570 * )
NEW met2 ( 2175570 2380 ) ( * 82800 )
NEW met2 ( 2173730 82800 ) ( * 1749810 )
NEW met2 ( 190670 1749810 ) ( * 2123300 )
NEW met1 ( 190670 1749810 ) M1M2_PR
NEW met2 ( 190670 2123300 ) M2M3_PR_M
NEW met1 ( 2173730 1749810 ) M1M2_PR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( chip_controller la_data_out[88] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2109700 0 ) ( 608350 * )
NEW met2 ( 608350 2105110 ) ( * 2109700 )
NEW met2 ( 1079850 73270 ) ( * 2105110 )
NEW met1 ( 608350 2105110 ) ( 1079850 * )
NEW met1 ( 1079850 73270 ) ( 2195810 * )
NEW met2 ( 2195810 2380 0 ) ( * 73270 )
NEW met2 ( 608350 2109700 ) M2M3_PR_M
NEW met1 ( 608350 2105110 ) M1M2_PR
NEW met1 ( 1079850 73270 ) M1M2_PR
NEW met1 ( 1079850 2105110 ) M1M2_PR
NEW met1 ( 2195810 73270 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( chip_controller la_data_out[89] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2114460 0 ) ( 607890 * )
NEW met2 ( 607890 2111570 ) ( * 2114460 )
NEW met2 ( 2208230 82800 ) ( * 93330 )
NEW met2 ( 2208230 82800 ) ( 2213290 * )
NEW met2 ( 2213290 2380 0 ) ( * 82800 )
NEW met1 ( 607890 2111570 ) ( 1114350 * )
NEW met1 ( 1114350 93330 ) ( 2208230 * )
NEW met2 ( 1114350 93330 ) ( * 2111570 )
NEW met2 ( 607890 2114460 ) M2M3_PR_M
NEW met1 ( 607890 2111570 ) M1M2_PR
NEW met1 ( 2208230 93330 ) M1M2_PR
NEW met1 ( 1114350 93330 ) M1M2_PR
NEW met1 ( 1114350 2111570 ) M1M2_PR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( chip_controller la_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 777170 2380 0 ) ( * 54910 )
NEW met1 ( 255530 1787210 ) ( 261510 * )
NEW met2 ( 255530 1787210 ) ( * 1800300 0 )
NEW met2 ( 261510 54570 ) ( * 1787210 )
NEW met1 ( 261510 54570 ) ( 710700 * )
NEW met1 ( 710700 54570 ) ( * 54910 )
NEW met1 ( 710700 54910 ) ( 777170 * )
NEW met1 ( 777170 54910 ) M1M2_PR
NEW met1 ( 261510 54570 ) M1M2_PR
NEW met1 ( 261510 1787210 ) M1M2_PR
NEW met1 ( 255530 1787210 ) M1M2_PR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( chip_controller la_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 2231230 2380 0 ) ( * 3060 )
NEW met2 ( 2230310 3060 ) ( 2231230 * )
NEW met2 ( 2230310 2380 ) ( * 3060 )
NEW met2 ( 2228930 2380 ) ( 2230310 * )
NEW met2 ( 516350 2199460 0 ) ( * 2212550 )
NEW met2 ( 673670 1778710 ) ( * 2212550 )
NEW met2 ( 2228930 2380 ) ( * 1778710 )
NEW met1 ( 516350 2212550 ) ( 673670 * )
NEW met1 ( 673670 1778710 ) ( 2228930 * )
NEW met1 ( 516350 2212550 ) M1M2_PR
NEW met1 ( 673670 2212550 ) M1M2_PR
NEW met1 ( 673670 1778710 ) M1M2_PR
NEW met1 ( 2228930 1778710 ) M1M2_PR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( chip_controller la_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 2249170 2380 0 ) ( * 17170 )
NEW met1 ( 2239050 17170 ) ( 2249170 * )
NEW met2 ( 2239050 17170 ) ( * 1764090 )
NEW met1 ( 530150 1764090 ) ( 2239050 * )
NEW met1 ( 526470 1787210 ) ( 530150 * )
NEW met2 ( 526470 1787210 ) ( * 1800300 0 )
NEW met2 ( 530150 1764090 ) ( * 1787210 )
NEW met1 ( 2249170 17170 ) M1M2_PR
NEW met1 ( 2239050 17170 ) M1M2_PR
NEW met1 ( 2239050 1764090 ) M1M2_PR
NEW met1 ( 530150 1764090 ) M1M2_PR
NEW met1 ( 530150 1787210 ) M1M2_PR
NEW met1 ( 526470 1787210 ) M1M2_PR ;
- la_data_out[92] ( PIN la_data_out[92] ) ( chip_controller la_data_out[92] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2120580 0 ) ( 607430 * )
NEW met2 ( 607430 2118370 ) ( * 2120580 )
NEW met2 ( 1155750 1744710 ) ( * 2118370 )
NEW met1 ( 607430 2118370 ) ( 1155750 * )
NEW met1 ( 1155750 1744710 ) ( 2263430 * )
NEW met2 ( 2263430 82800 ) ( 2266650 * )
NEW met2 ( 2266650 2380 0 ) ( * 82800 )
NEW met2 ( 2263430 82800 ) ( * 1744710 )
NEW met2 ( 607430 2120580 ) M2M3_PR_M
NEW met1 ( 607430 2118370 ) M1M2_PR
NEW met1 ( 1155750 1744710 ) M1M2_PR
NEW met1 ( 1155750 2118370 ) M1M2_PR
NEW met1 ( 2263430 1744710 ) M1M2_PR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( chip_controller la_data_out[93] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2125340 0 ) ( 607430 * )
NEW met2 ( 607430 2125340 ) ( * 2125510 )
NEW met1 ( 607430 2125510 ) ( 1210950 * )
NEW met1 ( 1210950 100130 ) ( 2284590 * )
NEW met2 ( 1210950 100130 ) ( * 2125510 )
NEW met2 ( 2284590 2380 0 ) ( * 100130 )
NEW met2 ( 607430 2125340 ) M2M3_PR_M
NEW met1 ( 607430 2125510 ) M1M2_PR
NEW met1 ( 1210950 100130 ) M1M2_PR
NEW met1 ( 1210950 2125510 ) M1M2_PR
NEW met1 ( 2284590 100130 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( chip_controller la_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 612030 2183820 ) ( 613410 * )
NEW met2 ( 613410 2183820 ) ( * 2212380 )
NEW met3 ( 520950 2212380 ) ( 613410 * )
NEW met2 ( 2302070 2380 0 ) ( * 3060 )
NEW met2 ( 2301150 3060 ) ( 2302070 * )
NEW met2 ( 2301150 2380 ) ( * 3060 )
NEW met2 ( 2299770 2380 ) ( 2301150 * )
NEW met2 ( 520950 2199460 0 ) ( * 2212380 )
NEW met1 ( 612030 59670 ) ( 2299770 * )
NEW met2 ( 2299770 2380 ) ( * 59670 )
NEW met2 ( 612030 59670 ) ( * 2183820 )
NEW met2 ( 613410 2212380 ) M2M3_PR_M
NEW met1 ( 612030 59670 ) M1M2_PR
NEW met2 ( 520950 2212380 ) M2M3_PR_M
NEW met1 ( 2299770 59670 ) M1M2_PR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( chip_controller la_data_out[95] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2132820 0 ) ( 607430 * )
NEW met2 ( 607430 2132310 ) ( * 2132820 )
NEW met2 ( 2318630 82800 ) ( 2320010 * )
NEW met2 ( 2320010 2380 0 ) ( * 82800 )
NEW met2 ( 2318630 82800 ) ( * 1745390 )
NEW met1 ( 607430 2132310 ) ( 1335610 * )
NEW met1 ( 1335610 1745390 ) ( 2318630 * )
NEW met2 ( 1335610 1745390 ) ( * 2132310 )
NEW met2 ( 607430 2132820 ) M2M3_PR_M
NEW met1 ( 607430 2132310 ) M1M2_PR
NEW met1 ( 2318630 1745390 ) M1M2_PR
NEW met1 ( 1335610 1745390 ) M1M2_PR
NEW met1 ( 1335610 2132310 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( chip_controller la_data_out[96] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2138940 0 ) ( 608350 * )
NEW met2 ( 608350 2132650 ) ( * 2138940 )
NEW met2 ( 2332430 82800 ) ( 2337490 * )
NEW met2 ( 2337490 2380 0 ) ( * 82800 )
NEW met2 ( 2332430 82800 ) ( * 1730090 )
NEW met1 ( 608350 2132650 ) ( 1225670 * )
NEW met1 ( 1225670 1730090 ) ( 2332430 * )
NEW met2 ( 1225670 1730090 ) ( * 2132650 )
NEW met2 ( 608350 2138940 ) M2M3_PR_M
NEW met1 ( 608350 2132650 ) M1M2_PR
NEW met1 ( 2332430 1730090 ) M1M2_PR
NEW met1 ( 1225670 1730090 ) M1M2_PR
NEW met1 ( 1225670 2132650 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( chip_controller la_data_out[97] ) + USE SIGNAL
+ ROUTED met2 ( 2342550 20570 ) ( * 1742670 )
NEW met1 ( 537510 1742670 ) ( 2342550 * )
NEW met2 ( 2355430 2380 0 ) ( * 20570 )
NEW met1 ( 2342550 20570 ) ( 2355430 * )
NEW met2 ( 536590 1800300 0 ) ( 537510 * )
NEW met2 ( 537510 1742670 ) ( * 1800300 )
NEW met1 ( 2342550 20570 ) M1M2_PR
NEW met1 ( 2342550 1742670 ) M1M2_PR
NEW met1 ( 537510 1742670 ) M1M2_PR
NEW met1 ( 2355430 20570 ) M1M2_PR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( chip_controller la_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2126020 ) ( 189290 * )
NEW met2 ( 188830 2126020 ) ( * 2140300 )
NEW met3 ( 188830 2140300 ) ( 200100 * 0 )
NEW met2 ( 2252850 17170 ) ( * 1784150 )
NEW met2 ( 2372910 2380 0 ) ( * 17170 )
NEW met1 ( 2252850 17170 ) ( 2372910 * )
NEW met1 ( 188370 1784150 ) ( 2252850 * )
NEW li1 ( 188370 1807270 ) ( * 1815090 )
NEW met2 ( 188370 1815090 ) ( * 1826310 )
NEW met1 ( 188370 1826310 ) ( 189290 * )
NEW met1 ( 189290 1826310 ) ( * 1828010 )
NEW met2 ( 188370 1784150 ) ( * 1807270 )
NEW met2 ( 189290 1828010 ) ( * 2126020 )
NEW met2 ( 188830 2140300 ) M2M3_PR_M
NEW met1 ( 2252850 17170 ) M1M2_PR
NEW met1 ( 188370 1784150 ) M1M2_PR
NEW met1 ( 2252850 1784150 ) M1M2_PR
NEW met1 ( 2372910 17170 ) M1M2_PR
NEW li1 ( 188370 1807270 ) L1M1_PR_MR
NEW met1 ( 188370 1807270 ) M1M2_PR
NEW li1 ( 188370 1815090 ) L1M1_PR_MR
NEW met1 ( 188370 1815090 ) M1M2_PR
NEW met1 ( 188370 1826310 ) M1M2_PR
NEW met1 ( 189290 1828010 ) M1M2_PR
NEW met1 ( 188370 1807270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 188370 1815090 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[99] ( PIN la_data_out[99] ) ( chip_controller la_data_out[99] ) + USE SIGNAL
+ ROUTED met1 ( 189750 2118030 ) ( 192970 * )
NEW met2 ( 192970 2118030 ) ( * 2142340 )
NEW met3 ( 192970 2142340 ) ( 200100 * 0 )
NEW met2 ( 2218350 18190 ) ( * 1784490 )
NEW met2 ( 2390850 2380 0 ) ( * 18190 )
NEW met1 ( 2218350 18190 ) ( 2390850 * )
NEW met1 ( 189750 1784490 ) ( 2218350 * )
NEW met2 ( 189750 1784490 ) ( * 2118030 )
NEW met1 ( 189750 2118030 ) M1M2_PR
NEW met1 ( 192970 2118030 ) M1M2_PR
NEW met2 ( 192970 2142340 ) M2M3_PR_M
NEW met1 ( 2218350 18190 ) M1M2_PR
NEW met1 ( 189750 1784490 ) M1M2_PR
NEW met1 ( 2218350 1784490 ) M1M2_PR
NEW met1 ( 2390850 18190 ) M1M2_PR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( chip_controller la_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 793730 82800 ) ( 794650 * )
NEW met2 ( 794650 2380 0 ) ( * 82800 )
NEW met2 ( 793730 82800 ) ( * 2220030 )
NEW met2 ( 348450 2211870 ) ( * 2220030 )
NEW met1 ( 270710 2211870 ) ( 348450 * )
NEW met1 ( 348450 2220030 ) ( 793730 * )
NEW met2 ( 270710 2199460 0 ) ( * 2211870 )
NEW met1 ( 793730 2220030 ) M1M2_PR
NEW met1 ( 270710 2211870 ) M1M2_PR
NEW met1 ( 348450 2211870 ) M1M2_PR
NEW met1 ( 348450 2220030 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) ( chip_controller la_oenb[0] ) + USE SIGNAL
+ ROUTED met2 ( 641010 2380 0 ) ( * 23290 )
NEW met1 ( 194350 23290 ) ( 641010 * )
NEW met3 ( 194350 1809140 ) ( 200100 * 0 )
NEW met2 ( 194350 23290 ) ( * 1809140 )
NEW met1 ( 194350 23290 ) M1M2_PR
NEW met1 ( 641010 23290 ) M1M2_PR
NEW met2 ( 194350 1809140 ) M2M3_PR_M ;
- la_oenb[100] ( PIN la_oenb[100] ) ( chip_controller la_oenb[100] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2139110 ) ( * 2143700 )
NEW met3 ( 189750 2143700 ) ( 200100 * 0 )
NEW met2 ( 2414310 2380 0 ) ( * 17170 )
NEW met1 ( 2408330 17170 ) ( 2414310 * )
NEW met2 ( 2408330 17170 ) ( * 1728390 )
NEW met1 ( 148810 2139110 ) ( 189750 * )
NEW met1 ( 148810 1728390 ) ( 2408330 * )
NEW met2 ( 148810 1728390 ) ( * 2139110 )
NEW met1 ( 189750 2139110 ) M1M2_PR
NEW met2 ( 189750 2143700 ) M2M3_PR_M
NEW met1 ( 2414310 17170 ) M1M2_PR
NEW met1 ( 2408330 17170 ) M1M2_PR
NEW met1 ( 2408330 1728390 ) M1M2_PR
NEW met1 ( 148810 1728390 ) M1M2_PR
NEW met1 ( 148810 2139110 ) M1M2_PR ;
- la_oenb[101] ( PIN la_oenb[101] ) ( chip_controller la_oenb[101] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2149140 0 ) ( 607890 * )
NEW met2 ( 607890 2145910 ) ( * 2149140 )
NEW met2 ( 1369650 1751850 ) ( * 2145910 )
NEW met2 ( 2429030 82800 ) ( 2432250 * )
NEW met2 ( 2432250 2380 0 ) ( * 82800 )
NEW met2 ( 2429030 82800 ) ( * 1751850 )
NEW met1 ( 607890 2145910 ) ( 1369650 * )
NEW met1 ( 1369650 1751850 ) ( 2429030 * )
NEW met2 ( 607890 2149140 ) M2M3_PR_M
NEW met1 ( 607890 2145910 ) M1M2_PR
NEW met1 ( 1369650 1751850 ) M1M2_PR
NEW met1 ( 1369650 2145910 ) M1M2_PR
NEW met1 ( 2429030 1751850 ) M1M2_PR ;
- la_oenb[102] ( PIN la_oenb[102] ) ( chip_controller la_oenb[102] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2146250 ) ( * 2147100 )
NEW met3 ( 187910 2147100 ) ( 200100 * 0 )
NEW met1 ( 158010 2146250 ) ( 187910 * )
NEW met1 ( 158010 1756270 ) ( 2449730 * )
NEW met2 ( 158010 1756270 ) ( * 2146250 )
NEW met2 ( 2449730 2380 0 ) ( * 1756270 )
NEW met1 ( 187910 2146250 ) M1M2_PR
NEW met2 ( 187910 2147100 ) M2M3_PR_M
NEW met1 ( 158010 1756270 ) M1M2_PR
NEW met1 ( 158010 2146250 ) M1M2_PR
NEW met1 ( 2449730 1756270 ) M1M2_PR ;
- la_oenb[103] ( PIN la_oenb[103] ) ( chip_controller la_oenb[103] ) + USE SIGNAL
+ ROUTED met2 ( 1928550 18870 ) ( * 1790100 )
NEW met2 ( 2467670 2380 0 ) ( * 18870 )
NEW met1 ( 1928550 18870 ) ( 2467670 * )
NEW met2 ( 548550 1790100 ) ( * 1800300 0 )
NEW met3 ( 548550 1790100 ) ( 1928550 * )
NEW met1 ( 1928550 18870 ) M1M2_PR
NEW met2 ( 1928550 1790100 ) M2M3_PR_M
NEW met1 ( 2467670 18870 ) M1M2_PR
NEW met2 ( 548550 1790100 ) M2M3_PR_M ;
- la_oenb[104] ( PIN la_oenb[104] ) ( chip_controller la_oenb[104] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2156620 0 ) ( 607890 * )
NEW met2 ( 607890 2153050 ) ( * 2156620 )
NEW met2 ( 1439570 1772250 ) ( * 2153050 )
NEW met1 ( 607890 2153050 ) ( 1439570 * )
NEW met1 ( 1439570 1772250 ) ( 2484230 * )
NEW met2 ( 2484230 82800 ) ( 2485610 * )
NEW met2 ( 2485610 2380 0 ) ( * 82800 )
NEW met2 ( 2484230 82800 ) ( * 1772250 )
NEW met2 ( 607890 2156620 ) M2M3_PR_M
NEW met1 ( 607890 2153050 ) M1M2_PR
NEW met1 ( 1439570 1772250 ) M1M2_PR
NEW met1 ( 1439570 2153050 ) M1M2_PR
NEW met1 ( 2484230 1772250 ) M1M2_PR ;
- la_oenb[105] ( PIN la_oenb[105] ) ( chip_controller la_oenb[105] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2161380 0 ) ( 607430 * )
NEW met2 ( 607430 2159850 ) ( * 2161380 )
NEW met2 ( 2498030 82800 ) ( 2503090 * )
NEW met2 ( 2503090 2380 0 ) ( * 82800 )
NEW met2 ( 2498030 82800 ) ( * 1736890 )
NEW met1 ( 1204050 1736890 ) ( 2498030 * )
NEW met1 ( 607430 2159850 ) ( 1204050 * )
NEW met2 ( 1204050 1736890 ) ( * 2159850 )
NEW met1 ( 2498030 1736890 ) M1M2_PR
NEW met2 ( 607430 2161380 ) M2M3_PR_M
NEW met1 ( 607430 2159850 ) M1M2_PR
NEW met1 ( 1204050 1736890 ) M1M2_PR
NEW met1 ( 1204050 2159850 ) M1M2_PR ;
- la_oenb[106] ( PIN la_oenb[106] ) ( chip_controller la_oenb[106] ) + USE SIGNAL
+ ROUTED met2 ( 2521030 2380 0 ) ( * 3060 )
NEW met2 ( 2520110 3060 ) ( 2521030 * )
NEW met2 ( 2520110 2380 ) ( * 3060 )
NEW met2 ( 2518730 2380 ) ( 2520110 * )
NEW met2 ( 612950 2159700 ) ( 613870 * )
NEW met2 ( 613870 2159700 ) ( * 2213060 )
NEW met2 ( 2518730 2380 ) ( * 1750150 )
NEW met3 ( 542110 2213060 ) ( 613870 * )
NEW met1 ( 612950 1750150 ) ( 2518730 * )
NEW met2 ( 542110 2199460 0 ) ( * 2213060 )
NEW met2 ( 612950 1750150 ) ( * 2159700 )
NEW met1 ( 612950 1750150 ) M1M2_PR
NEW met2 ( 613870 2213060 ) M2M3_PR_M
NEW met1 ( 2518730 1750150 ) M1M2_PR
NEW met2 ( 542110 2213060 ) M2M3_PR_M ;
- la_oenb[107] ( PIN la_oenb[107] ) ( chip_controller la_oenb[107] ) + USE SIGNAL
+ ROUTED met2 ( 2538510 2380 0 ) ( * 3060 )
NEW met2 ( 2537590 3060 ) ( 2538510 * )
NEW met2 ( 2537590 2380 ) ( * 3060 )
NEW met2 ( 2536210 2380 ) ( 2537590 * )
NEW met3 ( 599380 2165460 0 ) ( 607890 * )
NEW met2 ( 607890 2160190 ) ( * 2165460 )
NEW met2 ( 990150 106930 ) ( * 2160190 )
NEW met1 ( 2532990 58650 ) ( 2536210 * )
NEW met2 ( 2532990 58650 ) ( * 106930 )
NEW met2 ( 2536210 2380 ) ( * 58650 )
NEW met1 ( 990150 106930 ) ( 2532990 * )
NEW met1 ( 607890 2160190 ) ( 990150 * )
NEW met1 ( 990150 106930 ) M1M2_PR
NEW met1 ( 2532990 106930 ) M1M2_PR
NEW met2 ( 607890 2165460 ) M2M3_PR_M
NEW met1 ( 607890 2160190 ) M1M2_PR
NEW met1 ( 990150 2160190 ) M1M2_PR
NEW met1 ( 2532990 58650 ) M1M2_PR
NEW met1 ( 2536210 58650 ) M1M2_PR ;
- la_oenb[108] ( PIN la_oenb[108] ) ( chip_controller la_oenb[108] ) + USE SIGNAL
+ ROUTED met2 ( 666310 1742330 ) ( * 2208470 )
NEW met1 ( 545330 2208470 ) ( 666310 * )
NEW met1 ( 666310 1742330 ) ( 2553230 * )
NEW met2 ( 545330 2199460 0 ) ( * 2208470 )
NEW met2 ( 2553230 82800 ) ( 2556450 * )
NEW met2 ( 2556450 2380 0 ) ( * 82800 )
NEW met2 ( 2553230 82800 ) ( * 1742330 )
NEW met1 ( 666310 1742330 ) M1M2_PR
NEW met1 ( 666310 2208470 ) M1M2_PR
NEW met1 ( 545330 2208470 ) M1M2_PR
NEW met1 ( 2553230 1742330 ) M1M2_PR ;
- la_oenb[109] ( PIN la_oenb[109] ) ( chip_controller la_oenb[109] ) + USE SIGNAL
+ ROUTED met3 ( 192050 2164780 ) ( 200100 * 0 )
NEW met2 ( 2515050 18530 ) ( * 1783470 )
NEW met2 ( 2573930 2380 0 ) ( * 18530 )
NEW met1 ( 2515050 18530 ) ( 2573930 * )
NEW met1 ( 192050 1783470 ) ( 2515050 * )
NEW met2 ( 192050 1783470 ) ( * 2164780 )
NEW met1 ( 2515050 18530 ) M1M2_PR
NEW met1 ( 192050 1783470 ) M1M2_PR
NEW met2 ( 192050 2164780 ) M2M3_PR_M
NEW met1 ( 2515050 1783470 ) M1M2_PR
NEW met1 ( 2573930 18530 ) M1M2_PR ;
- la_oenb[10] ( PIN la_oenb[10] ) ( chip_controller la_oenb[10] ) + USE SIGNAL
+ ROUTED met1 ( 263810 1787210 ) ( 268870 * )
NEW met2 ( 263810 1787210 ) ( * 1800300 0 )
NEW met2 ( 268870 54230 ) ( * 1787210 )
NEW met1 ( 268870 54230 ) ( 818570 * )
NEW met2 ( 818570 2380 0 ) ( * 54230 )
NEW met1 ( 268870 54230 ) M1M2_PR
NEW met1 ( 268870 1787210 ) M1M2_PR
NEW met1 ( 263810 1787210 ) M1M2_PR
NEW met1 ( 818570 54230 ) M1M2_PR ;
- la_oenb[110] ( PIN la_oenb[110] ) ( chip_controller la_oenb[110] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2166990 ) ( * 2169540 )
NEW met3 ( 188370 2169540 ) ( 200100 * 0 )
NEW met2 ( 2591870 2380 0 ) ( * 3060 )
NEW met2 ( 2590950 3060 ) ( 2591870 * )
NEW met2 ( 2590950 2380 ) ( * 3060 )
NEW met2 ( 2589570 2380 ) ( 2590950 * )
NEW met1 ( 150190 1735190 ) ( 2587730 * )
NEW met2 ( 150190 1735190 ) ( * 2166990 )
NEW met1 ( 150190 2166990 ) ( 188370 * )
NEW met2 ( 2587730 82800 ) ( 2589570 * )
NEW met2 ( 2589570 2380 ) ( * 82800 )
NEW met2 ( 2587730 82800 ) ( * 1735190 )
NEW met1 ( 188370 2166990 ) M1M2_PR
NEW met2 ( 188370 2169540 ) M2M3_PR_M
NEW met1 ( 150190 1735190 ) M1M2_PR
NEW met1 ( 2587730 1735190 ) M1M2_PR
NEW met1 ( 150190 2166990 ) M1M2_PR ;
- la_oenb[111] ( PIN la_oenb[111] ) ( chip_controller la_oenb[111] ) + USE SIGNAL
+ ROUTED met2 ( 2609350 2380 0 ) ( * 52190 )
NEW met2 ( 565110 52190 ) ( * 1773300 )
NEW met2 ( 562350 1773300 ) ( 565110 * )
NEW met2 ( 562350 1773300 ) ( * 1800300 )
NEW met2 ( 560970 1800300 0 ) ( 562350 * )
NEW met1 ( 565110 52190 ) ( 2609350 * )
NEW met1 ( 2609350 52190 ) M1M2_PR
NEW met1 ( 565110 52190 ) M1M2_PR ;
- la_oenb[112] ( PIN la_oenb[112] ) ( chip_controller la_oenb[112] ) + USE SIGNAL
+ ROUTED li1 ( 572010 2210170 ) ( * 2213570 )
NEW met2 ( 2622230 82800 ) ( 2627290 * )
NEW met2 ( 2627290 2380 0 ) ( * 82800 )
NEW met2 ( 2622230 82800 ) ( * 1756950 )
NEW met1 ( 556830 2210170 ) ( 572010 * )
NEW met1 ( 572010 2213570 ) ( 638250 * )
NEW met1 ( 638250 1756950 ) ( 2622230 * )
NEW met2 ( 556830 2199460 0 ) ( * 2210170 )
NEW met2 ( 638250 1756950 ) ( * 2213570 )
NEW li1 ( 572010 2210170 ) L1M1_PR_MR
NEW li1 ( 572010 2213570 ) L1M1_PR_MR
NEW met1 ( 2622230 1756950 ) M1M2_PR
NEW met1 ( 556830 2210170 ) M1M2_PR
NEW met1 ( 638250 1756950 ) M1M2_PR
NEW met1 ( 638250 2213570 ) M1M2_PR ;
- la_oenb[113] ( PIN la_oenb[113] ) ( chip_controller la_oenb[113] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2177700 0 ) ( 607890 * )
NEW met2 ( 607890 2173790 ) ( * 2177700 )
NEW met2 ( 2645230 2380 0 ) ( * 3060 )
NEW met2 ( 2644310 3060 ) ( 2645230 * )
NEW met2 ( 2644310 2380 ) ( * 3060 )
NEW met2 ( 2642930 2380 ) ( 2644310 * )
NEW met1 ( 1135050 1736210 ) ( 2642930 * )
NEW met1 ( 607890 2173790 ) ( 1135050 * )
NEW met2 ( 1135050 1736210 ) ( * 2173790 )
NEW met2 ( 2642930 2380 ) ( * 1736210 )
NEW met2 ( 607890 2177700 ) M2M3_PR_M
NEW met1 ( 607890 2173790 ) M1M2_PR
NEW met1 ( 1135050 1736210 ) M1M2_PR
NEW met1 ( 2642930 1736210 ) M1M2_PR
NEW met1 ( 1135050 2173790 ) M1M2_PR ;
- la_oenb[114] ( PIN la_oenb[114] ) ( chip_controller la_oenb[114] ) + USE SIGNAL
+ ROUTED met3 ( 561890 2208980 ) ( 1224750 * )
NEW met2 ( 2662710 2380 0 ) ( * 24990 )
NEW met1 ( 1224750 24990 ) ( 2662710 * )
NEW met2 ( 561890 2199460 0 ) ( * 2208980 )
NEW met2 ( 1224750 24990 ) ( * 2208980 )
NEW met2 ( 561890 2208980 ) M2M3_PR_M
NEW met1 ( 1224750 24990 ) M1M2_PR
NEW met2 ( 1224750 2208980 ) M2M3_PR_M
NEW met1 ( 2662710 24990 ) M1M2_PR ;
- la_oenb[115] ( PIN la_oenb[115] ) ( chip_controller la_oenb[115] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2179060 0 ) ( 608350 * )
NEW met2 ( 608350 2174130 ) ( * 2179060 )
NEW met1 ( 1121710 1729070 ) ( 2677430 * )
NEW met1 ( 608350 2174130 ) ( 1121710 * )
NEW met2 ( 1121710 1729070 ) ( * 2174130 )
NEW met2 ( 2677430 82800 ) ( 2680650 * )
NEW met2 ( 2680650 2380 0 ) ( * 82800 )
NEW met2 ( 2677430 82800 ) ( * 1729070 )
NEW met2 ( 608350 2179060 ) M2M3_PR_M
NEW met1 ( 608350 2174130 ) M1M2_PR
NEW met1 ( 1121710 1729070 ) M1M2_PR
NEW met1 ( 2677430 1729070 ) M1M2_PR
NEW met1 ( 1121710 2174130 ) M1M2_PR ;
- la_oenb[116] ( PIN la_oenb[116] ) ( chip_controller la_oenb[116] ) + USE SIGNAL
+ ROUTED met1 ( 569710 2208130 ) ( 612950 * )
NEW met2 ( 612950 2208000 ) ( * 2208130 )
NEW met2 ( 611570 2208000 ) ( 612950 * )
NEW met2 ( 569710 2199460 0 ) ( * 2208130 )
NEW met2 ( 2698130 2380 0 ) ( * 1804890 )
NEW met1 ( 611570 1804890 ) ( 2698130 * )
NEW met2 ( 611570 1804890 ) ( * 2208000 )
NEW met1 ( 569710 2208130 ) M1M2_PR
NEW met1 ( 612950 2208130 ) M1M2_PR
NEW met1 ( 2698130 1804890 ) M1M2_PR
NEW met1 ( 611570 1804890 ) M1M2_PR ;
- la_oenb[117] ( PIN la_oenb[117] ) ( chip_controller la_oenb[117] ) + USE SIGNAL
+ ROUTED met2 ( 2716070 2380 0 ) ( * 3060 )
NEW met2 ( 2715150 3060 ) ( 2716070 * )
NEW met2 ( 2715150 2380 ) ( * 3060 )
NEW met2 ( 2713770 2380 ) ( 2715150 * )
NEW met2 ( 188830 2173790 ) ( * 2178380 )
NEW met3 ( 188830 2178380 ) ( 200100 * 0 )
NEW met2 ( 2711930 82800 ) ( 2713770 * )
NEW met2 ( 2713770 2380 ) ( * 82800 )
NEW met2 ( 2711930 82800 ) ( * 1721590 )
NEW met2 ( 150650 1721590 ) ( * 2173790 )
NEW met1 ( 150650 2173790 ) ( 188830 * )
NEW met1 ( 150650 1721590 ) ( 2711930 * )
NEW met1 ( 188830 2173790 ) M1M2_PR
NEW met2 ( 188830 2178380 ) M2M3_PR_M
NEW met1 ( 2711930 1721590 ) M1M2_PR
NEW met1 ( 150650 1721590 ) M1M2_PR
NEW met1 ( 150650 2173790 ) M1M2_PR ;
- la_oenb[118] ( PIN la_oenb[118] ) ( chip_controller la_oenb[118] ) + USE SIGNAL
+ ROUTED met2 ( 579370 51850 ) ( * 1773300 )
NEW met2 ( 578450 1773300 ) ( 579370 * )
NEW met2 ( 578450 1773300 ) ( * 1800300 )
NEW met2 ( 577070 1800300 0 ) ( 578450 * )
NEW met2 ( 2733550 2380 0 ) ( * 51850 )
NEW met1 ( 579370 51850 ) ( 2733550 * )
NEW met1 ( 579370 51850 ) M1M2_PR
NEW met1 ( 2733550 51850 ) M1M2_PR ;
- la_oenb[119] ( PIN la_oenb[119] ) ( chip_controller la_oenb[119] ) + USE SIGNAL
+ ROUTED met1 ( 577990 2209490 ) ( 613410 * )
NEW li1 ( 613410 2208130 ) ( * 2209490 )
NEW met2 ( 577990 2199460 0 ) ( * 2209490 )
NEW met2 ( 1348950 25330 ) ( * 2208130 )
NEW met1 ( 613410 2208130 ) ( 1348950 * )
NEW met2 ( 2751490 2380 0 ) ( * 25330 )
NEW met1 ( 1348950 25330 ) ( 2751490 * )
NEW met1 ( 577990 2209490 ) M1M2_PR
NEW li1 ( 613410 2209490 ) L1M1_PR_MR
NEW li1 ( 613410 2208130 ) L1M1_PR_MR
NEW met1 ( 1348950 25330 ) M1M2_PR
NEW met1 ( 1348950 2208130 ) M1M2_PR
NEW met1 ( 2751490 25330 ) M1M2_PR ;
- la_oenb[11] ( PIN la_oenb[11] ) ( chip_controller la_oenb[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1886660 0 ) ( 607430 * )
NEW met2 ( 607430 1884110 ) ( * 1886660 )
NEW met2 ( 835130 82800 ) ( 836050 * )
NEW met2 ( 836050 2380 0 ) ( * 82800 )
NEW met1 ( 607430 1884110 ) ( 835130 * )
NEW met2 ( 835130 82800 ) ( * 1884110 )
NEW met2 ( 607430 1886660 ) M2M3_PR_M
NEW met1 ( 607430 1884110 ) M1M2_PR
NEW met1 ( 835130 1884110 ) M1M2_PR ;
- la_oenb[120] ( PIN la_oenb[120] ) ( chip_controller la_oenb[120] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2180930 ) ( * 2185180 )
NEW met3 ( 187910 2185180 ) ( 200100 * 0 )
NEW met1 ( 149730 1749130 ) ( 2767130 * )
NEW met2 ( 149730 1749130 ) ( * 2180930 )
NEW met1 ( 149730 2180930 ) ( 187910 * )
NEW met2 ( 2767130 82800 ) ( 2768970 * )
NEW met2 ( 2768970 2380 0 ) ( * 82800 )
NEW met2 ( 2767130 82800 ) ( * 1749130 )
NEW met1 ( 187910 2180930 ) M1M2_PR
NEW met2 ( 187910 2185180 ) M2M3_PR_M
NEW met1 ( 149730 1749130 ) M1M2_PR
NEW met1 ( 2767130 1749130 ) M1M2_PR
NEW met1 ( 149730 2180930 ) M1M2_PR ;
- la_oenb[121] ( PIN la_oenb[121] ) ( chip_controller la_oenb[121] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2189940 0 ) ( 608350 * )
NEW met2 ( 608350 2187730 ) ( * 2189940 )
NEW met2 ( 1383910 1779050 ) ( * 2187730 )
NEW met2 ( 2786910 2380 0 ) ( * 17850 )
NEW met1 ( 2780930 17850 ) ( 2786910 * )
NEW met1 ( 608350 2187730 ) ( 1383910 * )
NEW met1 ( 1383910 1779050 ) ( 2780930 * )
NEW met2 ( 2780930 17850 ) ( * 1779050 )
NEW met2 ( 608350 2189940 ) M2M3_PR_M
NEW met1 ( 608350 2187730 ) M1M2_PR
NEW met1 ( 1383910 1779050 ) M1M2_PR
NEW met1 ( 1383910 2187730 ) M1M2_PR
NEW met1 ( 2786910 17850 ) M1M2_PR
NEW met1 ( 2780930 17850 ) M1M2_PR
NEW met1 ( 2780930 1779050 ) M1M2_PR ;
- la_oenb[122] ( PIN la_oenb[122] ) ( chip_controller la_oenb[122] ) + USE SIGNAL
+ ROUTED met2 ( 2804390 2380 0 ) ( * 3060 )
NEW met2 ( 2803470 3060 ) ( 2804390 * )
NEW met2 ( 2803470 2380 ) ( * 3060 )
NEW met2 ( 2802090 2380 ) ( 2803470 * )
NEW met2 ( 189750 2187390 ) ( * 2189940 )
NEW met3 ( 189750 2189940 ) ( 200100 * 0 )
NEW met2 ( 2801630 82800 ) ( 2802090 * )
NEW met2 ( 2802090 2380 ) ( * 82800 )
NEW met2 ( 2801630 82800 ) ( * 1776670 )
NEW met1 ( 163530 2187390 ) ( 189750 * )
NEW met1 ( 163530 1776670 ) ( 2801630 * )
NEW met2 ( 163530 1776670 ) ( * 2187390 )
NEW met1 ( 189750 2187390 ) M1M2_PR
NEW met2 ( 189750 2189940 ) M2M3_PR_M
NEW met1 ( 2801630 1776670 ) M1M2_PR
NEW met1 ( 163530 1776670 ) M1M2_PR
NEW met1 ( 163530 2187390 ) M1M2_PR ;
- la_oenb[123] ( PIN la_oenb[123] ) ( chip_controller la_oenb[123] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2187730 ) ( * 2193340 )
NEW met3 ( 187910 2193340 ) ( 200100 * 0 )
NEW met2 ( 2822330 2380 0 ) ( * 1762730 )
NEW met1 ( 149270 1762730 ) ( 2822330 * )
NEW met2 ( 149270 1762730 ) ( * 2187730 )
NEW met1 ( 149270 2187730 ) ( 187910 * )
NEW met1 ( 2822330 1762730 ) M1M2_PR
NEW met1 ( 187910 2187730 ) M1M2_PR
NEW met2 ( 187910 2193340 ) M2M3_PR_M
NEW met1 ( 149270 1762730 ) M1M2_PR
NEW met1 ( 149270 2187730 ) M1M2_PR ;
- la_oenb[124] ( PIN la_oenb[124] ) ( chip_controller la_oenb[124] ) + USE SIGNAL
+ ROUTED met2 ( 592710 1800300 ) ( 593170 * 0 )
NEW met2 ( 592710 51510 ) ( * 1800300 )
NEW met2 ( 2840270 2380 0 ) ( * 3060 )
NEW met2 ( 2839350 3060 ) ( 2840270 * )
NEW met2 ( 2839350 2380 ) ( * 3060 )
NEW met2 ( 2837970 2380 ) ( 2839350 * )
NEW met1 ( 592710 51510 ) ( 2837970 * )
NEW met2 ( 2837970 2380 ) ( * 51510 )
NEW met1 ( 592710 51510 ) M1M2_PR
NEW met1 ( 2837970 51510 ) M1M2_PR ;
- la_oenb[125] ( PIN la_oenb[125] ) ( chip_controller la_oenb[125] ) + USE SIGNAL
+ ROUTED met2 ( 589490 2199460 0 ) ( * 2213740 )
NEW met3 ( 589490 2213740 ) ( 1404150 * )
NEW met2 ( 1404150 47090 ) ( * 2213740 )
NEW met1 ( 1404150 47090 ) ( 2857750 * )
NEW met2 ( 2857750 2380 0 ) ( * 47090 )
NEW met2 ( 589490 2213740 ) M2M3_PR_M
NEW met2 ( 1404150 2213740 ) M2M3_PR_M
NEW met1 ( 1404150 47090 ) M1M2_PR
NEW met1 ( 2857750 47090 ) M1M2_PR ;
- la_oenb[126] ( PIN la_oenb[126] ) ( chip_controller la_oenb[126] ) + USE SIGNAL
+ ROUTED met3 ( 593170 2200140 ) ( 603750 * )
NEW met2 ( 593170 2199460 ) ( * 2200140 )
NEW met2 ( 592710 2199460 0 ) ( 593170 * )
NEW met2 ( 2870630 82800 ) ( 2875690 * )
NEW met2 ( 2875690 2380 0 ) ( * 82800 )
NEW met2 ( 2870630 82800 ) ( * 1804210 )
NEW met1 ( 603750 1804210 ) ( 2870630 * )
NEW met2 ( 603750 1804210 ) ( * 2200140 )
NEW met2 ( 603750 2200140 ) M2M3_PR_M
NEW met2 ( 593170 2200140 ) M2M3_PR_M
NEW met1 ( 2870630 1804210 ) M1M2_PR
NEW met1 ( 603750 1804210 ) M1M2_PR ;
- la_oenb[127] ( PIN la_oenb[127] ) ( chip_controller la_oenb[127] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2198780 0 ) ( 607430 * )
NEW met2 ( 607430 2194700 ) ( * 2198780 )
NEW met2 ( 2893170 2380 0 ) ( * 59330 )
NEW met3 ( 607430 2194700 ) ( 1031550 * )
NEW met2 ( 1031550 59330 ) ( * 2194700 )
NEW met1 ( 1031550 59330 ) ( 2893170 * )
NEW met2 ( 607430 2198780 ) M2M3_PR_M
NEW met2 ( 607430 2194700 ) M2M3_PR_M
NEW met1 ( 2893170 59330 ) M1M2_PR
NEW met1 ( 1031550 59330 ) M1M2_PR
NEW met2 ( 1031550 2194700 ) M2M3_PR_M ;
- la_oenb[12] ( PIN la_oenb[12] ) ( chip_controller la_oenb[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1891420 0 ) ( 607430 * )
NEW met2 ( 607430 1891250 ) ( * 1891420 )
NEW met2 ( 853990 2380 0 ) ( * 3060 )
NEW met2 ( 853070 3060 ) ( 853990 * )
NEW met2 ( 853070 2380 ) ( * 3060 )
NEW met2 ( 851690 2380 ) ( 853070 * )
NEW met2 ( 848930 82800 ) ( 851690 * )
NEW met2 ( 851690 2380 ) ( * 82800 )
NEW met1 ( 607430 1891250 ) ( 848930 * )
NEW met2 ( 848930 82800 ) ( * 1891250 )
NEW met2 ( 607430 1891420 ) M2M3_PR_M
NEW met1 ( 607430 1891250 ) M1M2_PR
NEW met1 ( 848930 1891250 ) M1M2_PR ;
- la_oenb[13] ( PIN la_oenb[13] ) ( chip_controller la_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 871470 2380 0 ) ( * 3060 )
NEW met2 ( 870550 3060 ) ( 871470 * )
NEW met2 ( 870550 2380 ) ( * 3060 )
NEW met2 ( 869630 2380 ) ( 870550 * )
NEW met2 ( 299690 2199460 0 ) ( * 2212550 )
NEW met2 ( 869630 2380 ) ( * 2218330 )
NEW met2 ( 352130 2212550 ) ( * 2218330 )
NEW met1 ( 299690 2212550 ) ( 352130 * )
NEW met1 ( 352130 2218330 ) ( 869630 * )
NEW met1 ( 299690 2212550 ) M1M2_PR
NEW met1 ( 869630 2218330 ) M1M2_PR
NEW met1 ( 352130 2212550 ) M1M2_PR
NEW met1 ( 352130 2218330 ) M1M2_PR ;
- la_oenb[14] ( PIN la_oenb[14] ) ( chip_controller la_oenb[14] ) + USE SIGNAL
+ ROUTED met2 ( 889410 2380 0 ) ( * 17340 )
NEW met2 ( 886190 17340 ) ( 889410 * )
NEW met3 ( 599380 1901620 0 ) ( 607430 * )
NEW met2 ( 607430 1897710 ) ( * 1901620 )
NEW met2 ( 883890 82800 ) ( 886190 * )
NEW met2 ( 886190 17340 ) ( * 82800 )
NEW met2 ( 883890 82800 ) ( * 1897710 )
NEW met1 ( 607430 1897710 ) ( 883890 * )
NEW met2 ( 607430 1901620 ) M2M3_PR_M
NEW met1 ( 607430 1897710 ) M1M2_PR
NEW met1 ( 883890 1897710 ) M1M2_PR ;
- la_oenb[15] ( PIN la_oenb[15] ) ( chip_controller la_oenb[15] ) + USE SIGNAL
+ ROUTED met3 ( 198950 1903660 ) ( 200100 * 0 )
NEW met2 ( 907350 2380 0 ) ( * 3060 )
NEW met2 ( 906430 3060 ) ( 907350 * )
NEW met2 ( 906430 2380 ) ( * 3060 )
NEW met2 ( 905050 2380 ) ( 906430 * )
NEW met2 ( 904130 82800 ) ( 905050 * )
NEW met2 ( 905050 2380 ) ( * 82800 )
NEW met1 ( 198950 1790950 ) ( 904130 * )
NEW met2 ( 904130 82800 ) ( * 1790950 )
NEW met2 ( 198950 1849200 ) ( * 1903660 )
NEW met2 ( 198030 1824780 ) ( 198950 * )
NEW met2 ( 198030 1824780 ) ( * 1849200 )
NEW met2 ( 198030 1849200 ) ( 198950 * )
NEW met2 ( 198950 1790950 ) ( * 1824780 )
NEW met1 ( 198950 1790950 ) M1M2_PR
NEW met2 ( 198950 1903660 ) M2M3_PR_M
NEW met1 ( 904130 1790950 ) M1M2_PR ;
- la_oenb[16] ( PIN la_oenb[16] ) ( chip_controller la_oenb[16] ) + USE SIGNAL
+ ROUTED met3 ( 193890 1912500 ) ( 200100 * 0 )
NEW met1 ( 193890 79730 ) ( 924830 * )
NEW met2 ( 924830 2380 0 ) ( * 79730 )
NEW met2 ( 193890 79730 ) ( * 1912500 )
NEW met1 ( 193890 79730 ) M1M2_PR
NEW met2 ( 193890 1912500 ) M2M3_PR_M
NEW met1 ( 924830 79730 ) M1M2_PR ;
- la_oenb[17] ( PIN la_oenb[17] ) ( chip_controller la_oenb[17] ) + USE SIGNAL
+ ROUTED met1 ( 320390 1787210 ) ( 324070 * )
NEW met2 ( 320390 1787210 ) ( * 1800300 0 )
NEW met2 ( 324070 53890 ) ( * 1787210 )
NEW met2 ( 942770 2380 0 ) ( * 17340 )
NEW met2 ( 941850 17340 ) ( 942770 * )
NEW met1 ( 324070 53890 ) ( 941850 * )
NEW met2 ( 941850 17340 ) ( * 53890 )
NEW met1 ( 324070 53890 ) M1M2_PR
NEW met1 ( 324070 1787210 ) M1M2_PR
NEW met1 ( 320390 1787210 ) M1M2_PR
NEW met1 ( 941850 53890 ) M1M2_PR ;
- la_oenb[18] ( PIN la_oenb[18] ) ( chip_controller la_oenb[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1928820 0 ) ( 608350 * )
NEW met2 ( 608350 1925250 ) ( * 1928820 )
NEW met2 ( 959330 82800 ) ( 960250 * )
NEW met2 ( 960250 2380 0 ) ( * 82800 )
NEW met2 ( 959330 82800 ) ( * 1925250 )
NEW met1 ( 608350 1925250 ) ( 959330 * )
NEW met2 ( 608350 1928820 ) M2M3_PR_M
NEW met1 ( 608350 1925250 ) M1M2_PR
NEW met1 ( 959330 1925250 ) M1M2_PR ;
- la_oenb[19] ( PIN la_oenb[19] ) ( chip_controller la_oenb[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1934940 0 ) ( 607430 * )
NEW met2 ( 607430 1932050 ) ( * 1934940 )
NEW met2 ( 978190 2380 0 ) ( * 3060 )
NEW met2 ( 977270 3060 ) ( 978190 * )
NEW met2 ( 977270 2380 ) ( * 3060 )
NEW met2 ( 975890 2380 ) ( 977270 * )
NEW met2 ( 973130 82800 ) ( 975890 * )
NEW met2 ( 975890 2380 ) ( * 82800 )
NEW met2 ( 973130 82800 ) ( * 1932050 )
NEW met1 ( 607430 1932050 ) ( 973130 * )
NEW met2 ( 607430 1934940 ) M2M3_PR_M
NEW met1 ( 607430 1932050 ) M1M2_PR
NEW met1 ( 973130 1932050 ) M1M2_PR ;
- la_oenb[1] ( PIN la_oenb[1] ) ( chip_controller la_oenb[1] ) + USE SIGNAL
+ ROUTED met2 ( 211830 2199460 0 ) ( * 2203710 )
NEW met2 ( 658950 2380 0 ) ( * 17510 )
NEW met1 ( 652050 17510 ) ( 658950 * )
NEW met1 ( 211830 2203710 ) ( 652050 * )
NEW met2 ( 652050 17510 ) ( * 2203710 )
NEW met1 ( 211830 2203710 ) M1M2_PR
NEW met1 ( 658950 17510 ) M1M2_PR
NEW met1 ( 652050 17510 ) M1M2_PR
NEW met1 ( 652050 2203710 ) M1M2_PR ;
- la_oenb[20] ( PIN la_oenb[20] ) ( chip_controller la_oenb[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1941060 0 ) ( 607430 * )
NEW met2 ( 607430 1939190 ) ( * 1941060 )
NEW met2 ( 995670 2380 0 ) ( * 3060 )
NEW met2 ( 994750 3060 ) ( 995670 * )
NEW met2 ( 994750 2380 ) ( * 3060 )
NEW met2 ( 993830 2380 ) ( 994750 * )
NEW met2 ( 993830 2380 ) ( * 1939190 )
NEW met1 ( 607430 1939190 ) ( 993830 * )
NEW met2 ( 607430 1941060 ) M2M3_PR_M
NEW met1 ( 607430 1939190 ) M1M2_PR
NEW met1 ( 993830 1939190 ) M1M2_PR ;
- la_oenb[21] ( PIN la_oenb[21] ) ( chip_controller la_oenb[21] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1945990 ) ( * 1946500 )
NEW met3 ( 188370 1946500 ) ( 200100 * 0 )
NEW met1 ( 172270 1945990 ) ( 188370 * )
NEW met2 ( 1008090 82800 ) ( 1013610 * )
NEW met2 ( 1013610 2380 0 ) ( * 82800 )
NEW met1 ( 172270 1790610 ) ( 1008090 * )
NEW met2 ( 1008090 82800 ) ( * 1790610 )
NEW met2 ( 172270 1790610 ) ( * 1945990 )
NEW met1 ( 188370 1945990 ) M1M2_PR
NEW met2 ( 188370 1946500 ) M2M3_PR_M
NEW met1 ( 172270 1945990 ) M1M2_PR
NEW met1 ( 172270 1790610 ) M1M2_PR
NEW met1 ( 1008090 1790610 ) M1M2_PR ;
- la_oenb[22] ( PIN la_oenb[22] ) ( chip_controller la_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 344310 59330 ) ( * 1800300 0 )
NEW met1 ( 344310 59330 ) ( 1031090 * )
NEW met2 ( 1031090 2380 0 ) ( * 59330 )
NEW met1 ( 344310 59330 ) M1M2_PR
NEW met1 ( 1031090 59330 ) M1M2_PR ;
- la_oenb[23] ( PIN la_oenb[23] ) ( chip_controller la_oenb[23] ) + USE SIGNAL
+ ROUTED met2 ( 1049030 2380 0 ) ( * 34500 )
NEW met2 ( 1049490 34500 ) ( * 61030 )
NEW met2 ( 1049030 34500 ) ( 1049490 * )
NEW met1 ( 346610 1787210 ) ( 351210 * )
NEW met2 ( 346610 1787210 ) ( * 1800300 0 )
NEW met2 ( 351210 61030 ) ( * 1787210 )
NEW met1 ( 351210 61030 ) ( 1049490 * )
NEW met1 ( 1049490 61030 ) M1M2_PR
NEW met1 ( 351210 61030 ) M1M2_PR
NEW met1 ( 351210 1787210 ) M1M2_PR
NEW met1 ( 346610 1787210 ) M1M2_PR ;
- la_oenb[24] ( PIN la_oenb[24] ) ( chip_controller la_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 1066970 2380 0 ) ( * 17340 )
NEW met2 ( 1065590 17340 ) ( 1066970 * )
NEW met2 ( 1062830 82800 ) ( 1065590 * )
NEW met2 ( 1065590 17340 ) ( * 82800 )
NEW met2 ( 1062830 82800 ) ( * 1959930 )
NEW met3 ( 599380 1964860 0 ) ( 613410 * )
NEW met2 ( 613410 1959930 ) ( * 1964860 )
NEW met1 ( 613410 1959930 ) ( 1062830 * )
NEW met1 ( 1062830 1959930 ) M1M2_PR
NEW met2 ( 613410 1964860 ) M2M3_PR_M
NEW met1 ( 613410 1959930 ) M1M2_PR ;
- la_oenb[25] ( PIN la_oenb[25] ) ( chip_controller la_oenb[25] ) + USE SIGNAL
+ ROUTED met2 ( 1083530 82800 ) ( 1084450 * )
NEW met2 ( 1084450 2380 0 ) ( * 82800 )
NEW met2 ( 1083530 82800 ) ( * 2217990 )
NEW met1 ( 361790 2217990 ) ( 1083530 * )
NEW met2 ( 361790 2199460 0 ) ( * 2217990 )
NEW met1 ( 1083530 2217990 ) M1M2_PR
NEW met1 ( 361790 2217990 ) M1M2_PR ;
- la_oenb[26] ( PIN la_oenb[26] ) ( chip_controller la_oenb[26] ) + USE SIGNAL
+ ROUTED met2 ( 1102390 2380 0 ) ( * 3060 )
NEW met2 ( 1101470 3060 ) ( 1102390 * )
NEW met2 ( 1101470 2380 ) ( * 3060 )
NEW met2 ( 1100090 2380 ) ( 1101470 * )
NEW met2 ( 1097330 82800 ) ( 1100090 * )
NEW met2 ( 1100090 2380 ) ( * 82800 )
NEW met2 ( 1097330 82800 ) ( * 1973870 )
NEW met3 ( 599380 1975740 0 ) ( 609730 * )
NEW met2 ( 609730 1973870 ) ( * 1975740 )
NEW met1 ( 609730 1973870 ) ( 1097330 * )
NEW met1 ( 1097330 1973870 ) M1M2_PR
NEW met2 ( 609730 1975740 ) M2M3_PR_M
NEW met1 ( 609730 1973870 ) M1M2_PR ;
- la_oenb[27] ( PIN la_oenb[27] ) ( chip_controller la_oenb[27] ) + USE SIGNAL
+ ROUTED met2 ( 1119870 2380 0 ) ( * 3060 )
NEW met2 ( 1118950 3060 ) ( 1119870 * )
NEW met2 ( 1118950 2380 ) ( * 3060 )
NEW met2 ( 1118030 2380 ) ( 1118950 * )
NEW met1 ( 360870 1787210 ) ( 365470 * )
NEW met2 ( 360870 1787210 ) ( * 1800300 0 )
NEW met2 ( 365470 60690 ) ( * 1787210 )
NEW met1 ( 365470 60690 ) ( 1118030 * )
NEW met2 ( 1118030 2380 ) ( * 60690 )
NEW met1 ( 365470 60690 ) M1M2_PR
NEW met1 ( 365470 1787210 ) M1M2_PR
NEW met1 ( 360870 1787210 ) M1M2_PR
NEW met1 ( 1118030 60690 ) M1M2_PR ;
- la_oenb[28] ( PIN la_oenb[28] ) ( chip_controller la_oenb[28] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1966500 ) ( 188830 * )
NEW met2 ( 188370 1966500 ) ( * 1982540 )
NEW met3 ( 188370 1982540 ) ( 200100 * 0 )
NEW met2 ( 1132290 82800 ) ( 1137810 * )
NEW met2 ( 1137810 2380 0 ) ( * 82800 )
NEW met3 ( 189290 1790780 ) ( 1132290 * )
NEW met2 ( 1132290 82800 ) ( * 1790780 )
NEW met2 ( 188830 1827500 ) ( 189290 * )
NEW met2 ( 188830 1827500 ) ( * 1966500 )
NEW met2 ( 189290 1790780 ) ( * 1827500 )
NEW met2 ( 189290 1790780 ) M2M3_PR_M
NEW met2 ( 188370 1982540 ) M2M3_PR_M
NEW met2 ( 1132290 1790780 ) M2M3_PR_M ;
- la_oenb[29] ( PIN la_oenb[29] ) ( chip_controller la_oenb[29] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1987810 ) ( * 1991380 )
NEW met3 ( 187910 1991380 ) ( 200100 * 0 )
NEW met2 ( 1152530 82800 ) ( 1155290 * )
NEW met2 ( 1155290 2380 0 ) ( * 82800 )
NEW met2 ( 1152530 82800 ) ( * 1782450 )
NEW met2 ( 156170 1782450 ) ( * 1987810 )
NEW met1 ( 156170 1987810 ) ( 187910 * )
NEW met1 ( 156170 1782450 ) ( 1152530 * )
NEW met1 ( 187910 1987810 ) M1M2_PR
NEW met2 ( 187910 1991380 ) M2M3_PR_M
NEW met1 ( 1152530 1782450 ) M1M2_PR
NEW met1 ( 156170 1782450 ) M1M2_PR
NEW met1 ( 156170 1987810 ) M1M2_PR ;
- la_oenb[2] ( PIN la_oenb[2] ) ( chip_controller la_oenb[2] ) + USE SIGNAL
+ ROUTED met2 ( 676430 2380 0 ) ( * 17850 )
NEW met1 ( 672750 17850 ) ( 676430 * )
NEW met2 ( 216890 2199460 0 ) ( * 2219350 )
NEW met2 ( 672750 17850 ) ( * 2219350 )
NEW met1 ( 216890 2219350 ) ( 672750 * )
NEW met1 ( 216890 2219350 ) M1M2_PR
NEW met1 ( 676430 17850 ) M1M2_PR
NEW met1 ( 672750 17850 ) M1M2_PR
NEW met1 ( 672750 2219350 ) M1M2_PR ;
- la_oenb[30] ( PIN la_oenb[30] ) ( chip_controller la_oenb[30] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 2380 0 ) ( * 20910 )
NEW met1 ( 1169550 20910 ) ( 1173230 * )
NEW met3 ( 599380 1996820 0 ) ( 607890 * )
NEW met2 ( 607890 1994270 ) ( * 1996820 )
NEW met2 ( 1169550 20910 ) ( * 1994270 )
NEW met1 ( 607890 1994270 ) ( 1169550 * )
NEW met1 ( 1173230 20910 ) M1M2_PR
NEW met1 ( 1169550 20910 ) M1M2_PR
NEW met2 ( 607890 1996820 ) M2M3_PR_M
NEW met1 ( 607890 1994270 ) M1M2_PR
NEW met1 ( 1169550 1994270 ) M1M2_PR ;
- la_oenb[31] ( PIN la_oenb[31] ) ( chip_controller la_oenb[31] ) + USE SIGNAL
+ ROUTED met2 ( 1190710 2380 0 ) ( * 3060 )
NEW met2 ( 1189790 3060 ) ( 1190710 * )
NEW met2 ( 1189790 2380 ) ( * 3060 )
NEW met2 ( 1188410 2380 ) ( 1189790 * )
NEW met2 ( 188370 2001410 ) ( * 2004980 )
NEW met3 ( 188370 2004980 ) ( 200100 * 0 )
NEW met2 ( 1187030 82800 ) ( 1188410 * )
NEW met2 ( 1188410 2380 ) ( * 82800 )
NEW met2 ( 1187030 82800 ) ( * 1769530 )
NEW met1 ( 147430 1769530 ) ( 1187030 * )
NEW met2 ( 147430 1769530 ) ( * 2001410 )
NEW met1 ( 147430 2001410 ) ( 188370 * )
NEW met1 ( 1187030 1769530 ) M1M2_PR
NEW met1 ( 188370 2001410 ) M1M2_PR
NEW met2 ( 188370 2004980 ) M2M3_PR_M
NEW met1 ( 147430 1769530 ) M1M2_PR
NEW met1 ( 147430 2001410 ) M1M2_PR ;
- la_oenb[32] ( PIN la_oenb[32] ) ( chip_controller la_oenb[32] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2008210 ) ( * 2009740 )
NEW met3 ( 188370 2009740 ) ( 200100 * 0 )
NEW met1 ( 174570 2008210 ) ( 188370 * )
NEW met2 ( 1207730 82800 ) ( 1208650 * )
NEW met2 ( 1208650 2380 0 ) ( * 82800 )
NEW met1 ( 174570 1799110 ) ( 1207730 * )
NEW met2 ( 1207730 82800 ) ( * 1799110 )
NEW met2 ( 174570 1799110 ) ( * 2008210 )
NEW met1 ( 188370 2008210 ) M1M2_PR
NEW met2 ( 188370 2009740 ) M2M3_PR_M
NEW met1 ( 174570 1799110 ) M1M2_PR
NEW met1 ( 174570 2008210 ) M1M2_PR
NEW met1 ( 1207730 1799110 ) M1M2_PR ;
- la_oenb[33] ( PIN la_oenb[33] ) ( chip_controller la_oenb[33] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2005660 0 ) ( 607890 * )
NEW met2 ( 607890 2001410 ) ( * 2005660 )
NEW met2 ( 776710 54570 ) ( * 2001410 )
NEW met2 ( 1226130 2380 0 ) ( * 17340 )
NEW met2 ( 1224290 17340 ) ( 1226130 * )
NEW met1 ( 607890 2001410 ) ( 776710 * )
NEW met1 ( 776710 54570 ) ( 1224290 * )
NEW met2 ( 1224290 17340 ) ( * 54570 )
NEW met2 ( 607890 2005660 ) M2M3_PR_M
NEW met1 ( 607890 2001410 ) M1M2_PR
NEW met1 ( 776710 54570 ) M1M2_PR
NEW met1 ( 776710 2001410 ) M1M2_PR
NEW met1 ( 1224290 54570 ) M1M2_PR ;
- la_oenb[34] ( PIN la_oenb[34] ) ( chip_controller la_oenb[34] ) + USE SIGNAL
+ ROUTED met2 ( 1244070 2380 0 ) ( * 3060 )
NEW met2 ( 1243150 3060 ) ( 1244070 * )
NEW met2 ( 1243150 2380 ) ( * 3060 )
NEW met2 ( 1242230 2380 ) ( 1243150 * )
NEW met3 ( 599380 2010420 0 ) ( 607890 * )
NEW met2 ( 607890 2009230 ) ( * 2010420 )
NEW met2 ( 1242230 2380 ) ( * 54910 )
NEW met1 ( 1225210 54910 ) ( 1242230 * )
NEW met1 ( 607890 2009230 ) ( 1225210 * )
NEW met2 ( 1225210 54910 ) ( * 2009230 )
NEW met2 ( 607890 2010420 ) M2M3_PR_M
NEW met1 ( 607890 2009230 ) M1M2_PR
NEW met1 ( 1242230 54910 ) M1M2_PR
NEW met1 ( 1225210 54910 ) M1M2_PR
NEW met1 ( 1225210 2009230 ) M1M2_PR ;
- la_oenb[35] ( PIN la_oenb[35] ) ( chip_controller la_oenb[35] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 2380 0 ) ( * 16830 )
NEW met1 ( 1245450 16830 ) ( 1262010 * )
NEW met2 ( 395830 2199460 0 ) ( * 2217310 )
NEW met2 ( 1245450 16830 ) ( * 2217310 )
NEW met1 ( 395830 2217310 ) ( 1245450 * )
NEW met1 ( 395830 2217310 ) M1M2_PR
NEW met1 ( 1262010 16830 ) M1M2_PR
NEW met1 ( 1245450 16830 ) M1M2_PR
NEW met1 ( 1245450 2217310 ) M1M2_PR ;
- la_oenb[36] ( PIN la_oenb[36] ) ( chip_controller la_oenb[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2015180 0 ) ( 607430 * )
NEW met2 ( 607430 2015180 ) ( * 2015690 )
NEW met2 ( 1279490 2380 0 ) ( * 20910 )
NEW met1 ( 1259250 20910 ) ( 1279490 * )
NEW met2 ( 1259250 20910 ) ( * 2015690 )
NEW met1 ( 607430 2015690 ) ( 1259250 * )
NEW met2 ( 607430 2015180 ) M2M3_PR_M
NEW met1 ( 607430 2015690 ) M1M2_PR
NEW met1 ( 1279490 20910 ) M1M2_PR
NEW met1 ( 1259250 20910 ) M1M2_PR
NEW met1 ( 1259250 2015690 ) M1M2_PR ;
- la_oenb[37] ( PIN la_oenb[37] ) ( chip_controller la_oenb[37] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2016540 0 ) ( 607890 * )
NEW met2 ( 607890 2015350 ) ( * 2016540 )
NEW met2 ( 1280410 25330 ) ( * 2015350 )
NEW met1 ( 607890 2015350 ) ( 1280410 * )
NEW met2 ( 1297430 2380 0 ) ( * 25330 )
NEW met1 ( 1280410 25330 ) ( 1297430 * )
NEW met2 ( 607890 2016540 ) M2M3_PR_M
NEW met1 ( 607890 2015350 ) M1M2_PR
NEW met1 ( 1280410 25330 ) M1M2_PR
NEW met1 ( 1280410 2015350 ) M1M2_PR
NEW met1 ( 1297430 25330 ) M1M2_PR ;
- la_oenb[38] ( PIN la_oenb[38] ) ( chip_controller la_oenb[38] ) + USE SIGNAL
+ ROUTED met1 ( 405490 2212890 ) ( 414230 * )
NEW met2 ( 414230 2212890 ) ( * 2216970 )
NEW met2 ( 405490 2199460 0 ) ( * 2212890 )
NEW met2 ( 1314910 2380 0 ) ( * 3060 )
NEW met2 ( 1313990 3060 ) ( 1314910 * )
NEW met2 ( 1313990 2380 ) ( * 3060 )
NEW met2 ( 1312610 2380 ) ( 1313990 * )
NEW met1 ( 414230 2216970 ) ( 1311230 * )
NEW met2 ( 1311230 82800 ) ( 1312610 * )
NEW met2 ( 1312610 2380 ) ( * 82800 )
NEW met2 ( 1311230 82800 ) ( * 2216970 )
NEW met1 ( 405490 2212890 ) M1M2_PR
NEW met1 ( 414230 2212890 ) M1M2_PR
NEW met1 ( 414230 2216970 ) M1M2_PR
NEW met1 ( 1311230 2216970 ) M1M2_PR ;
- la_oenb[39] ( PIN la_oenb[39] ) ( chip_controller la_oenb[39] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2021810 ) ( * 2024020 )
NEW met3 ( 187910 2024020 ) ( 200100 * 0 )
NEW met1 ( 141910 2021810 ) ( 187910 * )
NEW met1 ( 141910 1737230 ) ( 1331930 * )
NEW met2 ( 141910 1737230 ) ( * 2021810 )
NEW met2 ( 1331930 82800 ) ( 1332850 * )
NEW met2 ( 1332850 2380 0 ) ( * 82800 )
NEW met2 ( 1331930 82800 ) ( * 1737230 )
NEW met1 ( 187910 2021810 ) M1M2_PR
NEW met2 ( 187910 2024020 ) M2M3_PR_M
NEW met1 ( 141910 1737230 ) M1M2_PR
NEW met1 ( 141910 2021810 ) M1M2_PR
NEW met1 ( 1331930 1737230 ) M1M2_PR ;
- la_oenb[3] ( PIN la_oenb[3] ) ( chip_controller la_oenb[3] ) + USE SIGNAL
+ ROUTED met2 ( 223330 2199460 0 ) ( * 2209490 )
NEW met2 ( 503470 2200990 ) ( * 2209490 )
NEW met2 ( 690230 82800 ) ( 694370 * )
NEW met2 ( 694370 2380 0 ) ( * 82800 )
NEW met2 ( 690230 82800 ) ( * 2200990 )
NEW met1 ( 223330 2209490 ) ( 503470 * )
NEW met1 ( 503470 2200990 ) ( 690230 * )
NEW met1 ( 223330 2209490 ) M1M2_PR
NEW met1 ( 503470 2209490 ) M1M2_PR
NEW met1 ( 503470 2200990 ) M1M2_PR
NEW met1 ( 690230 2200990 ) M1M2_PR ;
- la_oenb[40] ( PIN la_oenb[40] ) ( chip_controller la_oenb[40] ) + USE SIGNAL
+ ROUTED met2 ( 1350330 2380 0 ) ( * 17340 )
NEW met2 ( 1348490 17340 ) ( 1350330 * )
NEW met2 ( 414690 1788570 ) ( * 1788740 )
NEW met1 ( 399050 1788570 ) ( 414690 * )
NEW met2 ( 399050 1788570 ) ( * 1800300 0 )
NEW met2 ( 1348490 17340 ) ( * 53550 )
NEW met2 ( 439070 1788740 ) ( * 1789250 )
NEW met1 ( 439070 1789250 ) ( 462530 * )
NEW met2 ( 462530 1787210 ) ( * 1789250 )
NEW met1 ( 462530 1787210 ) ( 465750 * )
NEW met3 ( 414690 1788740 ) ( 439070 * )
NEW met2 ( 465750 53550 ) ( * 1787210 )
NEW met1 ( 465750 53550 ) ( 1348490 * )
NEW met2 ( 414690 1788740 ) M2M3_PR_M
NEW met1 ( 414690 1788570 ) M1M2_PR
NEW met1 ( 399050 1788570 ) M1M2_PR
NEW met1 ( 1348490 53550 ) M1M2_PR
NEW met1 ( 465750 53550 ) M1M2_PR
NEW met2 ( 439070 1788740 ) M2M3_PR_M
NEW met1 ( 439070 1789250 ) M1M2_PR
NEW met1 ( 462530 1789250 ) M1M2_PR
NEW met1 ( 462530 1787210 ) M1M2_PR
NEW met1 ( 465750 1787210 ) M1M2_PR ;
- la_oenb[41] ( PIN la_oenb[41] ) ( chip_controller la_oenb[41] ) + USE SIGNAL
+ ROUTED met2 ( 1368270 2380 0 ) ( * 3060 )
NEW met2 ( 1367350 3060 ) ( 1368270 * )
NEW met2 ( 1367350 2380 ) ( * 3060 )
NEW met2 ( 1366430 2380 ) ( 1367350 * )
NEW met2 ( 411930 2199460 0 ) ( * 2212550 )
NEW met2 ( 1366430 2380 ) ( * 2216290 )
NEW li1 ( 427570 2212550 ) ( * 2213910 )
NEW met1 ( 427570 2213910 ) ( 441370 * )
NEW met2 ( 441370 2213910 ) ( * 2216290 )
NEW met1 ( 411930 2212550 ) ( 427570 * )
NEW met1 ( 441370 2216290 ) ( 1366430 * )
NEW met1 ( 411930 2212550 ) M1M2_PR
NEW met1 ( 1366430 2216290 ) M1M2_PR
NEW li1 ( 427570 2212550 ) L1M1_PR_MR
NEW li1 ( 427570 2213910 ) L1M1_PR_MR
NEW met1 ( 441370 2213910 ) M1M2_PR
NEW met1 ( 441370 2216290 ) M1M2_PR ;
- la_oenb[42] ( PIN la_oenb[42] ) ( chip_controller la_oenb[42] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2022660 0 ) ( 607430 * )
NEW met2 ( 607430 2022150 ) ( * 2022660 )
NEW met2 ( 1385750 2380 0 ) ( * 22950 )
NEW met1 ( 1335150 22950 ) ( 1385750 * )
NEW met1 ( 607430 2022150 ) ( 1335150 * )
NEW met2 ( 1335150 22950 ) ( * 2022150 )
NEW met2 ( 607430 2022660 ) M2M3_PR_M
NEW met1 ( 607430 2022150 ) M1M2_PR
NEW met1 ( 1385750 22950 ) M1M2_PR
NEW met1 ( 1335150 22950 ) M1M2_PR
NEW met1 ( 1335150 2022150 ) M1M2_PR ;
- la_oenb[43] ( PIN la_oenb[43] ) ( chip_controller la_oenb[43] ) + USE SIGNAL
+ ROUTED li1 ( 420670 1788570 ) ( * 1789590 )
NEW met1 ( 409170 1789590 ) ( 420670 * )
NEW met2 ( 409170 1789590 ) ( * 1800300 0 )
NEW met2 ( 431250 244970 ) ( * 1773300 )
NEW met2 ( 430790 1773300 ) ( * 1788570 )
NEW met2 ( 430790 1773300 ) ( 431250 * )
NEW met1 ( 420670 1788570 ) ( 430790 * )
NEW met2 ( 1400930 82800 ) ( 1403690 * )
NEW met2 ( 1403690 2380 0 ) ( * 82800 )
NEW met1 ( 431250 244970 ) ( 1400930 * )
NEW met2 ( 1400930 82800 ) ( * 244970 )
NEW li1 ( 420670 1788570 ) L1M1_PR_MR
NEW li1 ( 420670 1789590 ) L1M1_PR_MR
NEW met1 ( 409170 1789590 ) M1M2_PR
NEW met1 ( 431250 244970 ) M1M2_PR
NEW met1 ( 430790 1788570 ) M1M2_PR
NEW met1 ( 1400930 244970 ) M1M2_PR ;
- la_oenb[44] ( PIN la_oenb[44] ) ( chip_controller la_oenb[44] ) + USE SIGNAL
+ ROUTED met1 ( 411010 1787210 ) ( 417450 * )
NEW met2 ( 411010 1787210 ) ( * 1800300 0 )
NEW met2 ( 417450 1730430 ) ( * 1787210 )
NEW met1 ( 417450 1730430 ) ( 1421630 * )
NEW met2 ( 1421630 2380 0 ) ( * 1730430 )
NEW met1 ( 417450 1730430 ) M1M2_PR
NEW met1 ( 417450 1787210 ) M1M2_PR
NEW met1 ( 411010 1787210 ) M1M2_PR
NEW met1 ( 1421630 1730430 ) M1M2_PR ;
- la_oenb[45] ( PIN la_oenb[45] ) ( chip_controller la_oenb[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2026740 0 ) ( 607890 * )
NEW met2 ( 607890 2022490 ) ( * 2026740 )
NEW met2 ( 1439110 2380 0 ) ( * 3060 )
NEW met2 ( 1438190 3060 ) ( 1439110 * )
NEW met2 ( 1438190 2380 ) ( * 3060 )
NEW met2 ( 1436810 2380 ) ( 1438190 * )
NEW met2 ( 1435430 82800 ) ( * 120530 )
NEW met2 ( 1435430 82800 ) ( 1436810 * )
NEW met2 ( 1436810 2380 ) ( * 82800 )
NEW met1 ( 607890 2022490 ) ( 721050 * )
NEW met1 ( 721050 120530 ) ( 1435430 * )
NEW met2 ( 721050 120530 ) ( * 2022490 )
NEW met2 ( 607890 2026740 ) M2M3_PR_M
NEW met1 ( 607890 2022490 ) M1M2_PR
NEW met1 ( 1435430 120530 ) M1M2_PR
NEW met1 ( 721050 120530 ) M1M2_PR
NEW met1 ( 721050 2022490 ) M1M2_PR ;
- la_oenb[46] ( PIN la_oenb[46] ) ( chip_controller la_oenb[46] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2030140 0 ) ( 607890 * )
NEW met2 ( 607890 2029290 ) ( * 2030140 )
NEW met2 ( 1457050 2380 0 ) ( * 20910 )
NEW met1 ( 1439110 20910 ) ( 1457050 * )
NEW met2 ( 1439110 20910 ) ( * 2029290 )
NEW met1 ( 607890 2029290 ) ( 1439110 * )
NEW met2 ( 607890 2030140 ) M2M3_PR_M
NEW met1 ( 607890 2029290 ) M1M2_PR
NEW met1 ( 1457050 20910 ) M1M2_PR
NEW met1 ( 1439110 20910 ) M1M2_PR
NEW met1 ( 1439110 2029290 ) M1M2_PR ;
- la_oenb[47] ( PIN la_oenb[47] ) ( chip_controller la_oenb[47] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2036430 ) ( * 2041020 )
NEW met3 ( 188830 2041020 ) ( 200100 * 0 )
NEW met2 ( 1474530 2380 0 ) ( * 14620 )
NEW met2 ( 1472690 14620 ) ( 1474530 * )
NEW met2 ( 1469930 82800 ) ( 1472690 * )
NEW met2 ( 1472690 14620 ) ( * 82800 )
NEW met2 ( 1469930 82800 ) ( * 293250 )
NEW met1 ( 144210 2036430 ) ( 188830 * )
NEW met1 ( 144210 293250 ) ( 1469930 * )
NEW met2 ( 144210 293250 ) ( * 2036430 )
NEW met1 ( 188830 2036430 ) M1M2_PR
NEW met2 ( 188830 2041020 ) M2M3_PR_M
NEW met1 ( 1469930 293250 ) M1M2_PR
NEW met1 ( 144210 293250 ) M1M2_PR
NEW met1 ( 144210 2036430 ) M1M2_PR ;
- la_oenb[48] ( PIN la_oenb[48] ) ( chip_controller la_oenb[48] ) + USE SIGNAL
+ ROUTED met2 ( 1473150 15470 ) ( * 2215270 )
NEW met1 ( 421590 2215270 ) ( 1473150 * )
NEW met2 ( 1492470 2380 0 ) ( * 15470 )
NEW met1 ( 1473150 15470 ) ( 1492470 * )
NEW met2 ( 421590 2199460 0 ) ( * 2215270 )
NEW met1 ( 1473150 15470 ) M1M2_PR
NEW met1 ( 1473150 2215270 ) M1M2_PR
NEW met1 ( 421590 2215270 ) M1M2_PR
NEW met1 ( 1492470 15470 ) M1M2_PR ;
- la_oenb[49] ( PIN la_oenb[49] ) ( chip_controller la_oenb[49] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2043230 ) ( * 2047820 )
NEW met3 ( 188830 2047820 ) ( 200100 * 0 )
NEW met1 ( 144670 2043230 ) ( 188830 * )
NEW met2 ( 1509950 2380 0 ) ( * 3060 )
NEW met2 ( 1509030 3060 ) ( 1509950 * )
NEW met2 ( 1509030 2380 ) ( * 3060 )
NEW met2 ( 1507650 2380 ) ( 1509030 * )
NEW met2 ( 144670 52530 ) ( * 2043230 )
NEW met1 ( 144670 52530 ) ( 1507650 * )
NEW met2 ( 1507650 2380 ) ( * 52530 )
NEW met1 ( 188830 2043230 ) M1M2_PR
NEW met2 ( 188830 2047820 ) M2M3_PR_M
NEW met1 ( 144670 2043230 ) M1M2_PR
NEW met1 ( 144670 52530 ) M1M2_PR
NEW met1 ( 1507650 52530 ) M1M2_PR ;
- la_oenb[4] ( PIN la_oenb[4] ) ( chip_controller la_oenb[4] ) + USE SIGNAL
+ ROUTED met2 ( 286350 39950 ) ( * 1788570 )
NEW met2 ( 235290 1788570 ) ( * 1800300 0 )
NEW met1 ( 235290 1788570 ) ( 286350 * )
NEW met1 ( 286350 39950 ) ( 712310 * )
NEW met2 ( 712310 2380 0 ) ( * 39950 )
NEW met1 ( 286350 39950 ) M1M2_PR
NEW met1 ( 286350 1788570 ) M1M2_PR
NEW met1 ( 235290 1788570 ) M1M2_PR
NEW met1 ( 712310 39950 ) M1M2_PR ;
- la_oenb[50] ( PIN la_oenb[50] ) ( chip_controller la_oenb[50] ) + USE SIGNAL
+ ROUTED met1 ( 429410 1793670 ) ( 445510 * )
NEW met2 ( 429410 1793670 ) ( * 1800300 0 )
NEW met2 ( 445510 67150 ) ( * 1793670 )
NEW met1 ( 445510 67150 ) ( 1527890 * )
NEW met2 ( 1527890 2380 0 ) ( * 67150 )
NEW met1 ( 445510 67150 ) M1M2_PR
NEW met1 ( 445510 1793670 ) M1M2_PR
NEW met1 ( 429410 1793670 ) M1M2_PR
NEW met1 ( 1527890 67150 ) M1M2_PR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( chip_controller la_oenb[51] ) + USE SIGNAL
+ ROUTED met2 ( 1545370 2380 0 ) ( * 15130 )
NEW met1 ( 1538930 15130 ) ( 1545370 * )
NEW met2 ( 1538930 15130 ) ( * 53210 )
NEW met1 ( 435390 1789590 ) ( 451950 * )
NEW met2 ( 435390 1789590 ) ( * 1800300 0 )
NEW met2 ( 451950 53210 ) ( * 1789590 )
NEW met1 ( 451950 53210 ) ( 1538930 * )
NEW met1 ( 1545370 15130 ) M1M2_PR
NEW met1 ( 1538930 15130 ) M1M2_PR
NEW met1 ( 1538930 53210 ) M1M2_PR
NEW met1 ( 451950 53210 ) M1M2_PR
NEW met1 ( 451950 1789590 ) M1M2_PR
NEW met1 ( 435390 1789590 ) M1M2_PR ;
- la_oenb[52] ( PIN la_oenb[52] ) ( chip_controller la_oenb[52] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2038980 0 ) ( 607430 * )
NEW met2 ( 607430 2036090 ) ( * 2038980 )
NEW met2 ( 1563310 2380 0 ) ( * 3060 )
NEW met2 ( 1562390 3060 ) ( 1563310 * )
NEW met2 ( 1562390 2380 ) ( * 3060 )
NEW met2 ( 1561010 2380 ) ( 1562390 * )
NEW met2 ( 1559630 82800 ) ( * 127670 )
NEW met2 ( 1559630 82800 ) ( 1561010 * )
NEW met2 ( 1561010 2380 ) ( * 82800 )
NEW met1 ( 607430 2036090 ) ( 741750 * )
NEW met1 ( 741750 127670 ) ( 1559630 * )
NEW met2 ( 741750 127670 ) ( * 2036090 )
NEW met2 ( 607430 2038980 ) M2M3_PR_M
NEW met1 ( 607430 2036090 ) M1M2_PR
NEW met1 ( 1559630 127670 ) M1M2_PR
NEW met1 ( 741750 127670 ) M1M2_PR
NEW met1 ( 741750 2036090 ) M1M2_PR ;
- la_oenb[53] ( PIN la_oenb[53] ) ( chip_controller la_oenb[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2040340 0 ) ( 607890 * )
NEW met2 ( 607890 2035750 ) ( * 2040340 )
NEW met2 ( 1460270 1769190 ) ( * 2035750 )
NEW met1 ( 607890 2035750 ) ( 1460270 * )
NEW met1 ( 1460270 1769190 ) ( 1580790 * )
NEW met2 ( 1580790 82800 ) ( 1581250 * )
NEW met2 ( 1581250 2380 0 ) ( * 82800 )
NEW met2 ( 1580790 82800 ) ( * 1769190 )
NEW met2 ( 607890 2040340 ) M2M3_PR_M
NEW met1 ( 607890 2035750 ) M1M2_PR
NEW met1 ( 1460270 1769190 ) M1M2_PR
NEW met1 ( 1460270 2035750 ) M1M2_PR
NEW met1 ( 1580790 1769190 ) M1M2_PR ;
- la_oenb[54] ( PIN la_oenb[54] ) ( chip_controller la_oenb[54] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2049690 ) ( * 2052580 )
NEW met3 ( 186990 2052580 ) ( 200100 * 0 )
NEW met1 ( 151110 2049690 ) ( 186990 * )
NEW met2 ( 151110 47430 ) ( * 2049690 )
NEW met1 ( 151110 47430 ) ( 1598730 * )
NEW met2 ( 1598730 2380 0 ) ( * 47430 )
NEW met1 ( 186990 2049690 ) M1M2_PR
NEW met2 ( 186990 2052580 ) M2M3_PR_M
NEW met1 ( 151110 2049690 ) M1M2_PR
NEW met1 ( 151110 47430 ) M1M2_PR
NEW met1 ( 1598730 47430 ) M1M2_PR ;
- la_oenb[55] ( PIN la_oenb[55] ) ( chip_controller la_oenb[55] ) + USE SIGNAL
+ ROUTED met2 ( 1446010 15810 ) ( * 2195550 )
NEW met2 ( 1616670 2380 0 ) ( * 15810 )
NEW met1 ( 1446010 15810 ) ( 1616670 * )
NEW li1 ( 437230 2195550 ) ( * 2199630 )
NEW met2 ( 437230 2199460 ) ( * 2199630 )
NEW met2 ( 436310 2199460 0 ) ( 437230 * )
NEW met1 ( 437230 2195550 ) ( 1446010 * )
NEW met1 ( 1446010 15810 ) M1M2_PR
NEW met1 ( 1446010 2195550 ) M1M2_PR
NEW met1 ( 1616670 15810 ) M1M2_PR
NEW li1 ( 437230 2195550 ) L1M1_PR_MR
NEW li1 ( 437230 2199630 ) L1M1_PR_MR
NEW met1 ( 437230 2199630 ) M1M2_PR
NEW met1 ( 437230 2199630 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[56] ( PIN la_oenb[56] ) ( chip_controller la_oenb[56] ) + USE SIGNAL
+ ROUTED met3 ( 185610 2060060 ) ( 200100 * 0 )
NEW met2 ( 1634150 2380 0 ) ( * 3060 )
NEW met2 ( 1633230 3060 ) ( 1634150 * )
NEW met2 ( 1633230 2380 ) ( * 3060 )
NEW met2 ( 1631850 2380 ) ( 1633230 * )
NEW met2 ( 1628630 82800 ) ( * 92990 )
NEW met2 ( 1628630 82800 ) ( 1631850 * )
NEW met2 ( 1631850 2380 ) ( * 82800 )
NEW met1 ( 185610 92990 ) ( 1628630 * )
NEW met2 ( 185610 92990 ) ( * 2060060 )
NEW met1 ( 185610 92990 ) M1M2_PR
NEW met2 ( 185610 2060060 ) M2M3_PR_M
NEW met1 ( 1628630 92990 ) M1M2_PR ;
- la_oenb[57] ( PIN la_oenb[57] ) ( chip_controller la_oenb[57] ) + USE SIGNAL
+ ROUTED li1 ( 469430 1788570 ) ( 469890 * )
NEW li1 ( 469890 1788570 ) ( * 1790270 )
NEW met1 ( 469890 1790270 ) ( 507150 * )
NEW met2 ( 507150 72930 ) ( * 1790270 )
NEW met2 ( 1652090 2380 0 ) ( * 72930 )
NEW met2 ( 453790 1788570 ) ( * 1800300 0 )
NEW met1 ( 453790 1788570 ) ( 469430 * )
NEW met1 ( 507150 72930 ) ( 1652090 * )
NEW met1 ( 507150 72930 ) M1M2_PR
NEW li1 ( 469430 1788570 ) L1M1_PR_MR
NEW li1 ( 469890 1790270 ) L1M1_PR_MR
NEW met1 ( 507150 1790270 ) M1M2_PR
NEW met1 ( 1652090 72930 ) M1M2_PR
NEW met1 ( 453790 1788570 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( chip_controller la_oenb[58] ) + USE SIGNAL
+ ROUTED met2 ( 486450 52870 ) ( * 1789590 )
NEW met2 ( 1669570 2380 0 ) ( * 52870 )
NEW met2 ( 457930 1789590 ) ( * 1800300 0 )
NEW met1 ( 457930 1789590 ) ( 486450 * )
NEW met1 ( 486450 52870 ) ( 1669570 * )
NEW met1 ( 486450 52870 ) M1M2_PR
NEW met1 ( 486450 1789590 ) M1M2_PR
NEW met1 ( 1669570 52870 ) M1M2_PR
NEW met1 ( 457930 1789590 ) M1M2_PR ;
- la_oenb[59] ( PIN la_oenb[59] ) ( chip_controller la_oenb[59] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2049860 0 ) ( 607430 * )
NEW met2 ( 607430 2049350 ) ( * 2049860 )
NEW met1 ( 607430 2049350 ) ( 1431750 * )
NEW met2 ( 1687510 2380 0 ) ( * 33830 )
NEW met1 ( 1431750 33830 ) ( 1687510 * )
NEW met2 ( 1431750 33830 ) ( * 2049350 )
NEW met2 ( 607430 2049860 ) M2M3_PR_M
NEW met1 ( 607430 2049350 ) M1M2_PR
NEW met1 ( 1431750 33830 ) M1M2_PR
NEW met1 ( 1431750 2049350 ) M1M2_PR
NEW met1 ( 1687510 33830 ) M1M2_PR ;
- la_oenb[5] ( PIN la_oenb[5] ) ( chip_controller la_oenb[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1853340 0 ) ( 607890 * )
NEW met2 ( 607890 1849430 ) ( * 1853340 )
NEW met2 ( 729790 2380 0 ) ( * 3060 )
NEW met2 ( 728870 3060 ) ( 729790 * )
NEW met2 ( 728870 2380 ) ( * 3060 )
NEW met2 ( 727490 2380 ) ( 728870 * )
NEW met1 ( 607890 1849430 ) ( 724730 * )
NEW met2 ( 724730 82800 ) ( 727490 * )
NEW met2 ( 727490 2380 ) ( * 82800 )
NEW met2 ( 724730 82800 ) ( * 1849430 )
NEW met2 ( 607890 1853340 ) M2M3_PR_M
NEW met1 ( 607890 1849430 ) M1M2_PR
NEW met1 ( 724730 1849430 ) M1M2_PR ;
- la_oenb[60] ( PIN la_oenb[60] ) ( chip_controller la_oenb[60] ) + USE SIGNAL
+ ROUTED met2 ( 472650 1722610 ) ( * 1789250 )
NEW met2 ( 463910 1789250 ) ( * 1800300 0 )
NEW met1 ( 463910 1789250 ) ( 472650 * )
NEW met2 ( 1704530 82800 ) ( 1704990 * )
NEW met2 ( 1704990 2380 0 ) ( * 82800 )
NEW met1 ( 472650 1722610 ) ( 1704530 * )
NEW met2 ( 1704530 82800 ) ( * 1722610 )
NEW met1 ( 472650 1722610 ) M1M2_PR
NEW met1 ( 472650 1789250 ) M1M2_PR
NEW met1 ( 463910 1789250 ) M1M2_PR
NEW met1 ( 1704530 1722610 ) M1M2_PR ;
- la_oenb[61] ( PIN la_oenb[61] ) ( chip_controller la_oenb[61] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2063970 ) ( * 2068220 )
NEW met3 ( 188370 2068220 ) ( 200100 * 0 )
NEW met2 ( 137310 60010 ) ( * 2063970 )
NEW met1 ( 137310 2063970 ) ( 188370 * )
NEW met1 ( 137310 60010 ) ( 1722930 * )
NEW met2 ( 1722930 2380 0 ) ( * 60010 )
NEW met1 ( 188370 2063970 ) M1M2_PR
NEW met2 ( 188370 2068220 ) M2M3_PR_M
NEW met1 ( 137310 60010 ) M1M2_PR
NEW met1 ( 137310 2063970 ) M1M2_PR
NEW met1 ( 1722930 60010 ) M1M2_PR ;
- la_oenb[62] ( PIN la_oenb[62] ) ( chip_controller la_oenb[62] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2070260 ) ( * 2070770 )
NEW met3 ( 187910 2070260 ) ( 200100 * 0 )
NEW met2 ( 1739030 82800 ) ( 1740410 * )
NEW met2 ( 1740410 2380 0 ) ( * 82800 )
NEW met2 ( 1739030 82800 ) ( * 1743010 )
NEW met1 ( 143290 1743010 ) ( 1739030 * )
NEW met2 ( 143290 1743010 ) ( * 2070770 )
NEW met1 ( 143290 2070770 ) ( 187910 * )
NEW met1 ( 1739030 1743010 ) M1M2_PR
NEW met1 ( 187910 2070770 ) M1M2_PR
NEW met2 ( 187910 2070260 ) M2M3_PR_M
NEW met1 ( 143290 1743010 ) M1M2_PR
NEW met1 ( 143290 2070770 ) M1M2_PR ;
- la_oenb[63] ( PIN la_oenb[63] ) ( chip_controller la_oenb[63] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2058700 0 ) ( 607890 * )
NEW met2 ( 607890 2056490 ) ( * 2058700 )
NEW met2 ( 1758350 2380 0 ) ( * 30090 )
NEW met1 ( 607890 2056490 ) ( 1390350 * )
NEW met1 ( 1390350 30090 ) ( 1758350 * )
NEW met2 ( 1390350 30090 ) ( * 2056490 )
NEW met2 ( 607890 2058700 ) M2M3_PR_M
NEW met1 ( 607890 2056490 ) M1M2_PR
NEW met1 ( 1758350 30090 ) M1M2_PR
NEW met1 ( 1390350 30090 ) M1M2_PR
NEW met1 ( 1390350 2056490 ) M1M2_PR ;
- la_oenb[64] ( PIN la_oenb[64] ) ( chip_controller la_oenb[64] ) + USE SIGNAL
+ ROUTED met2 ( 610190 2208000 ) ( * 2214930 )
NEW met2 ( 610190 2208000 ) ( 610650 * )
NEW met1 ( 456090 2214930 ) ( 610190 * )
NEW met2 ( 1776290 2380 0 ) ( * 18870 )
NEW met1 ( 610650 18870 ) ( 1776290 * )
NEW met2 ( 456090 2199460 0 ) ( * 2214930 )
NEW met2 ( 610650 18870 ) ( * 2208000 )
NEW met1 ( 610650 18870 ) M1M2_PR
NEW met1 ( 610190 2214930 ) M1M2_PR
NEW met1 ( 456090 2214930 ) M1M2_PR
NEW met1 ( 1776290 18870 ) M1M2_PR ;
- la_oenb[65] ( PIN la_oenb[65] ) ( chip_controller la_oenb[65] ) + USE SIGNAL
+ ROUTED met1 ( 457470 2215950 ) ( 1424850 * )
NEW met2 ( 1793770 2380 0 ) ( * 16830 )
NEW met1 ( 1424850 16830 ) ( 1793770 * )
NEW met2 ( 457470 2199460 0 ) ( * 2215950 )
NEW met2 ( 1424850 16830 ) ( * 2215950 )
NEW met1 ( 457470 2215950 ) M1M2_PR
NEW met1 ( 1424850 16830 ) M1M2_PR
NEW met1 ( 1424850 2215950 ) M1M2_PR
NEW met1 ( 1793770 16830 ) M1M2_PR ;
- la_oenb[66] ( PIN la_oenb[66] ) ( chip_controller la_oenb[66] ) + USE SIGNAL
+ ROUTED met1 ( 1445550 16150 ) ( 1469010 * )
NEW met1 ( 1469010 16150 ) ( * 16490 )
NEW met2 ( 1445550 16150 ) ( * 2215610 )
NEW met1 ( 460690 2215610 ) ( 1445550 * )
NEW met2 ( 1811710 2380 0 ) ( * 16490 )
NEW met1 ( 1469010 16490 ) ( 1811710 * )
NEW met2 ( 460690 2199460 0 ) ( * 2215610 )
NEW met1 ( 1445550 16150 ) M1M2_PR
NEW met1 ( 1445550 2215610 ) M1M2_PR
NEW met1 ( 460690 2215610 ) M1M2_PR
NEW met1 ( 1811710 16490 ) M1M2_PR ;
- la_oenb[67] ( PIN la_oenb[67] ) ( chip_controller la_oenb[67] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2066180 0 ) ( 607430 * )
NEW met2 ( 607430 2063290 ) ( * 2066180 )
NEW met2 ( 1829190 2380 0 ) ( * 47770 )
NEW met1 ( 607430 2063290 ) ( 1314450 * )
NEW met2 ( 1314450 47770 ) ( * 2063290 )
NEW met1 ( 1314450 47770 ) ( 1829190 * )
NEW met2 ( 607430 2066180 ) M2M3_PR_M
NEW met1 ( 607430 2063290 ) M1M2_PR
NEW met1 ( 1829190 47770 ) M1M2_PR
NEW met1 ( 1314450 47770 ) M1M2_PR
NEW met1 ( 1314450 2063290 ) M1M2_PR ;
- la_oenb[68] ( PIN la_oenb[68] ) ( chip_controller la_oenb[68] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2077570 ) ( * 2080460 )
NEW met3 ( 188830 2080460 ) ( 200100 * 0 )
NEW met2 ( 1842530 82800 ) ( 1847130 * )
NEW met2 ( 1847130 2380 0 ) ( * 82800 )
NEW met2 ( 1842530 82800 ) ( * 410550 )
NEW met1 ( 158470 410550 ) ( 1842530 * )
NEW met2 ( 158470 410550 ) ( * 2077570 )
NEW met1 ( 158470 2077570 ) ( 188830 * )
NEW met1 ( 1842530 410550 ) M1M2_PR
NEW met1 ( 188830 2077570 ) M1M2_PR
NEW met2 ( 188830 2080460 ) M2M3_PR_M
NEW met1 ( 158470 410550 ) M1M2_PR
NEW met1 ( 158470 2077570 ) M1M2_PR ;
- la_oenb[69] ( PIN la_oenb[69] ) ( chip_controller la_oenb[69] ) + USE SIGNAL
+ ROUTED met2 ( 1864610 2380 0 ) ( * 16490 )
NEW met1 ( 1859550 16490 ) ( 1864610 * )
NEW met2 ( 487830 1800300 0 ) ( 489670 * )
NEW met2 ( 489670 1729410 ) ( * 1800300 )
NEW met2 ( 1859550 16490 ) ( * 1729410 )
NEW met1 ( 489670 1729410 ) ( 1859550 * )
NEW met1 ( 489670 1729410 ) M1M2_PR
NEW met1 ( 1864610 16490 ) M1M2_PR
NEW met1 ( 1859550 16490 ) M1M2_PR
NEW met1 ( 1859550 1729410 ) M1M2_PR ;
- la_oenb[6] ( PIN la_oenb[6] ) ( chip_controller la_oenb[6] ) + USE SIGNAL
+ ROUTED met3 ( 194810 1851980 ) ( 200100 * 0 )
NEW met2 ( 745430 82800 ) ( 747730 * )
NEW met2 ( 747730 2380 0 ) ( * 82800 )
NEW met1 ( 194810 1796050 ) ( 745430 * )
NEW met2 ( 745430 82800 ) ( * 1796050 )
NEW met2 ( 194810 1796050 ) ( * 1851980 )
NEW met2 ( 194810 1851980 ) M2M3_PR_M
NEW met1 ( 194810 1796050 ) M1M2_PR
NEW met1 ( 745430 1796050 ) M1M2_PR ;
- la_oenb[70] ( PIN la_oenb[70] ) ( chip_controller la_oenb[70] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2083860 ) ( * 2084370 )
NEW met3 ( 187910 2083860 ) ( 200100 * 0 )
NEW met2 ( 1882550 2380 0 ) ( * 3060 )
NEW met2 ( 1881630 3060 ) ( 1882550 * )
NEW met2 ( 1881630 2380 ) ( * 3060 )
NEW met2 ( 1880250 2380 ) ( 1881630 * )
NEW met1 ( 142370 1757630 ) ( 1877030 * )
NEW met2 ( 142370 1757630 ) ( * 2084370 )
NEW met1 ( 142370 2084370 ) ( 187910 * )
NEW met2 ( 1877030 82800 ) ( 1880250 * )
NEW met2 ( 1880250 2380 ) ( * 82800 )
NEW met2 ( 1877030 82800 ) ( * 1757630 )
NEW met1 ( 187910 2084370 ) M1M2_PR
NEW met2 ( 187910 2083860 ) M2M3_PR_M
NEW met1 ( 142370 1757630 ) M1M2_PR
NEW met1 ( 1877030 1757630 ) M1M2_PR
NEW met1 ( 142370 2084370 ) M1M2_PR ;
- la_oenb[71] ( PIN la_oenb[71] ) ( chip_controller la_oenb[71] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2084030 ) ( * 2085220 )
NEW met3 ( 188830 2085220 ) ( 200100 * 0 )
NEW met2 ( 1900030 2380 0 ) ( * 3060 )
NEW met2 ( 1899110 3060 ) ( 1900030 * )
NEW met2 ( 1899110 2380 ) ( * 3060 )
NEW met2 ( 1897730 2380 ) ( 1899110 * )
NEW met2 ( 137770 65790 ) ( * 2084030 )
NEW met1 ( 137770 2084030 ) ( 188830 * )
NEW met1 ( 137770 65790 ) ( 1897730 * )
NEW met2 ( 1897730 2380 ) ( * 65790 )
NEW met1 ( 188830 2084030 ) M1M2_PR
NEW met2 ( 188830 2085220 ) M2M3_PR_M
NEW met1 ( 137770 65790 ) M1M2_PR
NEW met1 ( 137770 2084030 ) M1M2_PR
NEW met1 ( 1897730 65790 ) M1M2_PR ;
- la_oenb[72] ( PIN la_oenb[72] ) ( chip_controller la_oenb[72] ) + USE SIGNAL
+ ROUTED met2 ( 478630 2199460 0 ) ( * 2216630 )
NEW met2 ( 1383450 20570 ) ( * 2216630 )
NEW met1 ( 478630 2216630 ) ( 1383450 * )
NEW met2 ( 1917970 2380 0 ) ( * 20570 )
NEW met1 ( 1383450 20570 ) ( 1917970 * )
NEW met1 ( 478630 2216630 ) M1M2_PR
NEW met1 ( 1383450 20570 ) M1M2_PR
NEW met1 ( 1383450 2216630 ) M1M2_PR
NEW met1 ( 1917970 20570 ) M1M2_PR ;
- la_oenb[73] ( PIN la_oenb[73] ) ( chip_controller la_oenb[73] ) + USE SIGNAL
+ ROUTED met2 ( 1935910 2380 0 ) ( * 20230 )
NEW met2 ( 480470 2199460 0 ) ( * 2217650 )
NEW met1 ( 480470 2217650 ) ( 1321350 * )
NEW met1 ( 1321350 20230 ) ( 1935910 * )
NEW met2 ( 1321350 20230 ) ( * 2217650 )
NEW met1 ( 480470 2217650 ) M1M2_PR
NEW met1 ( 1935910 20230 ) M1M2_PR
NEW met1 ( 1321350 20230 ) M1M2_PR
NEW met1 ( 1321350 2217650 ) M1M2_PR ;
- la_oenb[74] ( PIN la_oenb[74] ) ( chip_controller la_oenb[74] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 2380 0 ) ( * 18190 )
NEW met2 ( 481850 2199460 0 ) ( * 2220710 )
NEW met1 ( 481850 2220710 ) ( 714150 * )
NEW met1 ( 714150 18190 ) ( 1953390 * )
NEW met2 ( 714150 18190 ) ( * 2220710 )
NEW met1 ( 481850 2220710 ) M1M2_PR
NEW met1 ( 1953390 18190 ) M1M2_PR
NEW met1 ( 714150 18190 ) M1M2_PR
NEW met1 ( 714150 2220710 ) M1M2_PR ;
- la_oenb[75] ( PIN la_oenb[75] ) ( chip_controller la_oenb[75] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2081140 0 ) ( 608350 * )
NEW met2 ( 608350 2077230 ) ( * 2081140 )
NEW met1 ( 1425310 1730430 ) ( 1966730 * )
NEW met1 ( 608350 2077230 ) ( 1425310 * )
NEW met2 ( 1425310 1730430 ) ( * 2077230 )
NEW met2 ( 1966730 82800 ) ( 1971330 * )
NEW met2 ( 1971330 2380 0 ) ( * 82800 )
NEW met2 ( 1966730 82800 ) ( * 1730430 )
NEW met2 ( 608350 2081140 ) M2M3_PR_M
NEW met1 ( 608350 2077230 ) M1M2_PR
NEW met1 ( 1425310 1730430 ) M1M2_PR
NEW met1 ( 1966730 1730430 ) M1M2_PR
NEW met1 ( 1425310 2077230 ) M1M2_PR ;
- la_oenb[76] ( PIN la_oenb[76] ) ( chip_controller la_oenb[76] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2084540 0 ) ( 607430 * )
NEW met2 ( 607430 2084370 ) ( * 2084540 )
NEW met2 ( 1988810 2380 0 ) ( * 34170 )
NEW met1 ( 1300650 34170 ) ( 1988810 * )
NEW met1 ( 607430 2084370 ) ( 1300650 * )
NEW met2 ( 1300650 34170 ) ( * 2084370 )
NEW met2 ( 607430 2084540 ) M2M3_PR_M
NEW met1 ( 607430 2084370 ) M1M2_PR
NEW met1 ( 1300650 34170 ) M1M2_PR
NEW met1 ( 1988810 34170 ) M1M2_PR
NEW met1 ( 1300650 2084370 ) M1M2_PR ;
- la_oenb[77] ( PIN la_oenb[77] ) ( chip_controller la_oenb[77] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2091170 ) ( * 2096100 )
NEW met3 ( 188830 2096100 ) ( 200100 * 0 )
NEW met2 ( 2006750 2380 0 ) ( * 3060 )
NEW met2 ( 2005830 3060 ) ( 2006750 * )
NEW met2 ( 2005830 2380 ) ( * 3060 )
NEW met2 ( 2004450 2380 ) ( 2005830 * )
NEW met1 ( 162150 2091170 ) ( 188830 * )
NEW met2 ( 2001230 82800 ) ( 2004450 * )
NEW met2 ( 2004450 2380 ) ( * 82800 )
NEW met1 ( 162150 1785510 ) ( 2001230 * )
NEW met2 ( 2001230 82800 ) ( * 1785510 )
NEW met2 ( 162150 1785510 ) ( * 2091170 )
NEW met1 ( 188830 2091170 ) M1M2_PR
NEW met2 ( 188830 2096100 ) M2M3_PR_M
NEW met1 ( 162150 1785510 ) M1M2_PR
NEW met1 ( 162150 2091170 ) M1M2_PR
NEW met1 ( 2001230 1785510 ) M1M2_PR ;
- la_oenb[78] ( PIN la_oenb[78] ) ( chip_controller la_oenb[78] ) + USE SIGNAL
+ ROUTED met2 ( 2024230 2380 0 ) ( * 18530 )
NEW met2 ( 491970 2199460 0 ) ( * 2220370 )
NEW met1 ( 491970 2220370 ) ( 845250 * )
NEW met1 ( 845250 18530 ) ( 2024230 * )
NEW met2 ( 845250 18530 ) ( * 2220370 )
NEW met1 ( 491970 2220370 ) M1M2_PR
NEW met1 ( 2024230 18530 ) M1M2_PR
NEW met1 ( 845250 18530 ) M1M2_PR
NEW met1 ( 845250 2220370 ) M1M2_PR ;
- la_oenb[79] ( PIN la_oenb[79] ) ( chip_controller la_oenb[79] ) + USE SIGNAL
+ ROUTED met2 ( 2042170 2380 0 ) ( * 17850 )
NEW met2 ( 495650 2199460 ) ( 496570 * 0 )
NEW met2 ( 495650 2199460 ) ( * 2216460 )
NEW met2 ( 783150 82800 ) ( 783610 * )
NEW met2 ( 783610 17850 ) ( * 82800 )
NEW met2 ( 783150 82800 ) ( * 2216460 )
NEW met3 ( 495650 2216460 ) ( 783150 * )
NEW met1 ( 783610 17850 ) ( 2042170 * )
NEW met2 ( 495650 2216460 ) M2M3_PR_M
NEW met1 ( 783610 17850 ) M1M2_PR
NEW met2 ( 783150 2216460 ) M2M3_PR_M
NEW met1 ( 2042170 17850 ) M1M2_PR ;
- la_oenb[7] ( PIN la_oenb[7] ) ( chip_controller la_oenb[7] ) + USE SIGNAL
+ ROUTED met2 ( 765210 2380 0 ) ( * 18530 )
NEW met1 ( 759230 18530 ) ( 765210 * )
NEW met2 ( 759230 18530 ) ( * 2200650 )
NEW met1 ( 249550 2210170 ) ( 554530 * )
NEW met2 ( 249550 2199460 0 ) ( * 2210170 )
NEW met2 ( 554530 2200650 ) ( * 2210170 )
NEW met1 ( 554530 2200650 ) ( 759230 * )
NEW met1 ( 765210 18530 ) M1M2_PR
NEW met1 ( 759230 18530 ) M1M2_PR
NEW met1 ( 759230 2200650 ) M1M2_PR
NEW met1 ( 249550 2210170 ) M1M2_PR
NEW met1 ( 554530 2210170 ) M1M2_PR
NEW met1 ( 554530 2200650 ) M1M2_PR ;
- la_oenb[80] ( PIN la_oenb[80] ) ( chip_controller la_oenb[80] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2097970 ) ( * 2102900 )
NEW met3 ( 188370 2102900 ) ( 200100 * 0 )
NEW met2 ( 2056430 82800 ) ( 2059650 * )
NEW met2 ( 2059650 2380 0 ) ( * 82800 )
NEW met2 ( 2056430 82800 ) ( * 1770550 )
NEW met1 ( 142830 1770550 ) ( 2056430 * )
NEW met2 ( 142830 1770550 ) ( * 2097970 )
NEW met1 ( 142830 2097970 ) ( 188370 * )
NEW met1 ( 2056430 1770550 ) M1M2_PR
NEW met1 ( 188370 2097970 ) M1M2_PR
NEW met2 ( 188370 2102900 ) M2M3_PR_M
NEW met1 ( 142830 1770550 ) M1M2_PR
NEW met1 ( 142830 2097970 ) M1M2_PR ;
- la_oenb[81] ( PIN la_oenb[81] ) ( chip_controller la_oenb[81] ) + USE SIGNAL
+ ROUTED met2 ( 502090 1800300 0 ) ( 503470 * )
NEW met2 ( 503470 1736550 ) ( * 1800300 )
NEW met1 ( 503470 1736550 ) ( 1894050 * )
NEW met2 ( 2077590 2380 0 ) ( * 16830 )
NEW met1 ( 1894050 16830 ) ( 2077590 * )
NEW met2 ( 1894050 16830 ) ( * 1736550 )
NEW met1 ( 503470 1736550 ) M1M2_PR
NEW met1 ( 1894050 16830 ) M1M2_PR
NEW met1 ( 1894050 1736550 ) M1M2_PR
NEW met1 ( 2077590 16830 ) M1M2_PR ;
- la_oenb[82] ( PIN la_oenb[82] ) ( chip_controller la_oenb[82] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2097630 ) ( * 2104260 )
NEW met3 ( 187910 2104260 ) ( 200100 * 0 )
NEW met2 ( 2095070 2380 0 ) ( * 3060 )
NEW met2 ( 2094150 3060 ) ( 2095070 * )
NEW met2 ( 2094150 2380 ) ( * 3060 )
NEW met2 ( 2092770 2380 ) ( 2094150 * )
NEW met1 ( 136390 1728730 ) ( 2090930 * )
NEW met2 ( 136390 1728730 ) ( * 2097630 )
NEW met1 ( 136390 2097630 ) ( 187910 * )
NEW met2 ( 2090930 82800 ) ( 2092770 * )
NEW met2 ( 2092770 2380 ) ( * 82800 )
NEW met2 ( 2090930 82800 ) ( * 1728730 )
NEW met1 ( 187910 2097630 ) M1M2_PR
NEW met2 ( 187910 2104260 ) M2M3_PR_M
NEW met1 ( 136390 1728730 ) M1M2_PR
NEW met1 ( 2090930 1728730 ) M1M2_PR
NEW met1 ( 136390 2097630 ) M1M2_PR ;
- la_oenb[83] ( PIN la_oenb[83] ) ( chip_controller la_oenb[83] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2104770 ) ( * 2109700 )
NEW met3 ( 188370 2109700 ) ( 200100 * 0 )
NEW met2 ( 2111630 82800 ) ( 2113010 * )
NEW met2 ( 2113010 2380 0 ) ( * 82800 )
NEW met2 ( 2111630 82800 ) ( * 1721930 )
NEW met1 ( 170890 2104770 ) ( 188370 * )
NEW met1 ( 170890 1721930 ) ( 2111630 * )
NEW met2 ( 170890 1721930 ) ( * 2104770 )
NEW met1 ( 188370 2104770 ) M1M2_PR
NEW met2 ( 188370 2109700 ) M2M3_PR_M
NEW met1 ( 2111630 1721930 ) M1M2_PR
NEW met1 ( 170890 1721930 ) M1M2_PR
NEW met1 ( 170890 2104770 ) M1M2_PR ;
- la_oenb[84] ( PIN la_oenb[84] ) ( chip_controller la_oenb[84] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2111570 ) ( * 2114460 )
NEW met3 ( 188830 2114460 ) ( 200100 * 0 )
NEW met2 ( 2130950 2380 0 ) ( * 3060 )
NEW met2 ( 2130030 3060 ) ( 2130950 * )
NEW met2 ( 2130030 2380 ) ( * 3060 )
NEW met2 ( 2128650 2380 ) ( 2130030 * )
NEW met2 ( 2125430 82800 ) ( 2128650 * )
NEW met2 ( 2128650 2380 ) ( * 82800 )
NEW met2 ( 2125430 82800 ) ( * 1749470 )
NEW met1 ( 135930 2111570 ) ( 188830 * )
NEW met1 ( 135930 1749470 ) ( 2125430 * )
NEW met2 ( 135930 1749470 ) ( * 2111570 )
NEW met1 ( 188830 2111570 ) M1M2_PR
NEW met2 ( 188830 2114460 ) M2M3_PR_M
NEW met1 ( 2125430 1749470 ) M1M2_PR
NEW met1 ( 135930 1749470 ) M1M2_PR
NEW met1 ( 135930 2111570 ) M1M2_PR ;
- la_oenb[85] ( PIN la_oenb[85] ) ( chip_controller la_oenb[85] ) + USE SIGNAL
+ ROUTED met2 ( 2148430 2380 0 ) ( * 3060 )
NEW met2 ( 2147510 3060 ) ( 2148430 * )
NEW met2 ( 2147510 2380 ) ( * 3060 )
NEW met2 ( 2146130 2380 ) ( 2147510 * )
NEW met3 ( 599380 2105620 0 ) ( 607890 * )
NEW met2 ( 607890 2104770 ) ( * 2105620 )
NEW met2 ( 2146130 2380 ) ( * 1722950 )
NEW met1 ( 607890 2104770 ) ( 1404610 * )
NEW met2 ( 1404610 1722950 ) ( * 2104770 )
NEW met1 ( 1404610 1722950 ) ( 2146130 * )
NEW met2 ( 607890 2105620 ) M2M3_PR_M
NEW met1 ( 607890 2104770 ) M1M2_PR
NEW met1 ( 2146130 1722950 ) M1M2_PR
NEW met1 ( 1404610 1722950 ) M1M2_PR
NEW met1 ( 1404610 2104770 ) M1M2_PR ;
- la_oenb[86] ( PIN la_oenb[86] ) ( chip_controller la_oenb[86] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2118710 ) ( * 2119900 )
NEW met3 ( 189750 2119900 ) ( 200100 * 0 )
NEW met1 ( 147890 2118710 ) ( 189750 * )
NEW met2 ( 2166370 2380 0 ) ( * 18190 )
NEW met1 ( 2159930 18190 ) ( 2166370 * )
NEW met2 ( 147890 1777350 ) ( * 2118710 )
NEW met1 ( 147890 1777350 ) ( 2159930 * )
NEW met2 ( 2159930 18190 ) ( * 1777350 )
NEW met1 ( 189750 2118710 ) M1M2_PR
NEW met2 ( 189750 2119900 ) M2M3_PR_M
NEW met1 ( 147890 2118710 ) M1M2_PR
NEW met1 ( 2166370 18190 ) M1M2_PR
NEW met1 ( 2159930 18190 ) M1M2_PR
NEW met1 ( 147890 1777350 ) M1M2_PR
NEW met1 ( 2159930 1777350 ) M1M2_PR ;
- la_oenb[87] ( PIN la_oenb[87] ) ( chip_controller la_oenb[87] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2106980 0 ) ( 607430 * )
NEW met2 ( 607430 2105450 ) ( * 2106980 )
NEW met2 ( 797410 1722270 ) ( * 2105450 )
NEW met1 ( 607430 2105450 ) ( 797410 * )
NEW met2 ( 2180630 82800 ) ( 2183850 * )
NEW met2 ( 2183850 2380 0 ) ( * 82800 )
NEW met1 ( 797410 1722270 ) ( 2180630 * )
NEW met2 ( 2180630 82800 ) ( * 1722270 )
NEW met2 ( 607430 2106980 ) M2M3_PR_M
NEW met1 ( 607430 2105450 ) M1M2_PR
NEW met1 ( 797410 1722270 ) M1M2_PR
NEW met1 ( 797410 2105450 ) M1M2_PR
NEW met1 ( 2180630 1722270 ) M1M2_PR ;
- la_oenb[88] ( PIN la_oenb[88] ) ( chip_controller la_oenb[88] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2111740 0 ) ( 607430 * )
NEW met2 ( 607430 2111740 ) ( * 2111910 )
NEW met2 ( 803850 134470 ) ( * 2111910 )
NEW met1 ( 607430 2111910 ) ( 803850 * )
NEW met1 ( 803850 134470 ) ( 2201790 * )
NEW met2 ( 2201790 2380 0 ) ( * 134470 )
NEW met2 ( 607430 2111740 ) M2M3_PR_M
NEW met1 ( 607430 2111910 ) M1M2_PR
NEW met1 ( 803850 2111910 ) M1M2_PR
NEW met1 ( 803850 134470 ) M1M2_PR
NEW met1 ( 2201790 134470 ) M1M2_PR ;
- la_oenb[89] ( PIN la_oenb[89] ) ( chip_controller la_oenb[89] ) + USE SIGNAL
+ ROUTED met2 ( 2219270 2380 0 ) ( * 19550 )
NEW met2 ( 511290 2199460 0 ) ( * 2203540 )
NEW met2 ( 1252350 19550 ) ( * 2203540 )
NEW met1 ( 1252350 19550 ) ( 2219270 * )
NEW met3 ( 511290 2203540 ) ( 1252350 * )
NEW met1 ( 1252350 19550 ) M1M2_PR
NEW met1 ( 2219270 19550 ) M1M2_PR
NEW met2 ( 511290 2203540 ) M2M3_PR_M
NEW met2 ( 1252350 2203540 ) M2M3_PR_M ;
- la_oenb[8] ( PIN la_oenb[8] ) ( chip_controller la_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 783150 2380 0 ) ( * 17850 )
NEW met1 ( 755550 17850 ) ( 783150 * )
NEW met1 ( 262430 2218670 ) ( 755550 * )
NEW met2 ( 262430 2199460 0 ) ( * 2218670 )
NEW met2 ( 755550 17850 ) ( * 2218670 )
NEW met1 ( 783150 17850 ) M1M2_PR
NEW met1 ( 262430 2218670 ) M1M2_PR
NEW met1 ( 755550 17850 ) M1M2_PR
NEW met1 ( 755550 2218670 ) M1M2_PR ;
- la_oenb[90] ( PIN la_oenb[90] ) ( chip_controller la_oenb[90] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2125510 ) ( * 2126700 )
NEW met3 ( 189750 2126700 ) ( 200100 * 0 )
NEW met2 ( 2235830 82800 ) ( 2237210 * )
NEW met2 ( 2237210 2380 0 ) ( * 82800 )
NEW met2 ( 2235830 82800 ) ( * 1763070 )
NEW met1 ( 143750 2125510 ) ( 189750 * )
NEW met1 ( 143750 1763070 ) ( 2235830 * )
NEW met2 ( 143750 1763070 ) ( * 2125510 )
NEW met1 ( 189750 2125510 ) M1M2_PR
NEW met2 ( 189750 2126700 ) M2M3_PR_M
NEW met1 ( 2235830 1763070 ) M1M2_PR
NEW met1 ( 143750 1763070 ) M1M2_PR
NEW met1 ( 143750 2125510 ) M1M2_PR ;
- la_oenb[91] ( PIN la_oenb[91] ) ( chip_controller la_oenb[91] ) + USE SIGNAL
+ ROUTED met2 ( 2254690 2380 0 ) ( * 16830 )
NEW met2 ( 665850 17170 ) ( * 2217140 )
NEW met3 ( 517730 2217140 ) ( 665850 * )
NEW met1 ( 665850 17170 ) ( 2159700 * )
NEW met1 ( 2159700 16830 ) ( * 17170 )
NEW met1 ( 2159700 16830 ) ( 2254690 * )
NEW met2 ( 517730 2199460 0 ) ( * 2217140 )
NEW met1 ( 665850 17170 ) M1M2_PR
NEW met2 ( 665850 2217140 ) M2M3_PR_M
NEW met1 ( 2254690 16830 ) M1M2_PR
NEW met2 ( 517730 2217140 ) M2M3_PR_M ;
- la_oenb[92] ( PIN la_oenb[92] ) ( chip_controller la_oenb[92] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2121940 0 ) ( 607890 * )
NEW met2 ( 607890 2118710 ) ( * 2121940 )
NEW met1 ( 607890 2118710 ) ( 1003950 * )
NEW met2 ( 2272630 2380 0 ) ( * 3060 )
NEW met2 ( 2271710 3060 ) ( 2272630 * )
NEW met2 ( 2271710 2380 ) ( * 3060 )
NEW met2 ( 2270330 2380 ) ( 2271710 * )
NEW met2 ( 1003950 1715130 ) ( * 2118710 )
NEW met1 ( 1003950 1715130 ) ( 2270330 * )
NEW met2 ( 2270330 2380 ) ( * 1715130 )
NEW met2 ( 607890 2121940 ) M2M3_PR_M
NEW met1 ( 607890 2118710 ) M1M2_PR
NEW met1 ( 1003950 2118710 ) M1M2_PR
NEW met1 ( 1003950 1715130 ) M1M2_PR
NEW met1 ( 2270330 1715130 ) M1M2_PR ;
- la_oenb[93] ( PIN la_oenb[93] ) ( chip_controller la_oenb[93] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2132140 ) ( * 2132310 )
NEW met3 ( 189750 2132140 ) ( 200100 * 0 )
NEW met1 ( 136850 2132310 ) ( 189750 * )
NEW met2 ( 2290570 2380 0 ) ( * 17850 )
NEW met1 ( 2284130 17850 ) ( 2290570 * )
NEW met1 ( 136850 1741990 ) ( 2284130 * )
NEW met2 ( 136850 1741990 ) ( * 2132310 )
NEW met2 ( 2284130 17850 ) ( * 1741990 )
NEW met1 ( 189750 2132310 ) M1M2_PR
NEW met2 ( 189750 2132140 ) M2M3_PR_M
NEW met1 ( 136850 1741990 ) M1M2_PR
NEW met1 ( 136850 2132310 ) M1M2_PR
NEW met1 ( 2290570 17850 ) M1M2_PR
NEW met1 ( 2284130 17850 ) M1M2_PR
NEW met1 ( 2284130 1741990 ) M1M2_PR ;
- la_oenb[94] ( PIN la_oenb[94] ) ( chip_controller la_oenb[94] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2128060 0 ) ( 607430 * )
NEW met2 ( 607430 2126190 ) ( * 2128060 )
NEW met2 ( 2304830 82800 ) ( 2308050 * )
NEW met2 ( 2308050 2380 0 ) ( * 82800 )
NEW met2 ( 2304830 82800 ) ( * 1729750 )
NEW met1 ( 607430 2126190 ) ( 935410 * )
NEW met1 ( 935410 1729750 ) ( 2304830 * )
NEW met2 ( 935410 1729750 ) ( * 2126190 )
NEW met2 ( 607430 2128060 ) M2M3_PR_M
NEW met1 ( 607430 2126190 ) M1M2_PR
NEW met1 ( 2304830 1729750 ) M1M2_PR
NEW met1 ( 935410 1729750 ) M1M2_PR
NEW met1 ( 935410 2126190 ) M1M2_PR ;
- la_oenb[95] ( PIN la_oenb[95] ) ( chip_controller la_oenb[95] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2134180 0 ) ( 607890 * )
NEW met2 ( 607890 2132990 ) ( * 2134180 )
NEW met2 ( 2325990 2380 0 ) ( * 34500 )
NEW met2 ( 2325530 34500 ) ( 2325990 * )
NEW met2 ( 2325530 34500 ) ( * 1714450 )
NEW met1 ( 607890 2132990 ) ( 1032010 * )
NEW met2 ( 1032010 1714450 ) ( * 2132990 )
NEW met1 ( 1032010 1714450 ) ( 2325530 * )
NEW met2 ( 607890 2134180 ) M2M3_PR_M
NEW met1 ( 607890 2132990 ) M1M2_PR
NEW met1 ( 2325530 1714450 ) M1M2_PR
NEW met1 ( 1032010 2132990 ) M1M2_PR
NEW met1 ( 1032010 1714450 ) M1M2_PR ;
- la_oenb[96] ( PIN la_oenb[96] ) ( chip_controller la_oenb[96] ) + USE SIGNAL
+ ROUTED met2 ( 2343470 2380 0 ) ( * 19890 )
NEW met1 ( 1818150 19890 ) ( 2343470 * )
NEW met1 ( 534750 1787210 ) ( 537970 * )
NEW met2 ( 534750 1787210 ) ( * 1800300 0 )
NEW met2 ( 537970 1714790 ) ( * 1787210 )
NEW met1 ( 537970 1714790 ) ( 1818150 * )
NEW met2 ( 1818150 19890 ) ( * 1714790 )
NEW met1 ( 2343470 19890 ) M1M2_PR
NEW met1 ( 1818150 19890 ) M1M2_PR
NEW met1 ( 537970 1714790 ) M1M2_PR
NEW met1 ( 537970 1787210 ) M1M2_PR
NEW met1 ( 534750 1787210 ) M1M2_PR
NEW met1 ( 1818150 1714790 ) M1M2_PR ;
- la_oenb[97] ( PIN la_oenb[97] ) ( chip_controller la_oenb[97] ) + USE SIGNAL
+ ROUTED met2 ( 879750 17510 ) ( * 2198950 )
NEW met2 ( 2361410 2380 0 ) ( * 17510 )
NEW met1 ( 879750 17510 ) ( 2361410 * )
NEW met1 ( 524630 2198950 ) ( * 2199630 )
NEW met2 ( 524630 2199460 ) ( * 2199630 )
NEW met2 ( 524170 2199460 0 ) ( 524630 * )
NEW met1 ( 524630 2198950 ) ( 879750 * )
NEW met1 ( 879750 17510 ) M1M2_PR
NEW met1 ( 879750 2198950 ) M1M2_PR
NEW met1 ( 2361410 17510 ) M1M2_PR
NEW met1 ( 524630 2199630 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) ( chip_controller la_oenb[98] ) + USE SIGNAL
+ ROUTED met3 ( 527390 2217820 ) ( 1231650 * )
NEW met2 ( 2378890 2380 0 ) ( * 19210 )
NEW met1 ( 1231190 19210 ) ( 2378890 * )
NEW met2 ( 527390 2199460 0 ) ( * 2217820 )
NEW met2 ( 1231190 19210 ) ( * 34500 )
NEW met2 ( 1231190 34500 ) ( 1231650 * )
NEW met2 ( 1231650 34500 ) ( * 2217820 )
NEW met2 ( 527390 2217820 ) M2M3_PR_M
NEW met1 ( 1231190 19210 ) M1M2_PR
NEW met2 ( 1231650 2217820 ) M2M3_PR_M
NEW met1 ( 2378890 19210 ) M1M2_PR ;
- la_oenb[99] ( PIN la_oenb[99] ) ( chip_controller la_oenb[99] ) + USE SIGNAL
+ ROUTED met2 ( 2396830 2380 0 ) ( * 3060 )
NEW met2 ( 2395910 3060 ) ( 2396830 * )
NEW met2 ( 2395910 2380 ) ( * 3060 )
NEW met2 ( 2394530 2380 ) ( 2395910 * )
NEW met1 ( 540730 1787210 ) ( 544410 * )
NEW met2 ( 540730 1787210 ) ( * 1800300 0 )
NEW met2 ( 544410 72590 ) ( * 1787210 )
NEW met1 ( 544410 72590 ) ( 2394530 * )
NEW met2 ( 2394530 2380 ) ( * 72590 )
NEW met1 ( 544410 72590 ) M1M2_PR
NEW met1 ( 544410 1787210 ) M1M2_PR
NEW met1 ( 540730 1787210 ) M1M2_PR
NEW met1 ( 2394530 72590 ) M1M2_PR ;
- la_oenb[9] ( PIN la_oenb[9] ) ( chip_controller la_oenb[9] ) + USE SIGNAL
+ ROUTED met2 ( 800630 2380 0 ) ( * 16830 )
NEW met1 ( 796950 16830 ) ( 800630 * )
NEW met2 ( 796950 16830 ) ( * 2224450 )
NEW met1 ( 272090 2224450 ) ( 796950 * )
NEW met2 ( 272090 2199460 0 ) ( * 2224450 )
NEW met1 ( 800630 16830 ) M1M2_PR
NEW met1 ( 796950 16830 ) M1M2_PR
NEW met1 ( 796950 2224450 ) M1M2_PR
NEW met1 ( 272090 2224450 ) M1M2_PR ;
- read_data_from_mem\[0\] ( chip_controller rd_data_out[0] ) + USE SIGNAL ;
- read_data_from_mem\[100\] ( chip_controller rd_data_out[100] ) + USE SIGNAL ;
- read_data_from_mem\[101\] ( chip_controller rd_data_out[101] ) + USE SIGNAL ;
- read_data_from_mem\[102\] ( chip_controller rd_data_out[102] ) + USE SIGNAL ;
- read_data_from_mem\[103\] ( chip_controller rd_data_out[103] ) + USE SIGNAL ;
- read_data_from_mem\[104\] ( chip_controller rd_data_out[104] ) + USE SIGNAL ;
- read_data_from_mem\[105\] ( chip_controller rd_data_out[105] ) + USE SIGNAL ;
- read_data_from_mem\[106\] ( chip_controller rd_data_out[106] ) + USE SIGNAL ;
- read_data_from_mem\[107\] ( chip_controller rd_data_out[107] ) + USE SIGNAL ;
- read_data_from_mem\[108\] ( chip_controller rd_data_out[108] ) + USE SIGNAL ;
- read_data_from_mem\[109\] ( chip_controller rd_data_out[109] ) + USE SIGNAL ;
- read_data_from_mem\[10\] ( chip_controller rd_data_out[10] ) + USE SIGNAL ;
- read_data_from_mem\[110\] ( chip_controller rd_data_out[110] ) + USE SIGNAL ;
- read_data_from_mem\[111\] ( chip_controller rd_data_out[111] ) + USE SIGNAL ;
- read_data_from_mem\[112\] ( chip_controller rd_data_out[112] ) + USE SIGNAL ;
- read_data_from_mem\[113\] ( chip_controller rd_data_out[113] ) + USE SIGNAL ;
- read_data_from_mem\[114\] ( chip_controller rd_data_out[114] ) + USE SIGNAL ;
- read_data_from_mem\[115\] ( chip_controller rd_data_out[115] ) + USE SIGNAL ;
- read_data_from_mem\[116\] ( chip_controller rd_data_out[116] ) + USE SIGNAL ;
- read_data_from_mem\[117\] ( chip_controller rd_data_out[117] ) + USE SIGNAL ;
- read_data_from_mem\[118\] ( chip_controller rd_data_out[118] ) + USE SIGNAL ;
- read_data_from_mem\[119\] ( chip_controller rd_data_out[119] ) + USE SIGNAL ;
- read_data_from_mem\[11\] ( chip_controller rd_data_out[11] ) + USE SIGNAL ;
- read_data_from_mem\[120\] ( chip_controller rd_data_out[120] ) + USE SIGNAL ;
- read_data_from_mem\[121\] ( chip_controller rd_data_out[121] ) + USE SIGNAL ;
- read_data_from_mem\[122\] ( chip_controller rd_data_out[122] ) + USE SIGNAL ;
- read_data_from_mem\[123\] ( chip_controller rd_data_out[123] ) + USE SIGNAL ;
- read_data_from_mem\[124\] ( chip_controller rd_data_out[124] ) + USE SIGNAL ;
- read_data_from_mem\[125\] ( chip_controller rd_data_out[125] ) + USE SIGNAL ;
- read_data_from_mem\[126\] ( chip_controller rd_data_out[126] ) + USE SIGNAL ;
- read_data_from_mem\[127\] ( chip_controller rd_data_out[127] ) + USE SIGNAL ;
- read_data_from_mem\[12\] ( chip_controller rd_data_out[12] ) + USE SIGNAL ;
- read_data_from_mem\[13\] ( chip_controller rd_data_out[13] ) + USE SIGNAL ;
- read_data_from_mem\[14\] ( chip_controller rd_data_out[14] ) + USE SIGNAL ;
- read_data_from_mem\[15\] ( chip_controller rd_data_out[15] ) + USE SIGNAL ;
- read_data_from_mem\[16\] ( chip_controller rd_data_out[16] ) + USE SIGNAL ;
- read_data_from_mem\[17\] ( chip_controller rd_data_out[17] ) + USE SIGNAL ;
- read_data_from_mem\[18\] ( chip_controller rd_data_out[18] ) + USE SIGNAL ;
- read_data_from_mem\[19\] ( chip_controller rd_data_out[19] ) + USE SIGNAL ;
- read_data_from_mem\[1\] ( chip_controller rd_data_out[1] ) + USE SIGNAL ;
- read_data_from_mem\[20\] ( chip_controller rd_data_out[20] ) + USE SIGNAL ;
- read_data_from_mem\[21\] ( chip_controller rd_data_out[21] ) + USE SIGNAL ;
- read_data_from_mem\[22\] ( chip_controller rd_data_out[22] ) + USE SIGNAL ;
- read_data_from_mem\[23\] ( chip_controller rd_data_out[23] ) + USE SIGNAL ;
- read_data_from_mem\[24\] ( chip_controller rd_data_out[24] ) + USE SIGNAL ;
- read_data_from_mem\[25\] ( chip_controller rd_data_out[25] ) + USE SIGNAL ;
- read_data_from_mem\[26\] ( chip_controller rd_data_out[26] ) + USE SIGNAL ;
- read_data_from_mem\[27\] ( chip_controller rd_data_out[27] ) + USE SIGNAL ;
- read_data_from_mem\[28\] ( chip_controller rd_data_out[28] ) + USE SIGNAL ;
- read_data_from_mem\[29\] ( chip_controller rd_data_out[29] ) + USE SIGNAL ;
- read_data_from_mem\[2\] ( chip_controller rd_data_out[2] ) + USE SIGNAL ;
- read_data_from_mem\[30\] ( chip_controller rd_data_out[30] ) + USE SIGNAL ;
- read_data_from_mem\[31\] ( chip_controller rd_data_out[31] ) + USE SIGNAL ;
- read_data_from_mem\[32\] ( chip_controller rd_data_out[32] ) + USE SIGNAL ;
- read_data_from_mem\[33\] ( chip_controller rd_data_out[33] ) + USE SIGNAL ;
- read_data_from_mem\[34\] ( chip_controller rd_data_out[34] ) + USE SIGNAL ;
- read_data_from_mem\[35\] ( chip_controller rd_data_out[35] ) + USE SIGNAL ;
- read_data_from_mem\[36\] ( chip_controller rd_data_out[36] ) + USE SIGNAL ;
- read_data_from_mem\[37\] ( chip_controller rd_data_out[37] ) + USE SIGNAL ;
- read_data_from_mem\[38\] ( chip_controller rd_data_out[38] ) + USE SIGNAL ;
- read_data_from_mem\[39\] ( chip_controller rd_data_out[39] ) + USE SIGNAL ;
- read_data_from_mem\[3\] ( chip_controller rd_data_out[3] ) + USE SIGNAL ;
- read_data_from_mem\[40\] ( chip_controller rd_data_out[40] ) + USE SIGNAL ;
- read_data_from_mem\[41\] ( chip_controller rd_data_out[41] ) + USE SIGNAL ;
- read_data_from_mem\[42\] ( chip_controller rd_data_out[42] ) + USE SIGNAL ;
- read_data_from_mem\[43\] ( chip_controller rd_data_out[43] ) + USE SIGNAL ;
- read_data_from_mem\[44\] ( chip_controller rd_data_out[44] ) + USE SIGNAL ;
- read_data_from_mem\[45\] ( chip_controller rd_data_out[45] ) + USE SIGNAL ;
- read_data_from_mem\[46\] ( chip_controller rd_data_out[46] ) + USE SIGNAL ;
- read_data_from_mem\[47\] ( chip_controller rd_data_out[47] ) + USE SIGNAL ;
- read_data_from_mem\[48\] ( chip_controller rd_data_out[48] ) + USE SIGNAL ;
- read_data_from_mem\[49\] ( chip_controller rd_data_out[49] ) + USE SIGNAL ;
- read_data_from_mem\[4\] ( chip_controller rd_data_out[4] ) + USE SIGNAL ;
- read_data_from_mem\[50\] ( chip_controller rd_data_out[50] ) + USE SIGNAL ;
- read_data_from_mem\[51\] ( chip_controller rd_data_out[51] ) + USE SIGNAL ;
- read_data_from_mem\[52\] ( chip_controller rd_data_out[52] ) + USE SIGNAL ;
- read_data_from_mem\[53\] ( chip_controller rd_data_out[53] ) + USE SIGNAL ;
- read_data_from_mem\[54\] ( chip_controller rd_data_out[54] ) + USE SIGNAL ;
- read_data_from_mem\[55\] ( chip_controller rd_data_out[55] ) + USE SIGNAL ;
- read_data_from_mem\[56\] ( chip_controller rd_data_out[56] ) + USE SIGNAL ;
- read_data_from_mem\[57\] ( chip_controller rd_data_out[57] ) + USE SIGNAL ;
- read_data_from_mem\[58\] ( chip_controller rd_data_out[58] ) + USE SIGNAL ;
- read_data_from_mem\[59\] ( chip_controller rd_data_out[59] ) + USE SIGNAL ;
- read_data_from_mem\[5\] ( chip_controller rd_data_out[5] ) + USE SIGNAL ;
- read_data_from_mem\[60\] ( chip_controller rd_data_out[60] ) + USE SIGNAL ;
- read_data_from_mem\[61\] ( chip_controller rd_data_out[61] ) + USE SIGNAL ;
- read_data_from_mem\[62\] ( chip_controller rd_data_out[62] ) + USE SIGNAL ;
- read_data_from_mem\[63\] ( chip_controller rd_data_out[63] ) + USE SIGNAL ;
- read_data_from_mem\[64\] ( chip_controller rd_data_out[64] ) + USE SIGNAL ;
- read_data_from_mem\[65\] ( chip_controller rd_data_out[65] ) + USE SIGNAL ;
- read_data_from_mem\[66\] ( chip_controller rd_data_out[66] ) + USE SIGNAL ;
- read_data_from_mem\[67\] ( chip_controller rd_data_out[67] ) + USE SIGNAL ;
- read_data_from_mem\[68\] ( chip_controller rd_data_out[68] ) + USE SIGNAL ;
- read_data_from_mem\[69\] ( chip_controller rd_data_out[69] ) + USE SIGNAL ;
- read_data_from_mem\[6\] ( chip_controller rd_data_out[6] ) + USE SIGNAL ;
- read_data_from_mem\[70\] ( chip_controller rd_data_out[70] ) + USE SIGNAL ;
- read_data_from_mem\[71\] ( chip_controller rd_data_out[71] ) + USE SIGNAL ;
- read_data_from_mem\[72\] ( chip_controller rd_data_out[72] ) + USE SIGNAL ;
- read_data_from_mem\[73\] ( chip_controller rd_data_out[73] ) + USE SIGNAL ;
- read_data_from_mem\[74\] ( chip_controller rd_data_out[74] ) + USE SIGNAL ;
- read_data_from_mem\[75\] ( chip_controller rd_data_out[75] ) + USE SIGNAL ;
- read_data_from_mem\[76\] ( chip_controller rd_data_out[76] ) + USE SIGNAL ;
- read_data_from_mem\[77\] ( chip_controller rd_data_out[77] ) + USE SIGNAL ;
- read_data_from_mem\[78\] ( chip_controller rd_data_out[78] ) + USE SIGNAL ;
- read_data_from_mem\[79\] ( chip_controller rd_data_out[79] ) + USE SIGNAL ;
- read_data_from_mem\[7\] ( chip_controller rd_data_out[7] ) + USE SIGNAL ;
- read_data_from_mem\[80\] ( chip_controller rd_data_out[80] ) + USE SIGNAL ;
- read_data_from_mem\[81\] ( chip_controller rd_data_out[81] ) + USE SIGNAL ;
- read_data_from_mem\[82\] ( chip_controller rd_data_out[82] ) + USE SIGNAL ;
- read_data_from_mem\[83\] ( chip_controller rd_data_out[83] ) + USE SIGNAL ;
- read_data_from_mem\[84\] ( chip_controller rd_data_out[84] ) + USE SIGNAL ;
- read_data_from_mem\[85\] ( chip_controller rd_data_out[85] ) + USE SIGNAL ;
- read_data_from_mem\[86\] ( chip_controller rd_data_out[86] ) + USE SIGNAL ;
- read_data_from_mem\[87\] ( chip_controller rd_data_out[87] ) + USE SIGNAL ;
- read_data_from_mem\[88\] ( chip_controller rd_data_out[88] ) + USE SIGNAL ;
- read_data_from_mem\[89\] ( chip_controller rd_data_out[89] ) + USE SIGNAL ;
- read_data_from_mem\[8\] ( chip_controller rd_data_out[8] ) + USE SIGNAL ;
- read_data_from_mem\[90\] ( chip_controller rd_data_out[90] ) + USE SIGNAL ;
- read_data_from_mem\[91\] ( chip_controller rd_data_out[91] ) + USE SIGNAL ;
- read_data_from_mem\[92\] ( chip_controller rd_data_out[92] ) + USE SIGNAL ;
- read_data_from_mem\[93\] ( chip_controller rd_data_out[93] ) + USE SIGNAL ;
- read_data_from_mem\[94\] ( chip_controller rd_data_out[94] ) + USE SIGNAL ;
- read_data_from_mem\[95\] ( chip_controller rd_data_out[95] ) + USE SIGNAL ;
- read_data_from_mem\[96\] ( chip_controller rd_data_out[96] ) + USE SIGNAL ;
- read_data_from_mem\[97\] ( chip_controller rd_data_out[97] ) + USE SIGNAL ;
- read_data_from_mem\[98\] ( chip_controller rd_data_out[98] ) + USE SIGNAL ;
- read_data_from_mem\[99\] ( chip_controller rd_data_out[99] ) + USE SIGNAL ;
- read_data_from_mem\[9\] ( chip_controller rd_data_out[9] ) + USE SIGNAL ;
- read_enable_to_Elpis ( chip_controller read_enable_to_Elpis ) + USE SIGNAL ;
- read_interactive_req_core0 ( chip_controller read_interactive_req_core0 ) + USE SIGNAL ;
- read_value_to_Elpis\[0\] ( chip_controller read_value_to_Elpis[0] ) + USE SIGNAL ;
- read_value_to_Elpis\[10\] ( chip_controller read_value_to_Elpis[10] ) + USE SIGNAL ;
- read_value_to_Elpis\[11\] ( chip_controller read_value_to_Elpis[11] ) + USE SIGNAL ;
- read_value_to_Elpis\[12\] ( chip_controller read_value_to_Elpis[12] ) + USE SIGNAL ;
- read_value_to_Elpis\[13\] ( chip_controller read_value_to_Elpis[13] ) + USE SIGNAL ;
- read_value_to_Elpis\[14\] ( chip_controller read_value_to_Elpis[14] ) + USE SIGNAL ;
- read_value_to_Elpis\[15\] ( chip_controller read_value_to_Elpis[15] ) + USE SIGNAL ;
- read_value_to_Elpis\[16\] ( chip_controller read_value_to_Elpis[16] ) + USE SIGNAL ;
- read_value_to_Elpis\[17\] ( chip_controller read_value_to_Elpis[17] ) + USE SIGNAL ;
- read_value_to_Elpis\[18\] ( chip_controller read_value_to_Elpis[18] ) + USE SIGNAL ;
- read_value_to_Elpis\[19\] ( chip_controller read_value_to_Elpis[19] ) + USE SIGNAL ;
- read_value_to_Elpis\[1\] ( chip_controller read_value_to_Elpis[1] ) + USE SIGNAL ;
- read_value_to_Elpis\[20\] ( chip_controller read_value_to_Elpis[20] ) + USE SIGNAL ;
- read_value_to_Elpis\[21\] ( chip_controller read_value_to_Elpis[21] ) + USE SIGNAL ;
- read_value_to_Elpis\[22\] ( chip_controller read_value_to_Elpis[22] ) + USE SIGNAL ;
- read_value_to_Elpis\[23\] ( chip_controller read_value_to_Elpis[23] ) + USE SIGNAL ;
- read_value_to_Elpis\[24\] ( chip_controller read_value_to_Elpis[24] ) + USE SIGNAL ;
- read_value_to_Elpis\[25\] ( chip_controller read_value_to_Elpis[25] ) + USE SIGNAL ;
- read_value_to_Elpis\[26\] ( chip_controller read_value_to_Elpis[26] ) + USE SIGNAL ;
- read_value_to_Elpis\[27\] ( chip_controller read_value_to_Elpis[27] ) + USE SIGNAL ;
- read_value_to_Elpis\[28\] ( chip_controller read_value_to_Elpis[28] ) + USE SIGNAL ;
- read_value_to_Elpis\[29\] ( chip_controller read_value_to_Elpis[29] ) + USE SIGNAL ;
- read_value_to_Elpis\[2\] ( chip_controller read_value_to_Elpis[2] ) + USE SIGNAL ;
- read_value_to_Elpis\[30\] ( chip_controller read_value_to_Elpis[30] ) + USE SIGNAL ;
- read_value_to_Elpis\[31\] ( chip_controller read_value_to_Elpis[31] ) + USE SIGNAL ;
- read_value_to_Elpis\[3\] ( chip_controller read_value_to_Elpis[3] ) + USE SIGNAL ;
- read_value_to_Elpis\[4\] ( chip_controller read_value_to_Elpis[4] ) + USE SIGNAL ;
- read_value_to_Elpis\[5\] ( chip_controller read_value_to_Elpis[5] ) + USE SIGNAL ;
- read_value_to_Elpis\[6\] ( chip_controller read_value_to_Elpis[6] ) + USE SIGNAL ;
- read_value_to_Elpis\[7\] ( chip_controller read_value_to_Elpis[7] ) + USE SIGNAL ;
- read_value_to_Elpis\[8\] ( chip_controller read_value_to_Elpis[8] ) + USE SIGNAL ;
- read_value_to_Elpis\[9\] ( chip_controller read_value_to_Elpis[9] ) + USE SIGNAL ;
- req_out_core0 ( chip_controller req_out_core0 ) + USE SIGNAL ;
- reset_core ( chip_controller reset_core ) + USE SIGNAL ;
- rst ( chip_controller rst ) + USE SIGNAL ;
- spare_wen0_to_sram ( custom_sram spare_wen0_to_sram ) ( chip_controller spare_wen0_to_sram ) + USE SIGNAL
+ ROUTED met2 ( 206310 2208000 ) ( * 2222410 )
NEW met2 ( 204010 2199460 0 ) ( 204930 * )
NEW met2 ( 204930 2199460 ) ( * 2208000 )
NEW met2 ( 204930 2208000 ) ( 206310 * )
NEW met2 ( 1459810 1793670 ) ( * 2222410 )
NEW met2 ( 1661750 1793670 ) ( * 1800300 0 )
NEW met1 ( 206310 2222410 ) ( 1459810 * )
NEW met1 ( 1459810 1793670 ) ( 1661750 * )
NEW met1 ( 206310 2222410 ) M1M2_PR
NEW met1 ( 1459810 2222410 ) M1M2_PR
NEW met1 ( 1459810 1793670 ) M1M2_PR
NEW met1 ( 1661750 1793670 ) M1M2_PR ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( chip_controller wb_clk_i ) + USE SIGNAL
+ ROUTED met2 ( 2990 2380 0 ) ( * 17510 )
NEW met1 ( 2990 17510 ) ( 6670 * )
NEW met2 ( 6670 17510 ) ( * 1792310 )
NEW met2 ( 201250 1792310 ) ( * 1800300 0 )
NEW met1 ( 6670 1792310 ) ( 201250 * )
NEW met1 ( 2990 17510 ) M1M2_PR
NEW met1 ( 6670 17510 ) M1M2_PR
NEW met1 ( 6670 1792310 ) M1M2_PR
NEW met1 ( 201250 1792310 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( chip_controller wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 20570 )
NEW met2 ( 203090 1789930 ) ( * 1800300 0 )
NEW met1 ( 8510 20570 ) ( 37950 * )
NEW met2 ( 37950 20570 ) ( * 1789930 )
NEW met1 ( 37950 1789930 ) ( 203090 * )
NEW met1 ( 8510 20570 ) M1M2_PR
NEW met1 ( 203090 1789930 ) M1M2_PR
NEW met1 ( 37950 20570 ) M1M2_PR
NEW met1 ( 37950 1789930 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( chip_controller wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 49910 2380 0 ) ( * 17510 )
NEW met1 ( 49910 17510 ) ( 54970 * )
NEW met2 ( 54970 17510 ) ( * 1781430 )
NEW met1 ( 54970 1781430 ) ( 601450 * )
NEW met3 ( 599380 1824780 0 ) ( 601450 * )
NEW met2 ( 601450 1781430 ) ( * 1824780 )
NEW met1 ( 601450 1781430 ) M1M2_PR
NEW met1 ( 49910 17510 ) M1M2_PR
NEW met1 ( 54970 17510 ) M1M2_PR
NEW met1 ( 54970 1781430 ) M1M2_PR
NEW met2 ( 601450 1824780 ) M2M3_PR_M ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( chip_controller wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 186530 2159700 ) ( 187450 * )
NEW met2 ( 187450 2159700 ) ( * 2212210 )
NEW met2 ( 281750 2199460 0 ) ( * 2212210 )
NEW met2 ( 250930 2380 0 ) ( * 17850 )
NEW met1 ( 248630 17850 ) ( 250930 * )
NEW met1 ( 187450 2212210 ) ( 281750 * )
NEW met1 ( 186530 1794690 ) ( 248630 * )
NEW met2 ( 248630 17850 ) ( * 1794690 )
NEW met2 ( 186530 1794690 ) ( * 2159700 )
NEW met1 ( 187450 2212210 ) M1M2_PR
NEW met1 ( 281750 2212210 ) M1M2_PR
NEW met1 ( 186530 1794690 ) M1M2_PR
NEW met1 ( 250930 17850 ) M1M2_PR
NEW met1 ( 248630 17850 ) M1M2_PR
NEW met1 ( 248630 1794690 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( chip_controller wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met3 ( 196190 1883260 ) ( 200100 * 0 )
NEW met2 ( 268870 2380 0 ) ( * 3060 )
NEW met2 ( 267950 3060 ) ( 268870 * )
NEW met2 ( 267950 2380 ) ( * 3060 )
NEW met2 ( 266570 2380 ) ( 267950 * )
NEW met2 ( 263350 82800 ) ( 266570 * )
NEW met2 ( 266570 2380 ) ( * 82800 )
NEW met1 ( 196190 1797750 ) ( 263350 * )
NEW met2 ( 263350 82800 ) ( * 1797750 )
NEW met2 ( 196190 1797750 ) ( * 1883260 )
NEW met1 ( 196190 1797750 ) M1M2_PR
NEW met2 ( 196190 1883260 ) M2M3_PR_M
NEW met1 ( 263350 1797750 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( chip_controller wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 2380 0 ) ( * 17340 )
NEW met3 ( 286350 17340 ) ( 290260 * )
NEW met3 ( 290260 2199460 ) ( 292330 * )
NEW met2 ( 292330 2199460 ) ( 293250 * 0 )
NEW met4 ( 290260 17340 ) ( * 2199460 )
NEW met2 ( 286350 17340 ) M2M3_PR_M
NEW met3 ( 290260 17340 ) M3M4_PR_M
NEW met3 ( 290260 2199460 ) M3M4_PR_M
NEW met2 ( 292330 2199460 ) M2M3_PR_M ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( chip_controller wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 2380 0 ) ( * 17850 )
NEW met1 ( 304290 17850 ) ( 309810 * )
NEW met2 ( 309810 17850 ) ( * 1756610 )
NEW met1 ( 309810 1756610 ) ( 615710 * )
NEW met3 ( 599380 1897540 0 ) ( 615710 * )
NEW met2 ( 615710 1756610 ) ( * 1897540 )
NEW met1 ( 304290 17850 ) M1M2_PR
NEW met1 ( 309810 17850 ) M1M2_PR
NEW met1 ( 309810 1756610 ) M1M2_PR
NEW met1 ( 615710 1756610 ) M1M2_PR
NEW met2 ( 615710 1897540 ) M2M3_PR_M ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( chip_controller wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 2380 0 ) ( * 17850 )
NEW met1 ( 313950 17850 ) ( 321770 * )
NEW met3 ( 309580 1752700 ) ( 313950 * )
NEW met2 ( 313950 17850 ) ( * 1752700 )
NEW met3 ( 308430 2199460 ) ( 309580 * )
NEW met2 ( 307970 2199460 0 ) ( 308430 * )
NEW met4 ( 309580 1752700 ) ( * 2199460 )
NEW met1 ( 321770 17850 ) M1M2_PR
NEW met1 ( 313950 17850 ) M1M2_PR
NEW met3 ( 309580 1752700 ) M3M4_PR_M
NEW met2 ( 313950 1752700 ) M2M3_PR_M
NEW met3 ( 309580 2199460 ) M3M4_PR_M
NEW met2 ( 308430 2199460 ) M2M3_PR_M ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( chip_controller wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met3 ( 312110 2199460 ) ( 315100 * )
NEW met2 ( 311190 2199460 0 ) ( 312110 * )
NEW met4 ( 315100 1759500 ) ( * 2199460 )
NEW met2 ( 339710 2380 0 ) ( * 17850 )
NEW met1 ( 327750 17850 ) ( 339710 * )
NEW met3 ( 315100 1759500 ) ( 327750 * )
NEW met2 ( 327750 17850 ) ( * 1759500 )
NEW met3 ( 315100 1759500 ) M3M4_PR_M
NEW met3 ( 315100 2199460 ) M3M4_PR_M
NEW met2 ( 312110 2199460 ) M2M3_PR_M
NEW met1 ( 339710 17850 ) M1M2_PR
NEW met1 ( 327750 17850 ) M1M2_PR
NEW met2 ( 327750 1759500 ) M2M3_PR_M ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( chip_controller wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 357650 2380 0 ) ( * 17850 )
NEW met1 ( 357650 17850 ) ( 615250 * )
NEW met3 ( 599380 1915220 0 ) ( 615250 * )
NEW met2 ( 615250 17850 ) ( * 1915220 )
NEW met1 ( 357650 17850 ) M1M2_PR
NEW met1 ( 615250 17850 ) M1M2_PR
NEW met2 ( 615250 1915220 ) M2M3_PR_M ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( chip_controller wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 375130 2380 0 ) ( * 16830 )
NEW met1 ( 375130 16830 ) ( 379270 * )
NEW met3 ( 599380 1921340 0 ) ( 607430 * )
NEW met2 ( 607430 1919810 ) ( * 1921340 )
NEW met2 ( 379270 16830 ) ( * 1778710 )
NEW met1 ( 607430 1919810 ) ( 616170 * )
NEW met1 ( 379270 1778710 ) ( 616170 * )
NEW met2 ( 616170 1778710 ) ( * 1919810 )
NEW met1 ( 375130 16830 ) M1M2_PR
NEW met1 ( 379270 16830 ) M1M2_PR
NEW met2 ( 607430 1921340 ) M2M3_PR_M
NEW met1 ( 607430 1919810 ) M1M2_PR
NEW met1 ( 379270 1778710 ) M1M2_PR
NEW met1 ( 616170 1919810 ) M1M2_PR
NEW met1 ( 616170 1778710 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( chip_controller wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1922700 ) ( 200100 * 0 )
NEW met2 ( 393070 2380 0 ) ( * 18190 )
NEW met1 ( 193430 18190 ) ( 393070 * )
NEW met2 ( 193430 18190 ) ( * 1922700 )
NEW met1 ( 193430 18190 ) M1M2_PR
NEW met2 ( 193430 1922700 ) M2M3_PR_M
NEW met1 ( 393070 18190 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( chip_controller wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1932050 ) ( * 1934260 )
NEW met3 ( 188370 1934260 ) ( 200100 * 0 )
NEW met2 ( 410550 2380 0 ) ( * 3060 )
NEW met2 ( 409630 3060 ) ( 410550 * )
NEW met2 ( 409630 2380 ) ( * 3060 )
NEW met2 ( 408250 2380 ) ( 409630 * )
NEW met2 ( 407330 82800 ) ( 408250 * )
NEW met2 ( 408250 2380 ) ( * 82800 )
NEW met2 ( 407330 82800 ) ( * 1795370 )
NEW met1 ( 179170 1932050 ) ( 188370 * )
NEW met1 ( 179170 1795370 ) ( 407330 * )
NEW met2 ( 179170 1795370 ) ( * 1932050 )
NEW met1 ( 188370 1932050 ) M1M2_PR
NEW met2 ( 188370 1934260 ) M2M3_PR_M
NEW met1 ( 407330 1795370 ) M1M2_PR
NEW met1 ( 179170 1932050 ) M1M2_PR
NEW met1 ( 179170 1795370 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( chip_controller wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 73830 2380 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 1807950 )
NEW met2 ( 188370 1807950 ) ( * 1814580 )
NEW met3 ( 188370 1814580 ) ( 200100 * 0 )
NEW met1 ( 75670 1807950 ) ( 188370 * )
NEW met1 ( 75670 1807950 ) M1M2_PR
NEW met1 ( 188370 1807950 ) M1M2_PR
NEW met2 ( 188370 1814580 ) M2M3_PR_M ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( chip_controller wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1939190 ) ( * 1941060 )
NEW met3 ( 188370 1941060 ) ( 200100 * 0 )
NEW li1 ( 376510 1789590 ) ( * 1793670 )
NEW met1 ( 376510 1793670 ) ( 417910 * )
NEW li1 ( 417910 1787210 ) ( * 1793670 )
NEW met1 ( 155710 1939190 ) ( 188370 * )
NEW met2 ( 155710 1789590 ) ( * 1939190 )
NEW met1 ( 155710 1789590 ) ( 376510 * )
NEW met2 ( 428030 82800 ) ( 428490 * )
NEW met2 ( 428490 2380 0 ) ( * 82800 )
NEW met1 ( 417910 1787210 ) ( 428030 * )
NEW met2 ( 428030 82800 ) ( * 1787210 )
NEW met1 ( 188370 1939190 ) M1M2_PR
NEW met2 ( 188370 1941060 ) M2M3_PR_M
NEW li1 ( 376510 1789590 ) L1M1_PR_MR
NEW li1 ( 376510 1793670 ) L1M1_PR_MR
NEW li1 ( 417910 1793670 ) L1M1_PR_MR
NEW li1 ( 417910 1787210 ) L1M1_PR_MR
NEW met1 ( 155710 1939190 ) M1M2_PR
NEW met1 ( 155710 1789590 ) M1M2_PR
NEW met1 ( 428030 1787210 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( chip_controller wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 376050 19550 ) ( * 1787210 )
NEW met2 ( 445970 2380 0 ) ( * 19550 )
NEW met1 ( 376050 19550 ) ( 445970 * )
NEW li1 ( 365930 1787210 ) ( * 1793670 )
NEW met1 ( 340630 1793670 ) ( 365930 * )
NEW met2 ( 340630 1793670 ) ( * 1800300 0 )
NEW met1 ( 365930 1787210 ) ( 376050 * )
NEW met1 ( 376050 19550 ) M1M2_PR
NEW met1 ( 376050 1787210 ) M1M2_PR
NEW met1 ( 445970 19550 ) M1M2_PR
NEW li1 ( 365930 1787210 ) L1M1_PR_MR
NEW li1 ( 365930 1793670 ) L1M1_PR_MR
NEW met1 ( 340630 1793670 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( chip_controller wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 463910 2380 0 ) ( * 16660 )
NEW met3 ( 354660 16660 ) ( 463910 * )
NEW met3 ( 344310 2199460 ) ( 354660 * )
NEW met2 ( 343850 2199460 0 ) ( 344310 * )
NEW met4 ( 354660 16660 ) ( * 2199460 )
NEW met3 ( 354660 16660 ) M3M4_PR_M
NEW met2 ( 463910 16660 ) M2M3_PR_M
NEW met3 ( 354660 2199460 ) M3M4_PR_M
NEW met2 ( 344310 2199460 ) M2M3_PR_M ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( chip_controller wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 2380 0 ) ( * 18190 )
NEW met1 ( 481390 18190 ) ( 614330 * )
NEW met3 ( 599380 1957380 0 ) ( 613870 * )
NEW met2 ( 613870 1957380 ) ( 614330 * )
NEW met2 ( 614330 18190 ) ( * 1957380 )
NEW met1 ( 481390 18190 ) M1M2_PR
NEW met1 ( 614330 18190 ) M1M2_PR
NEW met2 ( 613870 1957380 ) M2M3_PR_M ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( chip_controller wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 186990 1959930 ) ( * 1962140 )
NEW met3 ( 186990 1962140 ) ( 200100 * 0 )
NEW met2 ( 497950 82800 ) ( 499330 * )
NEW met2 ( 499330 2380 0 ) ( * 82800 )
NEW met2 ( 497950 82800 ) ( * 1773300 )
NEW li1 ( 469430 1790270 ) ( * 1793670 )
NEW met1 ( 469430 1793670 ) ( 477250 * )
NEW li1 ( 477250 1788570 ) ( * 1793670 )
NEW met1 ( 477250 1788570 ) ( 486910 * )
NEW li1 ( 486910 1788570 ) ( * 1789590 )
NEW met1 ( 486910 1789590 ) ( 497490 * )
NEW met2 ( 497490 1773300 ) ( * 1789590 )
NEW met2 ( 497490 1773300 ) ( 497950 * )
NEW met1 ( 165370 1959930 ) ( 186990 * )
NEW met1 ( 165370 1790270 ) ( 469430 * )
NEW met2 ( 165370 1790270 ) ( * 1959930 )
NEW met1 ( 186990 1959930 ) M1M2_PR
NEW met2 ( 186990 1962140 ) M2M3_PR_M
NEW li1 ( 469430 1790270 ) L1M1_PR_MR
NEW li1 ( 469430 1793670 ) L1M1_PR_MR
NEW li1 ( 477250 1793670 ) L1M1_PR_MR
NEW li1 ( 477250 1788570 ) L1M1_PR_MR
NEW li1 ( 486910 1788570 ) L1M1_PR_MR
NEW li1 ( 486910 1789590 ) L1M1_PR_MR
NEW met1 ( 497490 1789590 ) M1M2_PR
NEW met1 ( 165370 1959930 ) M1M2_PR
NEW met1 ( 165370 1790270 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( chip_controller wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 2380 0 ) ( * 18530 )
NEW met1 ( 351670 18530 ) ( 516810 * )
NEW met2 ( 350750 1800300 0 ) ( 351670 * )
NEW met2 ( 351670 18530 ) ( * 1800300 )
NEW met1 ( 516810 18530 ) M1M2_PR
NEW met1 ( 351670 18530 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( chip_controller wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED li1 ( 386170 1785850 ) ( * 1789250 )
NEW met1 ( 386170 1785850 ) ( 396750 * )
NEW met2 ( 396750 18870 ) ( * 1785850 )
NEW met2 ( 534750 2380 0 ) ( * 18870 )
NEW met1 ( 396750 18870 ) ( 534750 * )
NEW met2 ( 355810 1789250 ) ( * 1800300 )
NEW met2 ( 354430 1800300 0 ) ( 355810 * )
NEW met1 ( 355810 1789250 ) ( 386170 * )
NEW met1 ( 396750 18870 ) M1M2_PR
NEW li1 ( 386170 1789250 ) L1M1_PR_MR
NEW li1 ( 386170 1785850 ) L1M1_PR_MR
NEW met1 ( 396750 1785850 ) M1M2_PR
NEW met1 ( 534750 18870 ) M1M2_PR
NEW met1 ( 355810 1789250 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( chip_controller wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED li1 ( 386630 1788570 ) ( * 1789250 )
NEW met2 ( 552690 2380 0 ) ( * 19210 )
NEW met1 ( 438150 19210 ) ( 552690 * )
NEW met2 ( 362710 1788570 ) ( * 1800300 0 )
NEW met1 ( 362710 1788570 ) ( 386630 * )
NEW met2 ( 438150 19210 ) ( * 1773300 )
NEW met1 ( 422970 1789250 ) ( * 1789590 )
NEW met1 ( 422970 1789590 ) ( 434930 * )
NEW met2 ( 434930 1788570 ) ( * 1789590 )
NEW met1 ( 434930 1788570 ) ( 437230 * )
NEW met2 ( 437230 1773300 ) ( * 1788570 )
NEW met2 ( 437230 1773300 ) ( 438150 * )
NEW met1 ( 386630 1789250 ) ( 422970 * )
NEW li1 ( 386630 1788570 ) L1M1_PR_MR
NEW li1 ( 386630 1789250 ) L1M1_PR_MR
NEW met1 ( 438150 19210 ) M1M2_PR
NEW met1 ( 552690 19210 ) M1M2_PR
NEW met1 ( 362710 1788570 ) M1M2_PR
NEW met1 ( 434930 1789590 ) M1M2_PR
NEW met1 ( 434930 1788570 ) M1M2_PR
NEW met1 ( 437230 1788570 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( chip_controller wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 2380 0 ) ( * 18530 )
NEW met1 ( 570170 18530 ) ( 614790 * )
NEW met3 ( 599380 1985940 0 ) ( 607430 * )
NEW met2 ( 607430 1952450 ) ( * 1985940 )
NEW met1 ( 607430 1952450 ) ( 614790 * )
NEW met2 ( 614790 18530 ) ( * 1952450 )
NEW met1 ( 570170 18530 ) M1M2_PR
NEW met1 ( 614790 18530 ) M1M2_PR
NEW met2 ( 607430 1985940 ) M2M3_PR_M
NEW met1 ( 607430 1952450 ) M1M2_PR
NEW met1 ( 614790 1952450 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( chip_controller wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 2380 0 ) ( * 17510 )
NEW met2 ( 130410 17510 ) ( * 1988150 )
NEW met2 ( 188830 1988150 ) ( * 1992740 )
NEW met3 ( 188830 1992740 ) ( 200100 * 0 )
NEW met1 ( 130410 17510 ) ( 588110 * )
NEW met1 ( 130410 1988150 ) ( 188830 * )
NEW met1 ( 130410 17510 ) M1M2_PR
NEW met1 ( 588110 17510 ) M1M2_PR
NEW met1 ( 130410 1988150 ) M1M2_PR
NEW met1 ( 188830 1988150 ) M1M2_PR
NEW met2 ( 188830 1992740 ) M2M3_PR_M ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( chip_controller wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 2380 0 ) ( * 17170 )
NEW met1 ( 596850 1795370 ) ( 608350 * )
NEW met2 ( 596850 17170 ) ( * 1795370 )
NEW met1 ( 97290 17170 ) ( 596850 * )
NEW met3 ( 599380 1833620 0 ) ( 608350 * )
NEW met2 ( 608350 1795370 ) ( * 1833620 )
NEW met1 ( 97290 17170 ) M1M2_PR
NEW met1 ( 596850 17170 ) M1M2_PR
NEW met1 ( 596850 1795370 ) M1M2_PR
NEW met1 ( 608350 1795370 ) M1M2_PR
NEW met2 ( 608350 1833620 ) M2M3_PR_M ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( chip_controller wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 2380 0 ) ( * 17510 )
NEW met1 ( 600530 17510 ) ( 605590 * )
NEW met3 ( 599380 1998180 0 ) ( 600530 * )
NEW met2 ( 600530 17510 ) ( * 1998180 )
NEW met1 ( 605590 17510 ) M1M2_PR
NEW met1 ( 600530 17510 ) M1M2_PR
NEW met2 ( 600530 1998180 ) M2M3_PR_M ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( chip_controller wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 129950 39270 ) ( * 2001750 )
NEW met2 ( 186990 2001750 ) ( * 2006340 )
NEW met3 ( 186990 2006340 ) ( 200100 * 0 )
NEW met1 ( 129950 2001750 ) ( 186990 * )
NEW met1 ( 129950 39270 ) ( 623530 * )
NEW met2 ( 623530 2380 0 ) ( * 39270 )
NEW met1 ( 129950 39270 ) M1M2_PR
NEW met1 ( 129950 2001750 ) M1M2_PR
NEW met1 ( 186990 2001750 ) M1M2_PR
NEW met2 ( 186990 2006340 ) M2M3_PR_M
NEW met1 ( 623530 39270 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( chip_controller wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 2380 0 ) ( * 17510 )
NEW met1 ( 121210 17510 ) ( 123970 * )
NEW met2 ( 123970 17510 ) ( * 106930 )
NEW met1 ( 123970 106930 ) ( 600990 * )
NEW met3 ( 599380 1839740 0 ) ( 600990 * )
NEW met2 ( 600990 106930 ) ( * 1839740 )
NEW met1 ( 121210 17510 ) M1M2_PR
NEW met1 ( 123970 17510 ) M1M2_PR
NEW met1 ( 123970 106930 ) M1M2_PR
NEW met1 ( 600990 106930 ) M1M2_PR
NEW met2 ( 600990 1839740 ) M2M3_PR_M ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( chip_controller wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1850620 0 ) ( 607430 * )
NEW met2 ( 607430 1850450 ) ( * 1850620 )
NEW met1 ( 143750 1735530 ) ( 616630 * )
NEW met1 ( 607430 1850450 ) ( 616630 * )
NEW met2 ( 144670 2380 0 ) ( * 34500 )
NEW met2 ( 143750 34500 ) ( 144670 * )
NEW met2 ( 143750 34500 ) ( * 1735530 )
NEW met2 ( 616630 1735530 ) ( * 1850450 )
NEW met2 ( 607430 1850620 ) M2M3_PR_M
NEW met1 ( 607430 1850450 ) M1M2_PR
NEW met1 ( 143750 1735530 ) M1M2_PR
NEW met1 ( 616630 1735530 ) M1M2_PR
NEW met1 ( 616630 1850450 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( chip_controller wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met1 ( 160770 2208470 ) ( 232990 * )
NEW met2 ( 160770 82800 ) ( 162150 * )
NEW met2 ( 162150 2380 0 ) ( * 82800 )
NEW met2 ( 232990 2199460 0 ) ( * 2208470 )
NEW met2 ( 160770 82800 ) ( * 2208470 )
NEW met1 ( 160770 2208470 ) M1M2_PR
NEW met1 ( 232990 2208470 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( chip_controller wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 2380 0 ) ( * 17850 )
NEW met1 ( 180090 17850 ) ( 186070 * )
NEW met3 ( 599380 1864220 0 ) ( 607430 * )
NEW met2 ( 607430 1864050 ) ( * 1864220 )
NEW met2 ( 186070 17850 ) ( * 61370 )
NEW met1 ( 607430 1864050 ) ( 621230 * )
NEW met1 ( 186070 61370 ) ( 621230 * )
NEW met2 ( 621230 61370 ) ( * 1864050 )
NEW met1 ( 180090 17850 ) M1M2_PR
NEW met1 ( 186070 17850 ) M1M2_PR
NEW met2 ( 607430 1864220 ) M2M3_PR_M
NEW met1 ( 607430 1864050 ) M1M2_PR
NEW met1 ( 186070 61370 ) M1M2_PR
NEW met1 ( 621230 1864050 ) M1M2_PR
NEW met1 ( 621230 61370 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( chip_controller wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 2380 0 ) ( * 18870 )
NEW met2 ( 130870 18870 ) ( * 2201330 )
NEW met1 ( 130870 18870 ) ( 198030 * )
NEW met2 ( 250930 2199460 0 ) ( * 2201330 )
NEW met1 ( 130870 2201330 ) ( 250930 * )
NEW met1 ( 130870 18870 ) M1M2_PR
NEW met1 ( 198030 18870 ) M1M2_PR
NEW met1 ( 130870 2201330 ) M1M2_PR
NEW met1 ( 250930 2201330 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( chip_controller wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 2380 0 ) ( * 1795030 )
NEW met1 ( 148350 2208810 ) ( 265650 * )
NEW met1 ( 148350 1795030 ) ( 215510 * )
NEW met2 ( 148350 1795030 ) ( * 2208810 )
NEW met2 ( 265650 2199460 0 ) ( * 2208810 )
NEW met1 ( 215510 1795030 ) M1M2_PR
NEW met1 ( 148350 2208810 ) M1M2_PR
NEW met1 ( 265650 2208810 ) M1M2_PR
NEW met1 ( 148350 1795030 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( chip_controller wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 233450 2380 0 ) ( * 17850 )
NEW met1 ( 228850 17850 ) ( 233450 * )
NEW met1 ( 183770 2211530 ) ( 273930 * )
NEW met1 ( 183770 1794350 ) ( 228850 * )
NEW met2 ( 228850 17850 ) ( * 1794350 )
NEW met2 ( 273930 2199460 0 ) ( * 2211530 )
NEW met2 ( 183770 1794350 ) ( * 2211530 )
NEW met1 ( 183770 2211530 ) M1M2_PR
NEW met1 ( 183770 1794350 ) M1M2_PR
NEW met1 ( 233450 17850 ) M1M2_PR
NEW met1 ( 228850 17850 ) M1M2_PR
NEW met1 ( 273930 2211530 ) M1M2_PR
NEW met1 ( 228850 1794350 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
- we_to_sram ( custom_sram we ) ( chip_controller we_to_sram ) + USE SIGNAL
+ ROUTED met2 ( 200790 2199460 0 ) ( * 2222070 )
NEW met2 ( 1480970 1787210 ) ( * 2222070 )
NEW met2 ( 1569290 1787210 ) ( * 1800300 0 )
NEW met1 ( 200790 2222070 ) ( 1480970 * )
NEW met1 ( 1480970 1787210 ) ( 1569290 * )
NEW met1 ( 200790 2222070 ) M1M2_PR
NEW met1 ( 1480970 2222070 ) M1M2_PR
NEW met1 ( 1480970 1787210 ) M1M2_PR
NEW met1 ( 1569290 1787210 ) M1M2_PR ;
END NETS
END DESIGN