Clean GateSim and RTL Sim + Updated SPI Master
diff --git a/checks/erase_box_user_project_wrapper.gds.log b/checks/erase_box_user_project_wrapper.gds.log
new file mode 100644
index 0000000..f1b10a8
--- /dev/null
+++ b/checks/erase_box_user_project_wrapper.gds.log
@@ -0,0 +1,3298 @@
+/home/dinesha/workarea/opencore/git/yifive_r0/gds//user_project_wrapper.gds /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_erased.gds user_project_wrapper
+
+Magic 8.3 revision 182 - Compiled on Fri Jun 25 23:58:13 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.116-4-g522a373
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__decap_8".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__o22a_4".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__clkbuf_1".
+Reading "sky130_fd_sc_hd__buf_2".
+Reading "sky130_fd_sc_hd__and2_4".
+Reading "sky130_fd_sc_hd__o21a_4".
+Reading "sky130_fd_sc_hd__or2_4".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__and3_4".
+Reading "sky130_fd_sc_hd__dfxtp_4".
+Reading "sky130_fd_sc_hd__conb_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "sky130_fd_sc_hd__a2bb2o_4".
+Reading "sky130_fd_sc_hd__diode_2".
+Reading "sky130_fd_sc_hd__dfrtp_4".
+Reading "sky130_fd_sc_hd__a211o_4".
+Reading "sky130_fd_sc_hd__a21o_4".
+Reading "sky130_fd_sc_hd__a21boi_4".
+Reading "sky130_fd_sc_hd__or4_4".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__buf_4".
+Reading "sky130_fd_sc_hd__a21oi_4".
+Reading "sky130_fd_sc_hd__and4_4".
+Reading "sky130_fd_sc_hd__dfstp_4".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__nand2_4".
+Reading "sky130_fd_sc_hd__a22oi_4".
+Reading "sky130_fd_sc_hd__a2111o_4".
+Reading "sky130_fd_sc_hd__buf_8".
+Reading "sky130_fd_sc_hd__o32a_4".
+Reading "sky130_fd_sc_hd__clkbuf_16".
+Reading "sky130_fd_sc_hd__a21bo_4".
+Reading "sky130_fd_sc_hd__o21ai_4".
+Reading "scr1_top_wb".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+    35000 uses
+    35100 uses
+    35200 uses
+    35300 uses
+    35400 uses
+    35500 uses
+    35600 uses
+    35700 uses
+    35800 uses
+    35900 uses
+    36000 uses
+    36100 uses
+    36200 uses
+    36300 uses
+    36400 uses
+    36500 uses
+    36600 uses
+    36700 uses
+    36800 uses
+    36900 uses
+    37000 uses
+    37100 uses
+    37200 uses
+    37300 uses
+    37400 uses
+    37500 uses
+    37600 uses
+    37700 uses
+    37800 uses
+    37900 uses
+    38000 uses
+    38100 uses
+    38200 uses
+    38300 uses
+    38400 uses
+    38500 uses
+    38600 uses
+    38700 uses
+    38800 uses
+    38900 uses
+    39000 uses
+    39100 uses
+    39200 uses
+    39300 uses
+    39400 uses
+    39500 uses
+    39600 uses
+    39700 uses
+    39800 uses
+    39900 uses
+    40000 uses
+    40100 uses
+    40200 uses
+    40300 uses
+    40400 uses
+    40500 uses
+    40600 uses
+    40700 uses
+    40800 uses
+    40900 uses
+    41000 uses
+    41100 uses
+    41200 uses
+    41300 uses
+    41400 uses
+    41500 uses
+    41600 uses
+    41700 uses
+    41800 uses
+    41900 uses
+    42000 uses
+    42100 uses
+    42200 uses
+    42300 uses
+    42400 uses
+    42500 uses
+    42600 uses
+    42700 uses
+    42800 uses
+    42900 uses
+    43000 uses
+    43100 uses
+    43200 uses
+    43300 uses
+    43400 uses
+    43500 uses
+    43600 uses
+    43700 uses
+    43800 uses
+    43900 uses
+    44000 uses
+    44100 uses
+    44200 uses
+    44300 uses
+    44400 uses
+    44500 uses
+    44600 uses
+    44700 uses
+    44800 uses
+    44900 uses
+    45000 uses
+    45100 uses
+    45200 uses
+    45300 uses
+    45400 uses
+    45500 uses
+    45600 uses
+    45700 uses
+    45800 uses
+    45900 uses
+    46000 uses
+    46100 uses
+    46200 uses
+    46300 uses
+    46400 uses
+    46500 uses
+    46600 uses
+    46700 uses
+    46800 uses
+    46900 uses
+    47000 uses
+    47100 uses
+    47200 uses
+    47300 uses
+    47400 uses
+    47500 uses
+    47600 uses
+    47700 uses
+    47800 uses
+    47900 uses
+    48000 uses
+    48100 uses
+    48200 uses
+    48300 uses
+    48400 uses
+    48500 uses
+    48600 uses
+    48700 uses
+    48800 uses
+    48900 uses
+    49000 uses
+    49100 uses
+    49200 uses
+    49300 uses
+    49400 uses
+    49500 uses
+    49600 uses
+    49700 uses
+    49800 uses
+    49900 uses
+    50000 uses
+    50100 uses
+    50200 uses
+    50300 uses
+    50400 uses
+    50500 uses
+    50600 uses
+    50700 uses
+    50800 uses
+    50900 uses
+    51000 uses
+    51100 uses
+    51200 uses
+    51300 uses
+    51400 uses
+    51500 uses
+    51600 uses
+    51700 uses
+    51800 uses
+    51900 uses
+    52000 uses
+    52100 uses
+    52200 uses
+    52300 uses
+    52400 uses
+    52500 uses
+    52600 uses
+    52700 uses
+    52800 uses
+    52900 uses
+    53000 uses
+    53100 uses
+    53200 uses
+    53300 uses
+    53400 uses
+    53500 uses
+    53600 uses
+    53700 uses
+    53800 uses
+    53900 uses
+    54000 uses
+    54100 uses
+    54200 uses
+    54300 uses
+    54400 uses
+    54500 uses
+    54600 uses
+    54700 uses
+    54800 uses
+    54900 uses
+    55000 uses
+    55100 uses
+    55200 uses
+    55300 uses
+    55400 uses
+    55500 uses
+    55600 uses
+    55700 uses
+    55800 uses
+    55900 uses
+    56000 uses
+    56100 uses
+    56200 uses
+    56300 uses
+    56400 uses
+    56500 uses
+    56600 uses
+    56700 uses
+    56800 uses
+    56900 uses
+    57000 uses
+    57100 uses
+    57200 uses
+    57300 uses
+    57400 uses
+    57500 uses
+    57600 uses
+    57700 uses
+    57800 uses
+    57900 uses
+    58000 uses
+    58100 uses
+    58200 uses
+    58300 uses
+    58400 uses
+    58500 uses
+    58600 uses
+    58700 uses
+    58800 uses
+    58900 uses
+    59000 uses
+    59100 uses
+    59200 uses
+    59300 uses
+    59400 uses
+    59500 uses
+    59600 uses
+    59700 uses
+    59800 uses
+    59900 uses
+    60000 uses
+    60100 uses
+    60200 uses
+    60300 uses
+    60400 uses
+    60500 uses
+    60600 uses
+    60700 uses
+    60800 uses
+    60900 uses
+    61000 uses
+    61100 uses
+    61200 uses
+    61300 uses
+    61400 uses
+    61500 uses
+    61600 uses
+    61700 uses
+    61800 uses
+    61900 uses
+    62000 uses
+    62100 uses
+    62200 uses
+    62300 uses
+    62400 uses
+    62500 uses
+    62600 uses
+    62700 uses
+    62800 uses
+    62900 uses
+    63000 uses
+    63100 uses
+    63200 uses
+    63300 uses
+    63400 uses
+    63500 uses
+    63600 uses
+    63700 uses
+    63800 uses
+    63900 uses
+    64000 uses
+    64100 uses
+    64200 uses
+    64300 uses
+    64400 uses
+    64500 uses
+    64600 uses
+    64700 uses
+    64800 uses
+    64900 uses
+    65000 uses
+    65100 uses
+    65200 uses
+    65300 uses
+    65400 uses
+    65500 uses
+    65600 uses
+    65700 uses
+    65800 uses
+    65900 uses
+    66000 uses
+    66100 uses
+    66200 uses
+    66300 uses
+    66400 uses
+    66500 uses
+    66600 uses
+    66700 uses
+    66800 uses
+    66900 uses
+    67000 uses
+    67100 uses
+    67200 uses
+    67300 uses
+    67400 uses
+    67500 uses
+    67600 uses
+    67700 uses
+    67800 uses
+    67900 uses
+    68000 uses
+    68100 uses
+    68200 uses
+    68300 uses
+    68400 uses
+    68500 uses
+    68600 uses
+    68700 uses
+    68800 uses
+    68900 uses
+    69000 uses
+    69100 uses
+    69200 uses
+    69300 uses
+    69400 uses
+    69500 uses
+    69600 uses
+    69700 uses
+    69800 uses
+    69900 uses
+    70000 uses
+    70100 uses
+    70200 uses
+    70300 uses
+    70400 uses
+    70500 uses
+    70600 uses
+    70700 uses
+    70800 uses
+    70900 uses
+    71000 uses
+    71100 uses
+    71200 uses
+    71300 uses
+    71400 uses
+    71500 uses
+    71600 uses
+    71700 uses
+    71800 uses
+    71900 uses
+    72000 uses
+    72100 uses
+    72200 uses
+    72300 uses
+    72400 uses
+    72500 uses
+    72600 uses
+    72700 uses
+    72800 uses
+    72900 uses
+    73000 uses
+    73100 uses
+    73200 uses
+    73300 uses
+    73400 uses
+    73500 uses
+    73600 uses
+    73700 uses
+    73800 uses
+    73900 uses
+    74000 uses
+    74100 uses
+    74200 uses
+    74300 uses
+    74400 uses
+    74500 uses
+    74600 uses
+    74700 uses
+    74800 uses
+    74900 uses
+    75000 uses
+    75100 uses
+    75200 uses
+    75300 uses
+    75400 uses
+    75500 uses
+    75600 uses
+    75700 uses
+    75800 uses
+    75900 uses
+    76000 uses
+    76100 uses
+    76200 uses
+    76300 uses
+    76400 uses
+    76500 uses
+    76600 uses
+    76700 uses
+    76800 uses
+    76900 uses
+    77000 uses
+    77100 uses
+    77200 uses
+    77300 uses
+    77400 uses
+    77500 uses
+    77600 uses
+    77700 uses
+    77800 uses
+    77900 uses
+    78000 uses
+    78100 uses
+    78200 uses
+    78300 uses
+    78400 uses
+    78500 uses
+    78600 uses
+    78700 uses
+    78800 uses
+    78900 uses
+    79000 uses
+    79100 uses
+    79200 uses
+    79300 uses
+    79400 uses
+    79500 uses
+    79600 uses
+    79700 uses
+    79800 uses
+    79900 uses
+    80000 uses
+    80100 uses
+    80200 uses
+    80300 uses
+    80400 uses
+    80500 uses
+    80600 uses
+    80700 uses
+    80800 uses
+    80900 uses
+    81000 uses
+    81100 uses
+    81200 uses
+    81300 uses
+    81400 uses
+    81500 uses
+    81600 uses
+    81700 uses
+    81800 uses
+    81900 uses
+    82000 uses
+    82100 uses
+    82200 uses
+    82300 uses
+    82400 uses
+    82500 uses
+    82600 uses
+    82700 uses
+    82800 uses
+    82900 uses
+    83000 uses
+    83100 uses
+    83200 uses
+    83300 uses
+    83400 uses
+    83500 uses
+    83600 uses
+    83700 uses
+    83800 uses
+    83900 uses
+    84000 uses
+    84100 uses
+    84200 uses
+    84300 uses
+    84400 uses
+    84500 uses
+    84600 uses
+    84700 uses
+    84800 uses
+    84900 uses
+    85000 uses
+    85100 uses
+    85200 uses
+    85300 uses
+    85400 uses
+    85500 uses
+    85600 uses
+    85700 uses
+    85800 uses
+    85900 uses
+    86000 uses
+    86100 uses
+    86200 uses
+    86300 uses
+    86400 uses
+    86500 uses
+    86600 uses
+    86700 uses
+    86800 uses
+    86900 uses
+    87000 uses
+    87100 uses
+    87200 uses
+    87300 uses
+    87400 uses
+    87500 uses
+    87600 uses
+    87700 uses
+    87800 uses
+    87900 uses
+    88000 uses
+    88100 uses
+    88200 uses
+    88300 uses
+    88400 uses
+    88500 uses
+    88600 uses
+    88700 uses
+    88800 uses
+    88900 uses
+    89000 uses
+    89100 uses
+    89200 uses
+    89300 uses
+    89400 uses
+    89500 uses
+    89600 uses
+    89700 uses
+    89800 uses
+    89900 uses
+    90000 uses
+    90100 uses
+    90200 uses
+    90300 uses
+    90400 uses
+    90500 uses
+    90600 uses
+    90700 uses
+    90800 uses
+    90900 uses
+    91000 uses
+    91100 uses
+    91200 uses
+    91300 uses
+    91400 uses
+    91500 uses
+    91600 uses
+    91700 uses
+    91800 uses
+    91900 uses
+    92000 uses
+    92100 uses
+    92200 uses
+    92300 uses
+    92400 uses
+    92500 uses
+    92600 uses
+    92700 uses
+    92800 uses
+    92900 uses
+    93000 uses
+    93100 uses
+    93200 uses
+    93300 uses
+    93400 uses
+    93500 uses
+    93600 uses
+    93700 uses
+    93800 uses
+    93900 uses
+    94000 uses
+    94100 uses
+    94200 uses
+    94300 uses
+    94400 uses
+    94500 uses
+    94600 uses
+    94700 uses
+    94800 uses
+    94900 uses
+    95000 uses
+    95100 uses
+    95200 uses
+    95300 uses
+    95400 uses
+    95500 uses
+    95600 uses
+    95700 uses
+    95800 uses
+    95900 uses
+    96000 uses
+    96100 uses
+    96200 uses
+    96300 uses
+    96400 uses
+    96500 uses
+    96600 uses
+    96700 uses
+    96800 uses
+    96900 uses
+    97000 uses
+    97100 uses
+    97200 uses
+    97300 uses
+    97400 uses
+    97500 uses
+    97600 uses
+    97700 uses
+    97800 uses
+    97900 uses
+    98000 uses
+    98100 uses
+    98200 uses
+    98300 uses
+    98400 uses
+    98500 uses
+    98600 uses
+    98700 uses
+    98800 uses
+    98900 uses
+    99000 uses
+    99100 uses
+    99200 uses
+    99300 uses
+    99400 uses
+    99500 uses
+    99600 uses
+    99700 uses
+    99800 uses
+    99900 uses
+    100000 uses
+    100100 uses
+    100200 uses
+    100300 uses
+    100400 uses
+    100500 uses
+    100600 uses
+    100700 uses
+    100800 uses
+    100900 uses
+    101000 uses
+    101100 uses
+    101200 uses
+    101300 uses
+    101400 uses
+    101500 uses
+    101600 uses
+    101700 uses
+    101800 uses
+    101900 uses
+    102000 uses
+    102100 uses
+    102200 uses
+    102300 uses
+    102400 uses
+    102500 uses
+    102600 uses
+    102700 uses
+    102800 uses
+    102900 uses
+    103000 uses
+    103100 uses
+    103200 uses
+    103300 uses
+    103400 uses
+    103500 uses
+    103600 uses
+    103700 uses
+    103800 uses
+    103900 uses
+    104000 uses
+    104100 uses
+    104200 uses
+    104300 uses
+    104400 uses
+    104500 uses
+    104600 uses
+    104700 uses
+    104800 uses
+    104900 uses
+    105000 uses
+    105100 uses
+    105200 uses
+    105300 uses
+    105400 uses
+    105500 uses
+    105600 uses
+    105700 uses
+    105800 uses
+    105900 uses
+    106000 uses
+    106100 uses
+    106200 uses
+    106300 uses
+    106400 uses
+    106500 uses
+    106600 uses
+    106700 uses
+    106800 uses
+    106900 uses
+    107000 uses
+    107100 uses
+    107200 uses
+    107300 uses
+    107400 uses
+    107500 uses
+    107600 uses
+    107700 uses
+    107800 uses
+    107900 uses
+    108000 uses
+    108100 uses
+    108200 uses
+    108300 uses
+    108400 uses
+    108500 uses
+    108600 uses
+    108700 uses
+    108800 uses
+    108900 uses
+    109000 uses
+    109100 uses
+    109200 uses
+    109300 uses
+    109400 uses
+    109500 uses
+    109600 uses
+    109700 uses
+    109800 uses
+    109900 uses
+    110000 uses
+    110100 uses
+    110200 uses
+    110300 uses
+    110400 uses
+    110500 uses
+    110600 uses
+    110700 uses
+    110800 uses
+    110900 uses
+    111000 uses
+    111100 uses
+    111200 uses
+    111300 uses
+    111400 uses
+    111500 uses
+    111600 uses
+    111700 uses
+    111800 uses
+    111900 uses
+    112000 uses
+    112100 uses
+    112200 uses
+    112300 uses
+    112400 uses
+    112500 uses
+    112600 uses
+    112700 uses
+    112800 uses
+    112900 uses
+    113000 uses
+    113100 uses
+    113200 uses
+    113300 uses
+    113400 uses
+    113500 uses
+    113600 uses
+    113700 uses
+    113800 uses
+    113900 uses
+    114000 uses
+    114100 uses
+    114200 uses
+    114300 uses
+    114400 uses
+    114500 uses
+    114600 uses
+    114700 uses
+    114800 uses
+    114900 uses
+    115000 uses
+    115100 uses
+    115200 uses
+    115300 uses
+    115400 uses
+    115500 uses
+    115600 uses
+    115700 uses
+    115800 uses
+    115900 uses
+    116000 uses
+    116100 uses
+    116200 uses
+    116300 uses
+    116400 uses
+    116500 uses
+    116600 uses
+    116700 uses
+    116800 uses
+    116900 uses
+    117000 uses
+    117100 uses
+    117200 uses
+    117300 uses
+    117400 uses
+    117500 uses
+    117600 uses
+    117700 uses
+    117800 uses
+    117900 uses
+    118000 uses
+    118100 uses
+    118200 uses
+    118300 uses
+    118400 uses
+    118500 uses
+    118600 uses
+    118700 uses
+    118800 uses
+    118900 uses
+    119000 uses
+    119100 uses
+    119200 uses
+    119300 uses
+    119400 uses
+    119500 uses
+    119600 uses
+    119700 uses
+    119800 uses
+    119900 uses
+    120000 uses
+    120100 uses
+    120200 uses
+    120300 uses
+    120400 uses
+    120500 uses
+    120600 uses
+    120700 uses
+    120800 uses
+    120900 uses
+    121000 uses
+    121100 uses
+    121200 uses
+    121300 uses
+    121400 uses
+    121500 uses
+    121600 uses
+    121700 uses
+    121800 uses
+    121900 uses
+    122000 uses
+    122100 uses
+    122200 uses
+    122300 uses
+    122400 uses
+    122500 uses
+    122600 uses
+    122700 uses
+    122800 uses
+    122900 uses
+    123000 uses
+    123100 uses
+    123200 uses
+    123300 uses
+    123400 uses
+    123500 uses
+    123600 uses
+    123700 uses
+    123800 uses
+    123900 uses
+    124000 uses
+    124100 uses
+    124200 uses
+    124300 uses
+    124400 uses
+    124500 uses
+    124600 uses
+    124700 uses
+    124800 uses
+    124900 uses
+    125000 uses
+    125100 uses
+    125200 uses
+    125300 uses
+    125400 uses
+    125500 uses
+    125600 uses
+    125700 uses
+    125800 uses
+    125900 uses
+    126000 uses
+    126100 uses
+    126200 uses
+    126300 uses
+    126400 uses
+    126500 uses
+    126600 uses
+    126700 uses
+    126800 uses
+    126900 uses
+    127000 uses
+    127100 uses
+    127200 uses
+    127300 uses
+    127400 uses
+    127500 uses
+    127600 uses
+    127700 uses
+    127800 uses
+    127900 uses
+    128000 uses
+    128100 uses
+    128200 uses
+    128300 uses
+    128400 uses
+    128500 uses
+    128600 uses
+    128700 uses
+    128800 uses
+    128900 uses
+    129000 uses
+    129100 uses
+    129200 uses
+    129300 uses
+    129400 uses
+    129500 uses
+    129600 uses
+    129700 uses
+    129800 uses
+    129900 uses
+    130000 uses
+    130100 uses
+    130200 uses
+    130300 uses
+    130400 uses
+    130500 uses
+    130600 uses
+    130700 uses
+    130800 uses
+    130900 uses
+    131000 uses
+    131100 uses
+    131200 uses
+    131300 uses
+    131400 uses
+    131500 uses
+    131600 uses
+    131700 uses
+    131800 uses
+    131900 uses
+    132000 uses
+    132100 uses
+    132200 uses
+    132300 uses
+    132400 uses
+    132500 uses
+    132600 uses
+    132700 uses
+    132800 uses
+    132900 uses
+    133000 uses
+    133100 uses
+    133200 uses
+    133300 uses
+    133400 uses
+    133500 uses
+    133600 uses
+    133700 uses
+    133800 uses
+    133900 uses
+    134000 uses
+    134100 uses
+    134200 uses
+    134300 uses
+    134400 uses
+    134500 uses
+    134600 uses
+    134700 uses
+    134800 uses
+    134900 uses
+    135000 uses
+    135100 uses
+    135200 uses
+    135300 uses
+    135400 uses
+    135500 uses
+    135600 uses
+    135700 uses
+    135800 uses
+    135900 uses
+    136000 uses
+    136100 uses
+    136200 uses
+    136300 uses
+    136400 uses
+    136500 uses
+    136600 uses
+    136700 uses
+    136800 uses
+    136900 uses
+    137000 uses
+    137100 uses
+    137200 uses
+    137300 uses
+    137400 uses
+    137500 uses
+    137600 uses
+    137700 uses
+    137800 uses
+    137900 uses
+    138000 uses
+    138100 uses
+    138200 uses
+    138300 uses
+    138400 uses
+    138500 uses
+    138600 uses
+    138700 uses
+    138800 uses
+    138900 uses
+    139000 uses
+    139100 uses
+    139200 uses
+    139300 uses
+    139400 uses
+    139500 uses
+    139600 uses
+    139700 uses
+    139800 uses
+    139900 uses
+    140000 uses
+    140100 uses
+    140200 uses
+    140300 uses
+    140400 uses
+    140500 uses
+    140600 uses
+    140700 uses
+    140800 uses
+    140900 uses
+    141000 uses
+    141100 uses
+    141200 uses
+    141300 uses
+    141400 uses
+    141500 uses
+    141600 uses
+    141700 uses
+    141800 uses
+    141900 uses
+    142000 uses
+    142100 uses
+    142200 uses
+    142300 uses
+    142400 uses
+    142500 uses
+    142600 uses
+    142700 uses
+    142800 uses
+    142900 uses
+    143000 uses
+    143100 uses
+    143200 uses
+    143300 uses
+    143400 uses
+    143500 uses
+    143600 uses
+    143700 uses
+    143800 uses
+    143900 uses
+    144000 uses
+    144100 uses
+    144200 uses
+    144300 uses
+    144400 uses
+    144500 uses
+    144600 uses
+    144700 uses
+    144800 uses
+    144900 uses
+    145000 uses
+    145100 uses
+    145200 uses
+    145300 uses
+    145400 uses
+    145500 uses
+    145600 uses
+    145700 uses
+    145800 uses
+    145900 uses
+    146000 uses
+    146100 uses
+    146200 uses
+    146300 uses
+    146400 uses
+    146500 uses
+    146600 uses
+    146700 uses
+    146800 uses
+    146900 uses
+    147000 uses
+    147100 uses
+    147200 uses
+    147300 uses
+    147400 uses
+    147500 uses
+    147600 uses
+    147700 uses
+    147800 uses
+    147900 uses
+    148000 uses
+    148100 uses
+    148200 uses
+    148300 uses
+    148400 uses
+    148500 uses
+    148600 uses
+    148700 uses
+    148800 uses
+    148900 uses
+    149000 uses
+    149100 uses
+    149200 uses
+    149300 uses
+    149400 uses
+    149500 uses
+    149600 uses
+    149700 uses
+    149800 uses
+    149900 uses
+    150000 uses
+    150100 uses
+    150200 uses
+    150300 uses
+    150400 uses
+    150500 uses
+    150600 uses
+    150700 uses
+    150800 uses
+    150900 uses
+    151000 uses
+    151100 uses
+    151200 uses
+    151300 uses
+    151400 uses
+    151500 uses
+    151600 uses
+    151700 uses
+    151800 uses
+    151900 uses
+    152000 uses
+    152100 uses
+    152200 uses
+    152300 uses
+    152400 uses
+    152500 uses
+    152600 uses
+    152700 uses
+    152800 uses
+    152900 uses
+    153000 uses
+    153100 uses
+    153200 uses
+    153300 uses
+    153400 uses
+    153500 uses
+    153600 uses
+    153700 uses
+    153800 uses
+    153900 uses
+    154000 uses
+    154100 uses
+    154200 uses
+    154300 uses
+    154400 uses
+    154500 uses
+    154600 uses
+    154700 uses
+    154800 uses
+    154900 uses
+    155000 uses
+    155100 uses
+    155200 uses
+    155300 uses
+    155400 uses
+    155500 uses
+    155600 uses
+    155700 uses
+    155800 uses
+    155900 uses
+    156000 uses
+    156100 uses
+    156200 uses
+    156300 uses
+    156400 uses
+    156500 uses
+    156600 uses
+    156700 uses
+    156800 uses
+    156900 uses
+    157000 uses
+    157100 uses
+    157200 uses
+    157300 uses
+    157400 uses
+    157500 uses
+    157600 uses
+    157700 uses
+    157800 uses
+    157900 uses
+    158000 uses
+    158100 uses
+    158200 uses
+    158300 uses
+    158400 uses
+    158500 uses
+    158600 uses
+    158700 uses
+    158800 uses
+    158900 uses
+    159000 uses
+    159100 uses
+    159200 uses
+    159300 uses
+    159400 uses
+    159500 uses
+    159600 uses
+    159700 uses
+    159800 uses
+    159900 uses
+    160000 uses
+    160100 uses
+    160200 uses
+    160300 uses
+    160400 uses
+    160500 uses
+    160600 uses
+    160700 uses
+    160800 uses
+    160900 uses
+    161000 uses
+    161100 uses
+    161200 uses
+    161300 uses
+    161400 uses
+    161500 uses
+    161600 uses
+    161700 uses
+    161800 uses
+    161900 uses
+    162000 uses
+    162100 uses
+    162200 uses
+    162300 uses
+    162400 uses
+    162500 uses
+    162600 uses
+    162700 uses
+    162800 uses
+    162900 uses
+    163000 uses
+    163100 uses
+    163200 uses
+    163300 uses
+    163400 uses
+    163500 uses
+    163600 uses
+    163700 uses
+    163800 uses
+    163900 uses
+    164000 uses
+    164100 uses
+    164200 uses
+    164300 uses
+    164400 uses
+    164500 uses
+    164600 uses
+    164700 uses
+    164800 uses
+    164900 uses
+    165000 uses
+    165100 uses
+    165200 uses
+    165300 uses
+    165400 uses
+    165500 uses
+    165600 uses
+    165700 uses
+    165800 uses
+    165900 uses
+    166000 uses
+    166100 uses
+    166200 uses
+    166300 uses
+    166400 uses
+    166500 uses
+    166600 uses
+    166700 uses
+    166800 uses
+    166900 uses
+    167000 uses
+    167100 uses
+    167200 uses
+    167300 uses
+    167400 uses
+    167500 uses
+    167600 uses
+    167700 uses
+    167800 uses
+    167900 uses
+    168000 uses
+    168100 uses
+    168200 uses
+    168300 uses
+    168400 uses
+    168500 uses
+    168600 uses
+    168700 uses
+    168800 uses
+    168900 uses
+    169000 uses
+    169100 uses
+    169200 uses
+    169300 uses
+    169400 uses
+    169500 uses
+    169600 uses
+    169700 uses
+    169800 uses
+    169900 uses
+    170000 uses
+    170100 uses
+    170200 uses
+    170300 uses
+    170400 uses
+    170500 uses
+    170600 uses
+    170700 uses
+    170800 uses
+    170900 uses
+    171000 uses
+    171100 uses
+    171200 uses
+    171300 uses
+    171400 uses
+    171500 uses
+    171600 uses
+    171700 uses
+    171800 uses
+    171900 uses
+    172000 uses
+    172100 uses
+    172200 uses
+    172300 uses
+    172400 uses
+    172500 uses
+    172600 uses
+    172700 uses
+    172800 uses
+    172900 uses
+    173000 uses
+    173100 uses
+    173200 uses
+    173300 uses
+    173400 uses
+    173500 uses
+    173600 uses
+    173700 uses
+    173800 uses
+    173900 uses
+    174000 uses
+    174100 uses
+    174200 uses
+    174300 uses
+    174400 uses
+    174500 uses
+    174600 uses
+    174700 uses
+    174800 uses
+    174900 uses
+    175000 uses
+    175100 uses
+    175200 uses
+    175300 uses
+    175400 uses
+    175500 uses
+    175600 uses
+    175700 uses
+    175800 uses
+    175900 uses
+    176000 uses
+    176100 uses
+    176200 uses
+    176300 uses
+    176400 uses
+    176500 uses
+    176600 uses
+Reading "sky130_fd_sc_hd__mux2_1".
+Reading "clk_skew_adjust".
+Reading "sky130_ef_sc_hd__fakediode_2".
+Reading "wb_host".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+Reading "sdrc_top".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+Reading "sky130_fd_sc_hd__inv_4".
+Reading "uart_core".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+Reading "wb_interconnect".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+    35000 uses
+    35100 uses
+    35200 uses
+    35300 uses
+    35400 uses
+    35500 uses
+    35600 uses
+    35700 uses
+    35800 uses
+    35900 uses
+Reading "glbl_cfg".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+Reading "sky130_fd_sc_hd__o41a_4".
+Reading "spim_top".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+Reading "user_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   20.00 x 3520.00  (-20.00,  0.00 ), (  0.00,  3520.00)  70400.00  
+lambda:   2000.00 x 352000.00  (-2000.00,  0.00 ), (  0.00,  352000.00)  704000000.00
+internal:   4000 x 704000  ( -4000,  0    ), (     0,  704000)  2816000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   20.00 x 3520.00  ( 2920.00,  0.00 ), ( 2940.00,  3520.00)  70400.00  
+lambda:   2000.00 x 352000.00  ( 292000.00,  0.00 ), ( 294000.00,  352000.00)  704000000.00
+internal:   4000 x 704000  ( 584000,  0    ), ( 588000,  704000)  2816000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  2960.00 x 20.00   (-20.00, -20.00), ( 2940.00,  0.00 )  59200.00  
+lambda:   296000.00 x 2000.00  (-2000.00, -2000.00), ( 294000.00,  0.00 )  592000000.00
+internal: 592000 x 4000    ( -4000, -4000 ), ( 588000,  0    )  2368000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  2960.00 x 20.00   (-20.00,  3520.00), ( 2940.00,  3540.00)  59200.00  
+lambda:   296000.00 x 2000.00  (-2000.00,  352000.00), ( 294000.00,  354000.00)  592000000.00
+internal: 592000 x 4000    ( -4000,  704000), ( 588000,  708000)  2368000000
+   Generating output for cell xor_target
+/home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_erased.gds
diff --git a/checks/erase_box_user_project_wrapper_empty.gds.log b/checks/erase_box_user_project_wrapper_empty.gds.log
new file mode 100644
index 0000000..a2e47b6
--- /dev/null
+++ b/checks/erase_box_user_project_wrapper_empty.gds.log
@@ -0,0 +1,45 @@
+/home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty.gds /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty_erased.gds user_project_wrapper
+
+Magic 8.3 revision 182 - Compiled on Fri Jun 25 23:58:13 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.116-4-g522a373
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "user_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   20.00 x 3520.00  (-20.00,  0.00 ), (  0.00,  3520.00)  70400.00  
+lambda:   2000.00 x 352000.00  (-2000.00,  0.00 ), (  0.00,  352000.00)  704000000.00
+internal:   4000 x 704000  ( -4000,  0    ), (     0,  704000)  2816000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   20.00 x 3520.00  ( 2920.00,  0.00 ), ( 2940.00,  3520.00)  70400.00  
+lambda:   2000.00 x 352000.00  ( 292000.00,  0.00 ), ( 294000.00,  352000.00)  704000000.00
+internal:   4000 x 704000  ( 584000,  0    ), ( 588000,  704000)  2816000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  2960.00 x 20.00   (-20.00, -20.00), ( 2940.00,  0.00 )  59200.00  
+lambda:   296000.00 x 2000.00  (-2000.00, -2000.00), ( 294000.00,  0.00 )  592000000.00
+internal: 592000 x 4000    ( -4000, -4000 ), ( 588000,  0    )  2368000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  2960.00 x 20.00   (-20.00,  3520.00), ( 2940.00,  3540.00)  59200.00  
+lambda:   296000.00 x 2000.00  (-2000.00,  352000.00), ( 294000.00,  354000.00)  592000000.00
+internal: 592000 x 4000    ( -4000,  704000), ( 588000,  708000)  2368000000
+   Generating output for cell xor_target
+/home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty_erased.gds
diff --git a/checks/full_log.log b/checks/full_log.log
index 912e28a..2484dda 100644
--- a/checks/full_log.log
+++ b/checks/full_log.log
@@ -3,7 +3,7 @@
 Step 0 done without fatal errors.
  Executing Step 1 of 8: Project License Check
 {{LICENSE COMPLIANCE PASSED}} Apache-2.0 LICENSE file was found in project root
- SPDX COMPLIANCE Found 503 non-compliant files with the SPDX Standard. Check full log for more information
+ SPDX COMPLIANCE Found 691 non-compliant files with the SPDX Standard. Check full log for more information
 SPDX COMPLIANCE: NON-COMPLIANT FILES PREVIEW: ['/home/dinesha/workarea/opencore/git/yifive_r0/a', '/home/dinesha/workarea/opencore/git/yifive_r0/README.md', '/home/dinesha/workarea/opencore/git/yifive_r0/test.v', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/default.cvcrc', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/config.tcl', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/magic_spice.tcl', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/opt.lib', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/trimmed.lib', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/tracks_copy.info', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/synthesis/hierarchy.dot', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/synthesis/yosys.sdc', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/20-fastroute_4.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/24-tritonRoute.param', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/17-fastroute.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/21-fastroute_5.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/24-tritonRoute.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/19-fastroute_3.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/routing/18-fastroute_2.guide', '/home/dinesha/workarea/opencore/git/yifive_r0/openlane/syntacore/runs/syntacore/tmp/magic/sky130_fd_sc_hd__conb_1.ext']
  Executing Step 2 of 8: YAML File Check
  YAML file valid!
diff --git a/checks/magic_drc.log b/checks/magic_drc.log
new file mode 100644
index 0000000..7f275df
--- /dev/null
+++ b/checks/magic_drc.log
@@ -0,0 +1,3279 @@
+
+Magic 8.3 revision 182 - Compiled on Fri Jun 25 23:58:13 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/usr/local/bin/drc_checks/magic_drc_check.tcl" from command line.
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__decap_8".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__o22a_4".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__clkbuf_1".
+Reading "sky130_fd_sc_hd__buf_2".
+Reading "sky130_fd_sc_hd__and2_4".
+Reading "sky130_fd_sc_hd__o21a_4".
+Reading "sky130_fd_sc_hd__or2_4".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__and3_4".
+Reading "sky130_fd_sc_hd__dfxtp_4".
+Reading "sky130_fd_sc_hd__conb_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "sky130_fd_sc_hd__a2bb2o_4".
+Reading "sky130_fd_sc_hd__diode_2".
+Reading "sky130_fd_sc_hd__dfrtp_4".
+Reading "sky130_fd_sc_hd__a211o_4".
+Reading "sky130_fd_sc_hd__a21o_4".
+Reading "sky130_fd_sc_hd__a21boi_4".
+Reading "sky130_fd_sc_hd__or4_4".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__buf_4".
+Reading "sky130_fd_sc_hd__a21oi_4".
+Reading "sky130_fd_sc_hd__and4_4".
+Reading "sky130_fd_sc_hd__dfstp_4".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__nand2_4".
+Reading "sky130_fd_sc_hd__a22oi_4".
+Reading "sky130_fd_sc_hd__a2111o_4".
+Reading "sky130_fd_sc_hd__buf_8".
+Reading "sky130_fd_sc_hd__o32a_4".
+Reading "sky130_fd_sc_hd__clkbuf_16".
+Reading "sky130_fd_sc_hd__a21bo_4".
+Reading "sky130_fd_sc_hd__o21ai_4".
+Reading "scr1_top_wb".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+    35000 uses
+    35100 uses
+    35200 uses
+    35300 uses
+    35400 uses
+    35500 uses
+    35600 uses
+    35700 uses
+    35800 uses
+    35900 uses
+    36000 uses
+    36100 uses
+    36200 uses
+    36300 uses
+    36400 uses
+    36500 uses
+    36600 uses
+    36700 uses
+    36800 uses
+    36900 uses
+    37000 uses
+    37100 uses
+    37200 uses
+    37300 uses
+    37400 uses
+    37500 uses
+    37600 uses
+    37700 uses
+    37800 uses
+    37900 uses
+    38000 uses
+    38100 uses
+    38200 uses
+    38300 uses
+    38400 uses
+    38500 uses
+    38600 uses
+    38700 uses
+    38800 uses
+    38900 uses
+    39000 uses
+    39100 uses
+    39200 uses
+    39300 uses
+    39400 uses
+    39500 uses
+    39600 uses
+    39700 uses
+    39800 uses
+    39900 uses
+    40000 uses
+    40100 uses
+    40200 uses
+    40300 uses
+    40400 uses
+    40500 uses
+    40600 uses
+    40700 uses
+    40800 uses
+    40900 uses
+    41000 uses
+    41100 uses
+    41200 uses
+    41300 uses
+    41400 uses
+    41500 uses
+    41600 uses
+    41700 uses
+    41800 uses
+    41900 uses
+    42000 uses
+    42100 uses
+    42200 uses
+    42300 uses
+    42400 uses
+    42500 uses
+    42600 uses
+    42700 uses
+    42800 uses
+    42900 uses
+    43000 uses
+    43100 uses
+    43200 uses
+    43300 uses
+    43400 uses
+    43500 uses
+    43600 uses
+    43700 uses
+    43800 uses
+    43900 uses
+    44000 uses
+    44100 uses
+    44200 uses
+    44300 uses
+    44400 uses
+    44500 uses
+    44600 uses
+    44700 uses
+    44800 uses
+    44900 uses
+    45000 uses
+    45100 uses
+    45200 uses
+    45300 uses
+    45400 uses
+    45500 uses
+    45600 uses
+    45700 uses
+    45800 uses
+    45900 uses
+    46000 uses
+    46100 uses
+    46200 uses
+    46300 uses
+    46400 uses
+    46500 uses
+    46600 uses
+    46700 uses
+    46800 uses
+    46900 uses
+    47000 uses
+    47100 uses
+    47200 uses
+    47300 uses
+    47400 uses
+    47500 uses
+    47600 uses
+    47700 uses
+    47800 uses
+    47900 uses
+    48000 uses
+    48100 uses
+    48200 uses
+    48300 uses
+    48400 uses
+    48500 uses
+    48600 uses
+    48700 uses
+    48800 uses
+    48900 uses
+    49000 uses
+    49100 uses
+    49200 uses
+    49300 uses
+    49400 uses
+    49500 uses
+    49600 uses
+    49700 uses
+    49800 uses
+    49900 uses
+    50000 uses
+    50100 uses
+    50200 uses
+    50300 uses
+    50400 uses
+    50500 uses
+    50600 uses
+    50700 uses
+    50800 uses
+    50900 uses
+    51000 uses
+    51100 uses
+    51200 uses
+    51300 uses
+    51400 uses
+    51500 uses
+    51600 uses
+    51700 uses
+    51800 uses
+    51900 uses
+    52000 uses
+    52100 uses
+    52200 uses
+    52300 uses
+    52400 uses
+    52500 uses
+    52600 uses
+    52700 uses
+    52800 uses
+    52900 uses
+    53000 uses
+    53100 uses
+    53200 uses
+    53300 uses
+    53400 uses
+    53500 uses
+    53600 uses
+    53700 uses
+    53800 uses
+    53900 uses
+    54000 uses
+    54100 uses
+    54200 uses
+    54300 uses
+    54400 uses
+    54500 uses
+    54600 uses
+    54700 uses
+    54800 uses
+    54900 uses
+    55000 uses
+    55100 uses
+    55200 uses
+    55300 uses
+    55400 uses
+    55500 uses
+    55600 uses
+    55700 uses
+    55800 uses
+    55900 uses
+    56000 uses
+    56100 uses
+    56200 uses
+    56300 uses
+    56400 uses
+    56500 uses
+    56600 uses
+    56700 uses
+    56800 uses
+    56900 uses
+    57000 uses
+    57100 uses
+    57200 uses
+    57300 uses
+    57400 uses
+    57500 uses
+    57600 uses
+    57700 uses
+    57800 uses
+    57900 uses
+    58000 uses
+    58100 uses
+    58200 uses
+    58300 uses
+    58400 uses
+    58500 uses
+    58600 uses
+    58700 uses
+    58800 uses
+    58900 uses
+    59000 uses
+    59100 uses
+    59200 uses
+    59300 uses
+    59400 uses
+    59500 uses
+    59600 uses
+    59700 uses
+    59800 uses
+    59900 uses
+    60000 uses
+    60100 uses
+    60200 uses
+    60300 uses
+    60400 uses
+    60500 uses
+    60600 uses
+    60700 uses
+    60800 uses
+    60900 uses
+    61000 uses
+    61100 uses
+    61200 uses
+    61300 uses
+    61400 uses
+    61500 uses
+    61600 uses
+    61700 uses
+    61800 uses
+    61900 uses
+    62000 uses
+    62100 uses
+    62200 uses
+    62300 uses
+    62400 uses
+    62500 uses
+    62600 uses
+    62700 uses
+    62800 uses
+    62900 uses
+    63000 uses
+    63100 uses
+    63200 uses
+    63300 uses
+    63400 uses
+    63500 uses
+    63600 uses
+    63700 uses
+    63800 uses
+    63900 uses
+    64000 uses
+    64100 uses
+    64200 uses
+    64300 uses
+    64400 uses
+    64500 uses
+    64600 uses
+    64700 uses
+    64800 uses
+    64900 uses
+    65000 uses
+    65100 uses
+    65200 uses
+    65300 uses
+    65400 uses
+    65500 uses
+    65600 uses
+    65700 uses
+    65800 uses
+    65900 uses
+    66000 uses
+    66100 uses
+    66200 uses
+    66300 uses
+    66400 uses
+    66500 uses
+    66600 uses
+    66700 uses
+    66800 uses
+    66900 uses
+    67000 uses
+    67100 uses
+    67200 uses
+    67300 uses
+    67400 uses
+    67500 uses
+    67600 uses
+    67700 uses
+    67800 uses
+    67900 uses
+    68000 uses
+    68100 uses
+    68200 uses
+    68300 uses
+    68400 uses
+    68500 uses
+    68600 uses
+    68700 uses
+    68800 uses
+    68900 uses
+    69000 uses
+    69100 uses
+    69200 uses
+    69300 uses
+    69400 uses
+    69500 uses
+    69600 uses
+    69700 uses
+    69800 uses
+    69900 uses
+    70000 uses
+    70100 uses
+    70200 uses
+    70300 uses
+    70400 uses
+    70500 uses
+    70600 uses
+    70700 uses
+    70800 uses
+    70900 uses
+    71000 uses
+    71100 uses
+    71200 uses
+    71300 uses
+    71400 uses
+    71500 uses
+    71600 uses
+    71700 uses
+    71800 uses
+    71900 uses
+    72000 uses
+    72100 uses
+    72200 uses
+    72300 uses
+    72400 uses
+    72500 uses
+    72600 uses
+    72700 uses
+    72800 uses
+    72900 uses
+    73000 uses
+    73100 uses
+    73200 uses
+    73300 uses
+    73400 uses
+    73500 uses
+    73600 uses
+    73700 uses
+    73800 uses
+    73900 uses
+    74000 uses
+    74100 uses
+    74200 uses
+    74300 uses
+    74400 uses
+    74500 uses
+    74600 uses
+    74700 uses
+    74800 uses
+    74900 uses
+    75000 uses
+    75100 uses
+    75200 uses
+    75300 uses
+    75400 uses
+    75500 uses
+    75600 uses
+    75700 uses
+    75800 uses
+    75900 uses
+    76000 uses
+    76100 uses
+    76200 uses
+    76300 uses
+    76400 uses
+    76500 uses
+    76600 uses
+    76700 uses
+    76800 uses
+    76900 uses
+    77000 uses
+    77100 uses
+    77200 uses
+    77300 uses
+    77400 uses
+    77500 uses
+    77600 uses
+    77700 uses
+    77800 uses
+    77900 uses
+    78000 uses
+    78100 uses
+    78200 uses
+    78300 uses
+    78400 uses
+    78500 uses
+    78600 uses
+    78700 uses
+    78800 uses
+    78900 uses
+    79000 uses
+    79100 uses
+    79200 uses
+    79300 uses
+    79400 uses
+    79500 uses
+    79600 uses
+    79700 uses
+    79800 uses
+    79900 uses
+    80000 uses
+    80100 uses
+    80200 uses
+    80300 uses
+    80400 uses
+    80500 uses
+    80600 uses
+    80700 uses
+    80800 uses
+    80900 uses
+    81000 uses
+    81100 uses
+    81200 uses
+    81300 uses
+    81400 uses
+    81500 uses
+    81600 uses
+    81700 uses
+    81800 uses
+    81900 uses
+    82000 uses
+    82100 uses
+    82200 uses
+    82300 uses
+    82400 uses
+    82500 uses
+    82600 uses
+    82700 uses
+    82800 uses
+    82900 uses
+    83000 uses
+    83100 uses
+    83200 uses
+    83300 uses
+    83400 uses
+    83500 uses
+    83600 uses
+    83700 uses
+    83800 uses
+    83900 uses
+    84000 uses
+    84100 uses
+    84200 uses
+    84300 uses
+    84400 uses
+    84500 uses
+    84600 uses
+    84700 uses
+    84800 uses
+    84900 uses
+    85000 uses
+    85100 uses
+    85200 uses
+    85300 uses
+    85400 uses
+    85500 uses
+    85600 uses
+    85700 uses
+    85800 uses
+    85900 uses
+    86000 uses
+    86100 uses
+    86200 uses
+    86300 uses
+    86400 uses
+    86500 uses
+    86600 uses
+    86700 uses
+    86800 uses
+    86900 uses
+    87000 uses
+    87100 uses
+    87200 uses
+    87300 uses
+    87400 uses
+    87500 uses
+    87600 uses
+    87700 uses
+    87800 uses
+    87900 uses
+    88000 uses
+    88100 uses
+    88200 uses
+    88300 uses
+    88400 uses
+    88500 uses
+    88600 uses
+    88700 uses
+    88800 uses
+    88900 uses
+    89000 uses
+    89100 uses
+    89200 uses
+    89300 uses
+    89400 uses
+    89500 uses
+    89600 uses
+    89700 uses
+    89800 uses
+    89900 uses
+    90000 uses
+    90100 uses
+    90200 uses
+    90300 uses
+    90400 uses
+    90500 uses
+    90600 uses
+    90700 uses
+    90800 uses
+    90900 uses
+    91000 uses
+    91100 uses
+    91200 uses
+    91300 uses
+    91400 uses
+    91500 uses
+    91600 uses
+    91700 uses
+    91800 uses
+    91900 uses
+    92000 uses
+    92100 uses
+    92200 uses
+    92300 uses
+    92400 uses
+    92500 uses
+    92600 uses
+    92700 uses
+    92800 uses
+    92900 uses
+    93000 uses
+    93100 uses
+    93200 uses
+    93300 uses
+    93400 uses
+    93500 uses
+    93600 uses
+    93700 uses
+    93800 uses
+    93900 uses
+    94000 uses
+    94100 uses
+    94200 uses
+    94300 uses
+    94400 uses
+    94500 uses
+    94600 uses
+    94700 uses
+    94800 uses
+    94900 uses
+    95000 uses
+    95100 uses
+    95200 uses
+    95300 uses
+    95400 uses
+    95500 uses
+    95600 uses
+    95700 uses
+    95800 uses
+    95900 uses
+    96000 uses
+    96100 uses
+    96200 uses
+    96300 uses
+    96400 uses
+    96500 uses
+    96600 uses
+    96700 uses
+    96800 uses
+    96900 uses
+    97000 uses
+    97100 uses
+    97200 uses
+    97300 uses
+    97400 uses
+    97500 uses
+    97600 uses
+    97700 uses
+    97800 uses
+    97900 uses
+    98000 uses
+    98100 uses
+    98200 uses
+    98300 uses
+    98400 uses
+    98500 uses
+    98600 uses
+    98700 uses
+    98800 uses
+    98900 uses
+    99000 uses
+    99100 uses
+    99200 uses
+    99300 uses
+    99400 uses
+    99500 uses
+    99600 uses
+    99700 uses
+    99800 uses
+    99900 uses
+    100000 uses
+    100100 uses
+    100200 uses
+    100300 uses
+    100400 uses
+    100500 uses
+    100600 uses
+    100700 uses
+    100800 uses
+    100900 uses
+    101000 uses
+    101100 uses
+    101200 uses
+    101300 uses
+    101400 uses
+    101500 uses
+    101600 uses
+    101700 uses
+    101800 uses
+    101900 uses
+    102000 uses
+    102100 uses
+    102200 uses
+    102300 uses
+    102400 uses
+    102500 uses
+    102600 uses
+    102700 uses
+    102800 uses
+    102900 uses
+    103000 uses
+    103100 uses
+    103200 uses
+    103300 uses
+    103400 uses
+    103500 uses
+    103600 uses
+    103700 uses
+    103800 uses
+    103900 uses
+    104000 uses
+    104100 uses
+    104200 uses
+    104300 uses
+    104400 uses
+    104500 uses
+    104600 uses
+    104700 uses
+    104800 uses
+    104900 uses
+    105000 uses
+    105100 uses
+    105200 uses
+    105300 uses
+    105400 uses
+    105500 uses
+    105600 uses
+    105700 uses
+    105800 uses
+    105900 uses
+    106000 uses
+    106100 uses
+    106200 uses
+    106300 uses
+    106400 uses
+    106500 uses
+    106600 uses
+    106700 uses
+    106800 uses
+    106900 uses
+    107000 uses
+    107100 uses
+    107200 uses
+    107300 uses
+    107400 uses
+    107500 uses
+    107600 uses
+    107700 uses
+    107800 uses
+    107900 uses
+    108000 uses
+    108100 uses
+    108200 uses
+    108300 uses
+    108400 uses
+    108500 uses
+    108600 uses
+    108700 uses
+    108800 uses
+    108900 uses
+    109000 uses
+    109100 uses
+    109200 uses
+    109300 uses
+    109400 uses
+    109500 uses
+    109600 uses
+    109700 uses
+    109800 uses
+    109900 uses
+    110000 uses
+    110100 uses
+    110200 uses
+    110300 uses
+    110400 uses
+    110500 uses
+    110600 uses
+    110700 uses
+    110800 uses
+    110900 uses
+    111000 uses
+    111100 uses
+    111200 uses
+    111300 uses
+    111400 uses
+    111500 uses
+    111600 uses
+    111700 uses
+    111800 uses
+    111900 uses
+    112000 uses
+    112100 uses
+    112200 uses
+    112300 uses
+    112400 uses
+    112500 uses
+    112600 uses
+    112700 uses
+    112800 uses
+    112900 uses
+    113000 uses
+    113100 uses
+    113200 uses
+    113300 uses
+    113400 uses
+    113500 uses
+    113600 uses
+    113700 uses
+    113800 uses
+    113900 uses
+    114000 uses
+    114100 uses
+    114200 uses
+    114300 uses
+    114400 uses
+    114500 uses
+    114600 uses
+    114700 uses
+    114800 uses
+    114900 uses
+    115000 uses
+    115100 uses
+    115200 uses
+    115300 uses
+    115400 uses
+    115500 uses
+    115600 uses
+    115700 uses
+    115800 uses
+    115900 uses
+    116000 uses
+    116100 uses
+    116200 uses
+    116300 uses
+    116400 uses
+    116500 uses
+    116600 uses
+    116700 uses
+    116800 uses
+    116900 uses
+    117000 uses
+    117100 uses
+    117200 uses
+    117300 uses
+    117400 uses
+    117500 uses
+    117600 uses
+    117700 uses
+    117800 uses
+    117900 uses
+    118000 uses
+    118100 uses
+    118200 uses
+    118300 uses
+    118400 uses
+    118500 uses
+    118600 uses
+    118700 uses
+    118800 uses
+    118900 uses
+    119000 uses
+    119100 uses
+    119200 uses
+    119300 uses
+    119400 uses
+    119500 uses
+    119600 uses
+    119700 uses
+    119800 uses
+    119900 uses
+    120000 uses
+    120100 uses
+    120200 uses
+    120300 uses
+    120400 uses
+    120500 uses
+    120600 uses
+    120700 uses
+    120800 uses
+    120900 uses
+    121000 uses
+    121100 uses
+    121200 uses
+    121300 uses
+    121400 uses
+    121500 uses
+    121600 uses
+    121700 uses
+    121800 uses
+    121900 uses
+    122000 uses
+    122100 uses
+    122200 uses
+    122300 uses
+    122400 uses
+    122500 uses
+    122600 uses
+    122700 uses
+    122800 uses
+    122900 uses
+    123000 uses
+    123100 uses
+    123200 uses
+    123300 uses
+    123400 uses
+    123500 uses
+    123600 uses
+    123700 uses
+    123800 uses
+    123900 uses
+    124000 uses
+    124100 uses
+    124200 uses
+    124300 uses
+    124400 uses
+    124500 uses
+    124600 uses
+    124700 uses
+    124800 uses
+    124900 uses
+    125000 uses
+    125100 uses
+    125200 uses
+    125300 uses
+    125400 uses
+    125500 uses
+    125600 uses
+    125700 uses
+    125800 uses
+    125900 uses
+    126000 uses
+    126100 uses
+    126200 uses
+    126300 uses
+    126400 uses
+    126500 uses
+    126600 uses
+    126700 uses
+    126800 uses
+    126900 uses
+    127000 uses
+    127100 uses
+    127200 uses
+    127300 uses
+    127400 uses
+    127500 uses
+    127600 uses
+    127700 uses
+    127800 uses
+    127900 uses
+    128000 uses
+    128100 uses
+    128200 uses
+    128300 uses
+    128400 uses
+    128500 uses
+    128600 uses
+    128700 uses
+    128800 uses
+    128900 uses
+    129000 uses
+    129100 uses
+    129200 uses
+    129300 uses
+    129400 uses
+    129500 uses
+    129600 uses
+    129700 uses
+    129800 uses
+    129900 uses
+    130000 uses
+    130100 uses
+    130200 uses
+    130300 uses
+    130400 uses
+    130500 uses
+    130600 uses
+    130700 uses
+    130800 uses
+    130900 uses
+    131000 uses
+    131100 uses
+    131200 uses
+    131300 uses
+    131400 uses
+    131500 uses
+    131600 uses
+    131700 uses
+    131800 uses
+    131900 uses
+    132000 uses
+    132100 uses
+    132200 uses
+    132300 uses
+    132400 uses
+    132500 uses
+    132600 uses
+    132700 uses
+    132800 uses
+    132900 uses
+    133000 uses
+    133100 uses
+    133200 uses
+    133300 uses
+    133400 uses
+    133500 uses
+    133600 uses
+    133700 uses
+    133800 uses
+    133900 uses
+    134000 uses
+    134100 uses
+    134200 uses
+    134300 uses
+    134400 uses
+    134500 uses
+    134600 uses
+    134700 uses
+    134800 uses
+    134900 uses
+    135000 uses
+    135100 uses
+    135200 uses
+    135300 uses
+    135400 uses
+    135500 uses
+    135600 uses
+    135700 uses
+    135800 uses
+    135900 uses
+    136000 uses
+    136100 uses
+    136200 uses
+    136300 uses
+    136400 uses
+    136500 uses
+    136600 uses
+    136700 uses
+    136800 uses
+    136900 uses
+    137000 uses
+    137100 uses
+    137200 uses
+    137300 uses
+    137400 uses
+    137500 uses
+    137600 uses
+    137700 uses
+    137800 uses
+    137900 uses
+    138000 uses
+    138100 uses
+    138200 uses
+    138300 uses
+    138400 uses
+    138500 uses
+    138600 uses
+    138700 uses
+    138800 uses
+    138900 uses
+    139000 uses
+    139100 uses
+    139200 uses
+    139300 uses
+    139400 uses
+    139500 uses
+    139600 uses
+    139700 uses
+    139800 uses
+    139900 uses
+    140000 uses
+    140100 uses
+    140200 uses
+    140300 uses
+    140400 uses
+    140500 uses
+    140600 uses
+    140700 uses
+    140800 uses
+    140900 uses
+    141000 uses
+    141100 uses
+    141200 uses
+    141300 uses
+    141400 uses
+    141500 uses
+    141600 uses
+    141700 uses
+    141800 uses
+    141900 uses
+    142000 uses
+    142100 uses
+    142200 uses
+    142300 uses
+    142400 uses
+    142500 uses
+    142600 uses
+    142700 uses
+    142800 uses
+    142900 uses
+    143000 uses
+    143100 uses
+    143200 uses
+    143300 uses
+    143400 uses
+    143500 uses
+    143600 uses
+    143700 uses
+    143800 uses
+    143900 uses
+    144000 uses
+    144100 uses
+    144200 uses
+    144300 uses
+    144400 uses
+    144500 uses
+    144600 uses
+    144700 uses
+    144800 uses
+    144900 uses
+    145000 uses
+    145100 uses
+    145200 uses
+    145300 uses
+    145400 uses
+    145500 uses
+    145600 uses
+    145700 uses
+    145800 uses
+    145900 uses
+    146000 uses
+    146100 uses
+    146200 uses
+    146300 uses
+    146400 uses
+    146500 uses
+    146600 uses
+    146700 uses
+    146800 uses
+    146900 uses
+    147000 uses
+    147100 uses
+    147200 uses
+    147300 uses
+    147400 uses
+    147500 uses
+    147600 uses
+    147700 uses
+    147800 uses
+    147900 uses
+    148000 uses
+    148100 uses
+    148200 uses
+    148300 uses
+    148400 uses
+    148500 uses
+    148600 uses
+    148700 uses
+    148800 uses
+    148900 uses
+    149000 uses
+    149100 uses
+    149200 uses
+    149300 uses
+    149400 uses
+    149500 uses
+    149600 uses
+    149700 uses
+    149800 uses
+    149900 uses
+    150000 uses
+    150100 uses
+    150200 uses
+    150300 uses
+    150400 uses
+    150500 uses
+    150600 uses
+    150700 uses
+    150800 uses
+    150900 uses
+    151000 uses
+    151100 uses
+    151200 uses
+    151300 uses
+    151400 uses
+    151500 uses
+    151600 uses
+    151700 uses
+    151800 uses
+    151900 uses
+    152000 uses
+    152100 uses
+    152200 uses
+    152300 uses
+    152400 uses
+    152500 uses
+    152600 uses
+    152700 uses
+    152800 uses
+    152900 uses
+    153000 uses
+    153100 uses
+    153200 uses
+    153300 uses
+    153400 uses
+    153500 uses
+    153600 uses
+    153700 uses
+    153800 uses
+    153900 uses
+    154000 uses
+    154100 uses
+    154200 uses
+    154300 uses
+    154400 uses
+    154500 uses
+    154600 uses
+    154700 uses
+    154800 uses
+    154900 uses
+    155000 uses
+    155100 uses
+    155200 uses
+    155300 uses
+    155400 uses
+    155500 uses
+    155600 uses
+    155700 uses
+    155800 uses
+    155900 uses
+    156000 uses
+    156100 uses
+    156200 uses
+    156300 uses
+    156400 uses
+    156500 uses
+    156600 uses
+    156700 uses
+    156800 uses
+    156900 uses
+    157000 uses
+    157100 uses
+    157200 uses
+    157300 uses
+    157400 uses
+    157500 uses
+    157600 uses
+    157700 uses
+    157800 uses
+    157900 uses
+    158000 uses
+    158100 uses
+    158200 uses
+    158300 uses
+    158400 uses
+    158500 uses
+    158600 uses
+    158700 uses
+    158800 uses
+    158900 uses
+    159000 uses
+    159100 uses
+    159200 uses
+    159300 uses
+    159400 uses
+    159500 uses
+    159600 uses
+    159700 uses
+    159800 uses
+    159900 uses
+    160000 uses
+    160100 uses
+    160200 uses
+    160300 uses
+    160400 uses
+    160500 uses
+    160600 uses
+    160700 uses
+    160800 uses
+    160900 uses
+    161000 uses
+    161100 uses
+    161200 uses
+    161300 uses
+    161400 uses
+    161500 uses
+    161600 uses
+    161700 uses
+    161800 uses
+    161900 uses
+    162000 uses
+    162100 uses
+    162200 uses
+    162300 uses
+    162400 uses
+    162500 uses
+    162600 uses
+    162700 uses
+    162800 uses
+    162900 uses
+    163000 uses
+    163100 uses
+    163200 uses
+    163300 uses
+    163400 uses
+    163500 uses
+    163600 uses
+    163700 uses
+    163800 uses
+    163900 uses
+    164000 uses
+    164100 uses
+    164200 uses
+    164300 uses
+    164400 uses
+    164500 uses
+    164600 uses
+    164700 uses
+    164800 uses
+    164900 uses
+    165000 uses
+    165100 uses
+    165200 uses
+    165300 uses
+    165400 uses
+    165500 uses
+    165600 uses
+    165700 uses
+    165800 uses
+    165900 uses
+    166000 uses
+    166100 uses
+    166200 uses
+    166300 uses
+    166400 uses
+    166500 uses
+    166600 uses
+    166700 uses
+    166800 uses
+    166900 uses
+    167000 uses
+    167100 uses
+    167200 uses
+    167300 uses
+    167400 uses
+    167500 uses
+    167600 uses
+    167700 uses
+    167800 uses
+    167900 uses
+    168000 uses
+    168100 uses
+    168200 uses
+    168300 uses
+    168400 uses
+    168500 uses
+    168600 uses
+    168700 uses
+    168800 uses
+    168900 uses
+    169000 uses
+    169100 uses
+    169200 uses
+    169300 uses
+    169400 uses
+    169500 uses
+    169600 uses
+    169700 uses
+    169800 uses
+    169900 uses
+    170000 uses
+    170100 uses
+    170200 uses
+    170300 uses
+    170400 uses
+    170500 uses
+    170600 uses
+    170700 uses
+    170800 uses
+    170900 uses
+    171000 uses
+    171100 uses
+    171200 uses
+    171300 uses
+    171400 uses
+    171500 uses
+    171600 uses
+    171700 uses
+    171800 uses
+    171900 uses
+    172000 uses
+    172100 uses
+    172200 uses
+    172300 uses
+    172400 uses
+    172500 uses
+    172600 uses
+    172700 uses
+    172800 uses
+    172900 uses
+    173000 uses
+    173100 uses
+    173200 uses
+    173300 uses
+    173400 uses
+    173500 uses
+    173600 uses
+    173700 uses
+    173800 uses
+    173900 uses
+    174000 uses
+    174100 uses
+    174200 uses
+    174300 uses
+    174400 uses
+    174500 uses
+    174600 uses
+    174700 uses
+    174800 uses
+    174900 uses
+    175000 uses
+    175100 uses
+    175200 uses
+    175300 uses
+    175400 uses
+    175500 uses
+    175600 uses
+    175700 uses
+    175800 uses
+    175900 uses
+    176000 uses
+    176100 uses
+    176200 uses
+    176300 uses
+    176400 uses
+    176500 uses
+    176600 uses
+Reading "sky130_fd_sc_hd__mux2_1".
+Reading "clk_skew_adjust".
+Reading "sky130_ef_sc_hd__fakediode_2".
+Reading "wb_host".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+Reading "sdrc_top".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+Reading "sky130_fd_sc_hd__inv_4".
+Reading "uart_core".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+Reading "wb_interconnect".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+    24900 uses
+    25000 uses
+    25100 uses
+    25200 uses
+    25300 uses
+    25400 uses
+    25500 uses
+    25600 uses
+    25700 uses
+    25800 uses
+    25900 uses
+    26000 uses
+    26100 uses
+    26200 uses
+    26300 uses
+    26400 uses
+    26500 uses
+    26600 uses
+    26700 uses
+    26800 uses
+    26900 uses
+    27000 uses
+    27100 uses
+    27200 uses
+    27300 uses
+    27400 uses
+    27500 uses
+    27600 uses
+    27700 uses
+    27800 uses
+    27900 uses
+    28000 uses
+    28100 uses
+    28200 uses
+    28300 uses
+    28400 uses
+    28500 uses
+    28600 uses
+    28700 uses
+    28800 uses
+    28900 uses
+    29000 uses
+    29100 uses
+    29200 uses
+    29300 uses
+    29400 uses
+    29500 uses
+    29600 uses
+    29700 uses
+    29800 uses
+    29900 uses
+    30000 uses
+    30100 uses
+    30200 uses
+    30300 uses
+    30400 uses
+    30500 uses
+    30600 uses
+    30700 uses
+    30800 uses
+    30900 uses
+    31000 uses
+    31100 uses
+    31200 uses
+    31300 uses
+    31400 uses
+    31500 uses
+    31600 uses
+    31700 uses
+    31800 uses
+    31900 uses
+    32000 uses
+    32100 uses
+    32200 uses
+    32300 uses
+    32400 uses
+    32500 uses
+    32600 uses
+    32700 uses
+    32800 uses
+    32900 uses
+    33000 uses
+    33100 uses
+    33200 uses
+    33300 uses
+    33400 uses
+    33500 uses
+    33600 uses
+    33700 uses
+    33800 uses
+    33900 uses
+    34000 uses
+    34100 uses
+    34200 uses
+    34300 uses
+    34400 uses
+    34500 uses
+    34600 uses
+    34700 uses
+    34800 uses
+    34900 uses
+    35000 uses
+    35100 uses
+    35200 uses
+    35300 uses
+    35400 uses
+    35500 uses
+    35600 uses
+    35700 uses
+    35800 uses
+    35900 uses
+Reading "glbl_cfg".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+Reading "sky130_fd_sc_hd__o41a_4".
+Reading "spim_top".
+    100 uses
+    200 uses
+    300 uses
+    400 uses
+    500 uses
+    600 uses
+    700 uses
+    800 uses
+    900 uses
+    1000 uses
+    1100 uses
+    1200 uses
+    1300 uses
+    1400 uses
+    1500 uses
+    1600 uses
+    1700 uses
+    1800 uses
+    1900 uses
+    2000 uses
+    2100 uses
+    2200 uses
+    2300 uses
+    2400 uses
+    2500 uses
+    2600 uses
+    2700 uses
+    2800 uses
+    2900 uses
+    3000 uses
+    3100 uses
+    3200 uses
+    3300 uses
+    3400 uses
+    3500 uses
+    3600 uses
+    3700 uses
+    3800 uses
+    3900 uses
+    4000 uses
+    4100 uses
+    4200 uses
+    4300 uses
+    4400 uses
+    4500 uses
+    4600 uses
+    4700 uses
+    4800 uses
+    4900 uses
+    5000 uses
+    5100 uses
+    5200 uses
+    5300 uses
+    5400 uses
+    5500 uses
+    5600 uses
+    5700 uses
+    5800 uses
+    5900 uses
+    6000 uses
+    6100 uses
+    6200 uses
+    6300 uses
+    6400 uses
+    6500 uses
+    6600 uses
+    6700 uses
+    6800 uses
+    6900 uses
+    7000 uses
+    7100 uses
+    7200 uses
+    7300 uses
+    7400 uses
+    7500 uses
+    7600 uses
+    7700 uses
+    7800 uses
+    7900 uses
+    8000 uses
+    8100 uses
+    8200 uses
+    8300 uses
+    8400 uses
+    8500 uses
+    8600 uses
+    8700 uses
+    8800 uses
+    8900 uses
+    9000 uses
+    9100 uses
+    9200 uses
+    9300 uses
+    9400 uses
+    9500 uses
+    9600 uses
+    9700 uses
+    9800 uses
+    9900 uses
+    10000 uses
+    10100 uses
+    10200 uses
+    10300 uses
+    10400 uses
+    10500 uses
+    10600 uses
+    10700 uses
+    10800 uses
+    10900 uses
+    11000 uses
+    11100 uses
+    11200 uses
+    11300 uses
+    11400 uses
+    11500 uses
+    11600 uses
+    11700 uses
+    11800 uses
+    11900 uses
+    12000 uses
+    12100 uses
+    12200 uses
+    12300 uses
+    12400 uses
+    12500 uses
+    12600 uses
+    12700 uses
+    12800 uses
+    12900 uses
+    13000 uses
+    13100 uses
+    13200 uses
+    13300 uses
+    13400 uses
+    13500 uses
+    13600 uses
+    13700 uses
+    13800 uses
+    13900 uses
+    14000 uses
+    14100 uses
+    14200 uses
+    14300 uses
+    14400 uses
+    14500 uses
+    14600 uses
+    14700 uses
+    14800 uses
+    14900 uses
+    15000 uses
+    15100 uses
+    15200 uses
+    15300 uses
+    15400 uses
+    15500 uses
+    15600 uses
+    15700 uses
+    15800 uses
+    15900 uses
+    16000 uses
+    16100 uses
+    16200 uses
+    16300 uses
+    16400 uses
+    16500 uses
+    16600 uses
+    16700 uses
+    16800 uses
+    16900 uses
+    17000 uses
+    17100 uses
+    17200 uses
+    17300 uses
+    17400 uses
+    17500 uses
+    17600 uses
+    17700 uses
+    17800 uses
+    17900 uses
+    18000 uses
+    18100 uses
+    18200 uses
+    18300 uses
+    18400 uses
+    18500 uses
+    18600 uses
+    18700 uses
+    18800 uses
+    18900 uses
+    19000 uses
+    19100 uses
+    19200 uses
+    19300 uses
+    19400 uses
+    19500 uses
+    19600 uses
+    19700 uses
+    19800 uses
+    19900 uses
+    20000 uses
+    20100 uses
+    20200 uses
+    20300 uses
+    20400 uses
+    20500 uses
+    20600 uses
+    20700 uses
+    20800 uses
+    20900 uses
+    21000 uses
+    21100 uses
+    21200 uses
+    21300 uses
+    21400 uses
+    21500 uses
+    21600 uses
+    21700 uses
+    21800 uses
+    21900 uses
+    22000 uses
+    22100 uses
+    22200 uses
+    22300 uses
+    22400 uses
+    22500 uses
+    22600 uses
+    22700 uses
+    22800 uses
+    22900 uses
+    23000 uses
+    23100 uses
+    23200 uses
+    23300 uses
+    23400 uses
+    23500 uses
+    23600 uses
+    23700 uses
+    23800 uses
+    23900 uses
+    24000 uses
+    24100 uses
+    24200 uses
+    24300 uses
+    24400 uses
+    24500 uses
+    24600 uses
+    24700 uses
+    24800 uses
+Reading "user_project_wrapper".
+[INFO]: Loading user_project_wrapper
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper.magic.drc)
+[INFO]: Saving mag view with DRC errors(/home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/checks/spdx_compliance_report.log b/checks/spdx_compliance_report.log
index b4c0380..1496967 100644
--- a/checks/spdx_compliance_report.log
+++ b/checks/spdx_compliance_report.log
@@ -191,19 +191,19 @@
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/glbl_cfg/runs/glbl_cfg/results/klayout/glbl_cfg.lyp
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/config.tcl
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic_spice.tcl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/opt.lib
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/trimmed.lib
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/tracks_copy.info
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/synthesis/hierarchy.dot
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/synthesis/yosys.sdc
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/16-fastroute.guide
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/18-tritonRoute.param
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/18-tritonRoute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/13-fastroute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/16-tritonRoute.param
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/routing/16-tritonRoute.guide
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/clk_skew_adjust.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__mux2_1.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__fill_2.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__decap_4.ext
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__diode_2.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__decap_12.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__decap_3.ext
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/tmp/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext
@@ -216,28 +216,25 @@
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/runtime_summary_report.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/manufacturability_report.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/1-yosys_4.chk.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/2-opensta.min_max.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/1-yosys_pre.stat
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/2-opensta_tns.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/1-yosys_dff.stat
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/21-opensta_spef.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/2-opensta_wns.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/2-opensta.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/21-opensta_spef_wns.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/21-opensta_spef.min_max.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/2-opensta.timing.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/21-opensta_spef.timing.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/21-opensta_spef_tns.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/synthesis/1-yosys_4.stat.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/routing/39-antenna.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/floorplan/3-verilog2def.die_area.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/floorplan/3-verilog2def.core_area.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/33-klayout.xor.rpt
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/37-klayout.magic.lydrc
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/31-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/routing/36-antenna.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/floorplan/2-verilog2def.core_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/floorplan/2-verilog2def.die_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/30-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/28-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/klayout/34-klayout.magic.lydrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/placement/8-openphysyn_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/placement/8-openphysyn_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/reports/placement/8-openphysyn_allchecks.rpt
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/synthesis/clk_skew_adjust.synthesis_preroute.v
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/synthesis/clk_skew_adjust.synthesis_diodes.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/synthesis/clk_skew_adjust.synthesis_optimized.v
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/synthesis/clk_skew_adjust.synthesis.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/cvc/cvc_clk_skew_adjust.error
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/cvc/cvc_clk_skew_adjust.debug
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/cvc/clk_skew_adjust.cdl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/cvc/clk_skew_adjust.power
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/routing/clk_skew_adjust.spef
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/routing/clk_skew_adjust.def.ref
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/magic/.magicrc
@@ -245,8 +242,6 @@
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/lvs/clk_skew_adjust.lvs.powered.v
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/lvs/clk_skew_adjust.lvs.lef.json
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/results/klayout/clk_skew_adjust.lyp
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/logs/synthesis/2-opensta
-/home/dinesha/workarea/opencore/git/yifive_r0/openlane/clk_skew_adjust/runs/clk_skew_adjust/logs/synthesis/21-opensta_spef
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/config.tcl
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/tmp/magic_spice.tcl
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/tmp/opt.lib
@@ -342,6 +337,198 @@
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/logs/synthesis/2-opensta
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/logs/synthesis/26-opensta_spef
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/wb_host/runs/wb_host/logs/synthesis/11-opensta_post_openphysyn
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/config.tcl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic_spice.tcl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/opt.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/trimmed.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/tracks_copy.info
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/synthesis/hierarchy.dot
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/synthesis/yosys.sdc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/routing/23-tritonRoute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/routing/23-tritonRoute.param
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/routing/21-fastroute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__inv_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__conb_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__nor2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__and2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a21oi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__fill_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a32o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__or2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__clkbuf_16.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__decap_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__diode_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__buf_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__buf_8.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__nand2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a211o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a21o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a21boi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__buf_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__or3_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__decap_12.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a2bb2o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__and4_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/uart_core.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__decap_3.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__o21ai_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a22oi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__dfrtp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__dfxtp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a2111o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__fill_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__clkbuf_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__decap_6.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__or4_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__a21bo_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__decap_8.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__inv_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__o21a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__o22a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__and3_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/magic/sky130_fd_sc_hd__dfstp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/tmp/lvs/setup_file.lef.lvs
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/runtime_summary_report.rpt.parsable
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/runtime_summary_report.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/manufacturability_report.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/26-opensta_spef_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/1-yosys_4.chk.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/26-opensta_spef.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/26-opensta_spef_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/2-opensta.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/1-yosys_pre.stat
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/2-opensta_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/11-opensta_post_openphysyn.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/11-opensta_post_openphysyn_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/1-yosys_dff.stat
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/11-opensta_post_openphysyn.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/11-opensta_post_openphysyn.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/2-opensta_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/2-opensta.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/26-opensta_spef.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/2-opensta.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/26-opensta_spef.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/11-opensta_post_openphysyn_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/synthesis/1-yosys_4.stat.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/routing/44-antenna.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/floorplan/3-verilog2def.die_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/floorplan/3-verilog2def.core_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/klayout/36-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/klayout/38-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/klayout/42-klayout.magic.lydrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/placement/9-openphysyn_allchecks.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/placement/9-openphysyn_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/reports/placement/9-openphysyn_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/synthesis/uart_core.synthesis_cts.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/synthesis/uart_core.synthesis.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/synthesis/uart_core.synthesis_diodes.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/synthesis/uart_core.synthesis_optimized.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/synthesis/uart_core.synthesis_preroute.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/routing/uart_core.def.ref
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/routing/uart_core.spef
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/magic/.magicrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/magic/uart_core.gds.lydrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/lvs/uart_core.lvs.lef.json
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/lvs/uart_core.lvs.powered.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/uart/runs/uart/results/klayout/uart_core.lyp
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/config.tcl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic_spice.tcl
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/opt.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/trimmed.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/tracks_copy.info
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/synthesis/hierarchy.dot
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/synthesis/yosys.sdc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/routing/21-tritonRoute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/routing/17-fastroute.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/routing/21-tritonRoute.param
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/routing/18-fastroute_2.guide
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__conb_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__nor2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__and2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a21oi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__fill_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a32o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__or2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__clkbuf_16.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__decap_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__diode_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__nand2_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a211o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a21o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a21boi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__buf_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__or3_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__decap_12.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a2bb2o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__and4_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__decap_3.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__o21ai_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/spim_top.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a22oi_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__dfrtp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__dfxtp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a2111o_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__o41a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__fill_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__clkbuf_1.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__o32a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__decap_6.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__or4_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__a21bo_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__decap_8.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__inv_2.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__o21a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__o22a_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__and3_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/magic/sky130_fd_sc_hd__dfstp_4.ext
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/tmp/lvs/setup_file.lef.lvs
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/runtime_summary_report.rpt.parsable
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/runtime_summary_report.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/manufacturability_report.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/1-yosys_4.chk.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/24-opensta_spef.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/2-opensta.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/1-yosys_pre.stat
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/2-opensta_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/11-opensta_post_openphysyn.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/11-opensta_post_openphysyn_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/1-yosys_dff.stat
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/24-opensta_spef.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/11-opensta_post_openphysyn.min_max.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/11-opensta_post_openphysyn.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/2-opensta_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/2-opensta.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/2-opensta.timing.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/24-opensta_spef_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/24-opensta_spef_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/11-opensta_post_openphysyn_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/24-opensta_spef.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/synthesis/1-yosys_4.stat.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/routing/42-antenna.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/floorplan/3-verilog2def.die_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/floorplan/3-verilog2def.core_area.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/klayout/36-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/klayout/34-klayout.xor.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/klayout/40-klayout.magic.lydrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/placement/9-openphysyn_allchecks.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/placement/9-openphysyn_tns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/placement/9-openphysyn_wns.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/reports/placement/9-openphysyn_violators.rpt
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/synthesis/spim_top.synthesis_optimized.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/synthesis/spim_top.synthesis_preroute.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/synthesis/spim_top.synthesis.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/synthesis/spim_top.synthesis_cts.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/routing/spim_top.def.ref
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/routing/spim_top.spef
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/magic/.magicrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/magic/spim_top.gds.lydrc
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/lvs/spim_top.lvs.powered.v
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/lvs/spim_top.lvs.lef.json
+/home/dinesha/workarea/opencore/git/yifive_r0/openlane/spi_master/runs/spi_master/results/klayout/spim_top.lyp
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic_spice.tcl
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/opt.lib
@@ -500,6 +687,7 @@
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/sdram/runs/sdram/results/lvs/sdrc_top.lvs.powered.v
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/sdram/runs/sdram/results/lvs/sdrc_top.lvs.lef.json
 /home/dinesha/workarea/opencore/git/yifive_r0/openlane/sdram/runs/sdram/results/klayout/sdrc_top.lyp
+/home/dinesha/workarea/opencore/git/yifive_r0/verilog/dv/model/s25fl256s.sv
 /home/dinesha/workarea/opencore/git/yifive_r0/verilog/rtl/syntacore/scr1/README.md
 /home/dinesha/workarea/opencore/git/yifive_r0/work/_info
 /home/dinesha/workarea/opencore/git/yifive_r0/work/_vmake
diff --git a/checks/user_project_wrapper.magic.drc b/checks/user_project_wrapper.magic.drc
new file mode 100644
index 0000000..46ca7f3
--- /dev/null
+++ b/checks/user_project_wrapper.magic.drc
@@ -0,0 +1,5 @@
+user_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/checks/user_project_wrapper.xor.gds.png b/checks/user_project_wrapper.xor.gds.png
new file mode 100644
index 0000000..cd63482
--- /dev/null
+++ b/checks/user_project_wrapper.xor.gds.png
Binary files differ
diff --git a/checks/user_project_wrapper.xor.xml b/checks/user_project_wrapper.xor.xml
new file mode 100644
index 0000000..ad44b6d
--- /dev/null
+++ b/checks/user_project_wrapper.xor.xml
@@ -0,0 +1,63 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>XOR /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty_erased.gds vs. /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_erased.gds</description>
+ <original-file/>
+ <generator>drc: script='/usr/local/bin/xor_checks/xor.drc'</generator>
+ <top-cell>user_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>235/4</name>
+   <description>XOR results for layer 235/4 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>69/20</name>
+   <description>XOR results for layer 69/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>70/20</name>
+   <description>XOR results for layer 70/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>71/20</name>
+   <description>XOR results for layer 71/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>71/44</name>
+   <description>XOR results for layer 71/44 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/20</name>
+   <description>XOR results for layer 72/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>81/14</name>
+   <description>XOR results for layer 81/14 </description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/checks/xor.log b/checks/xor.log
new file mode 100644
index 0000000..73bb66b
--- /dev/null
+++ b/checks/xor.log
@@ -0,0 +1,68 @@
+First Layout: /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty_erased.gds
+Second Layout: /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_erased.gds
+Design Name: xor_target
+Output GDS will be: /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper.xor.gds
+Reading /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_empty_erased.gds ..
+Reading /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper_erased.gds ..
+--- Running XOR for 69/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 70/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 71/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 71/44 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 72/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 81/14 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+Writing layout file: /home/dinesha/workarea/opencore/git/yifive_r0/checks/user_project_wrapper.xor.gds ..
+Total run time: 0.140s
diff --git a/checks/xor_total.txt b/checks/xor_total.txt
new file mode 100644
index 0000000..05b29a4
--- /dev/null
+++ b/checks/xor_total.txt
@@ -0,0 +1 @@
+Total XOR differences = 0
\ No newline at end of file
diff --git a/def/clk_skew_adjust.def.gz b/def/clk_skew_adjust.def.gz
index d1f62e2..c12530f 100644
--- a/def/clk_skew_adjust.def.gz
+++ b/def/clk_skew_adjust.def.gz
Binary files differ
diff --git a/def/sdram.def.gz b/def/sdram.def.gz
index a2c846f..40d5fed 100644
--- a/def/sdram.def.gz
+++ b/def/sdram.def.gz
Binary files differ
diff --git a/def/spi_master.def.gz b/def/spi_master.def.gz
index 37e2b24..488418b 100644
--- a/def/spi_master.def.gz
+++ b/def/spi_master.def.gz
Binary files differ
diff --git a/def/uart.def.gz b/def/uart.def.gz
index 3ebb9e7..3a558e4 100644
--- a/def/uart.def.gz
+++ b/def/uart.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index f9046bb..48d0ae5 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index 5edb24b..46691c5 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/clk_skew_adjust.gds.gz b/gds/clk_skew_adjust.gds.gz
index 5c79ed9..9647f14 100644
--- a/gds/clk_skew_adjust.gds.gz
+++ b/gds/clk_skew_adjust.gds.gz
Binary files differ
diff --git a/gds/sdram.gds.gz b/gds/sdram.gds.gz
index a6ed736..6bed7c6 100644
--- a/gds/sdram.gds.gz
+++ b/gds/sdram.gds.gz
Binary files differ
diff --git a/gds/spi_master.gds.gz b/gds/spi_master.gds.gz
index 95fcd1e..4d3c45b 100644
--- a/gds/spi_master.gds.gz
+++ b/gds/spi_master.gds.gz
Binary files differ
diff --git a/gds/uart.gds.gz b/gds/uart.gds.gz
index 4e0874c..9f96454 100644
--- a/gds/uart.gds.gz
+++ b/gds/uart.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 1104c3c..16e6291 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index a8b7707..73125c5 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/clk_skew_adjust.lef.gz b/lef/clk_skew_adjust.lef.gz
index d1241cb..356430d 100644
--- a/lef/clk_skew_adjust.lef.gz
+++ b/lef/clk_skew_adjust.lef.gz
Binary files differ
diff --git a/lef/sdram.lef.gz b/lef/sdram.lef.gz
index 2b4aace..2677ae8 100644
--- a/lef/sdram.lef.gz
+++ b/lef/sdram.lef.gz
Binary files differ
diff --git a/lef/spi_master.lef.gz b/lef/spi_master.lef.gz
index 074cbc4..15ff6c5 100644
--- a/lef/spi_master.lef.gz
+++ b/lef/spi_master.lef.gz
Binary files differ
diff --git a/lef/uart.lef.gz b/lef/uart.lef.gz
index 2c721f0..cc7249d 100644
--- a/lef/uart.lef.gz
+++ b/lef/uart.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 52d1bc9..99b8e39 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index 4a8c30c..11585a8 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/clk_skew_adjust.mag.gz b/mag/clk_skew_adjust.mag.gz
index 7e5f40f..08250c4 100644
--- a/mag/clk_skew_adjust.mag.gz
+++ b/mag/clk_skew_adjust.mag.gz
Binary files differ
diff --git a/mag/sdram.mag.gz b/mag/sdram.mag.gz
index 7626e1d..9d7ab61 100644
--- a/mag/sdram.mag.gz
+++ b/mag/sdram.mag.gz
Binary files differ
diff --git a/mag/spi_master.mag.gz b/mag/spi_master.mag.gz
index f02c5e8..cb82b5b 100644
--- a/mag/spi_master.mag.gz
+++ b/mag/spi_master.mag.gz
Binary files differ
diff --git a/mag/uart.mag.gz b/mag/uart.mag.gz
index 15a379a..9a5ec20 100644
--- a/mag/uart.mag.gz
+++ b/mag/uart.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 4d4c1bf..04d68eb 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index 313e996..cda66a3 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/clk_skew_adjust.mag.gz b/maglef/clk_skew_adjust.mag.gz
index 3220071..226a296 100644
--- a/maglef/clk_skew_adjust.mag.gz
+++ b/maglef/clk_skew_adjust.mag.gz
Binary files differ
diff --git a/maglef/sdram.mag.gz b/maglef/sdram.mag.gz
index 35fac2a..f46142a 100644
--- a/maglef/sdram.mag.gz
+++ b/maglef/sdram.mag.gz
Binary files differ
diff --git a/maglef/spi_master.mag.gz b/maglef/spi_master.mag.gz
index efa750f..d120a28 100644
--- a/maglef/spi_master.mag.gz
+++ b/maglef/spi_master.mag.gz
Binary files differ
diff --git a/maglef/uart.mag.gz b/maglef/uart.mag.gz
index 150e21b..b72a54a 100644
--- a/maglef/uart.mag.gz
+++ b/maglef/uart.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index abe0fef..c6942f7 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index e86fa14..b26add8 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/openlane/clk_skew_adjust/config.tcl b/openlane/clk_skew_adjust/config.tcl
index 0c507cc..d1b3e25 100644
--- a/openlane/clk_skew_adjust/config.tcl
+++ b/openlane/clk_skew_adjust/config.tcl
@@ -12,9 +12,11 @@
 # See the License for the specific language governing permissions and
 # limitations under the License.
 # SPDX-License-Identifier: Apache-2.0
+# SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
 
-# Base Configurations. Don't Touch
-# section begin
+# Global
+# ------
+
 set script_dir [file dirname [file normalize [info script]]]
 
 set ::env(DESIGN_NAME) clk_skew_adjust
@@ -27,7 +29,6 @@
 #
 set ::env(DESIGN_IS_CORE) 0
 set ::env(FP_PDN_CORE_RING) "0"
-set ::env(SYNTH_READ_BLACKBOX_LIB) "1"
 
 
 ## Source Verilog Files
@@ -35,55 +36,31 @@
 	$script_dir/../../verilog/rtl/clk_skew_adjust/src/clk_skew_adjust.gv"
 
 ## Clock configurations
-set ::env(CLOCK_PORT) "clk_in"
+#set ::env(CLOCK_PORT) "clk_in"
 
-set ::env(CLOCK_PERIOD) "10"
+#set ::env(CLOCK_PERIOD) "10"
 
 ## Internal Macros
 ### Macro Placement
 set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
-set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 50 50"
-set ::env(PL_TARGET_DENSITY) 0.85
-set ::env(FP_CORE_UTIL) "60"
 
 
 
-set ::env(FP_PDN_CHECK_NODES) 0
 
-set ::env(RUN_KLAYOUT_DRC) 0
 
 set ::env(VDD_PIN) [list {vccd1}]
 set ::env(GND_PIN) [list {vssd1}]
 
-# If you're going to use multiple power domains, then keep this disabled.
-set ::env(RUN_CVC) 0
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
 
-# The following is because there are no std cells in the example wrapper project.
-set ::env(SYNTH_TOP_LEVEL) 1
-
-set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
-set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
-set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) 0
-set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) 0
-
-# No Synthesis and CTS
-set ::env(RUN_SIMPLE_CTS) 0
-set ::env(SYNTH_BUFFERING) 0
-set ::env(SYNTH_SIZING) 0
+# Fill this
 set ::env(CLOCK_TREE_SYNTH) 0
-set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
-set ::env(FILL_INSERTION) 1
-set ::env(RUN_SIMPLE_CTS) 0
-set ::env(LVS_CONNECT_BY_LABEL) 1
+
 set ::env(CELL_PAD) 0
 
+set ::env(FP_CORE_UTIL) 40
+set ::env(PL_RANDOM_GLB_PLACEMENT) 1
 
-
-
-set ::env(PL_ROUTABILITY_DRIVEN) 1
-set ::env(FP_IO_VEXTEND) 4
-set ::env(FP_IO_HEXTEND) 4
+set ::env(BOTTOM_MARGIN_MULT) 2
+set ::env(TOP_MARGIN_MULT) 2
 set ::env(GLB_RT_MAXLAYER) 4
-set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
-set ::env(DIODE_INSERTION_STRATEGY) 4
diff --git a/openlane/sdram/base.sdc b/openlane/sdram/base.sdc
index aa200dd..350ae31 100644
--- a/openlane/sdram/base.sdc
+++ b/openlane/sdram/base.sdc
@@ -36,13 +36,12 @@
 
 set_input_delay 2.0 -clock [get_clocks $::env(WB_CLOCK_PORT)] {wb_rst_n}
 
-set_input_delay  3.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_stb_i*]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_stb_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_addr_i*]
-set_input_delay  5.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_we_i*]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_we_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_dat_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_sel_i*]
-set_input_delay  3.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_cyc_i*]
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_cti_i*]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_cyc_i*]
 
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port cfg_sdr_tras_d*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port cfg_sdr_trp_d*]
@@ -57,7 +56,7 @@
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port cfg_sdr_rfmax*]
 
 set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_dat_o*]
-set_output_delay 3.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_ack_o*]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_ack_o*]
 set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port sdr_init_done*]
 
 ######################################
@@ -69,7 +68,36 @@
 puts "\[INFO\]: Setting wb output delay to:$wb_output_delay_value"
 puts "\[INFO\]: Setting wb input delay to: $wb_input_delay_value"
 
-set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out*]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[0]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[1]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[2]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[3]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[4]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[5]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[6]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[7]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[8]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[9]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[10]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[11]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[12]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[13]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[14]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[15]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[16]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[17]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[18]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[19]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[20]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[21]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[22]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[23]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[24]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[25]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[26]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[27]]
+set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[28]]
+#set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_out[29]] Masked SDRAM clock
 set_output_delay $sdram_output_delay_value  -clock [get_clocks $::env(SDRAM_CLOCK_PORT)] [get_port io_oeb*]
 
 ################################################
diff --git a/openlane/spi_master/base.sdc b/openlane/spi_master/base.sdc
index fa507c1..cd78ed8 100644
--- a/openlane/spi_master/base.sdc
+++ b/openlane/spi_master/base.sdc
@@ -37,27 +37,27 @@
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wbd_dat_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wbd_sel_i*]
 
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdi0*]
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdi1*]
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdi2*]
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdi3*]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_in[5]]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_in[4]]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_in[3]]
+set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_in[2]]
 
 set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wbd_dat_o*]
 set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wbd_ack_o*]
 set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wbd_err_o*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port events_o*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_clk*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_csn0*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_csn1*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_csn2*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_csn2*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_csn3*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_mode*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdo0*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdo1*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdo2*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_sdo3*]
-set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_en_tx*]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port spi_debug*]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[5]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[4]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[3]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[2]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[1]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_out[0]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[5]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[4]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[3]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[2]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[1]]
+set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port io_oeb[0]]
 
 # TODO set this as parameter
 set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
diff --git a/openlane/spi_master/config.tcl b/openlane/spi_master/config.tcl
index 45d0586..7c87b91 100755
--- a/openlane/spi_master/config.tcl
+++ b/openlane/spi_master/config.tcl
@@ -37,7 +37,9 @@
 # Local sources + no2usb sources
 set ::env(VERILOG_FILES) "\
         $script_dir/../../verilog/rtl/spi_master/src/spim_top.sv \
+        $script_dir/../../verilog/rtl/spi_master/src/spim_if.sv \
         $script_dir/../../verilog/rtl/spi_master/src/spim_regs.sv \
+        $script_dir/../../verilog/rtl/spi_master/src/spim_fifo.sv \
         $script_dir/../../verilog/rtl/spi_master/src/spim_clkgen.sv \
         $script_dir/../../verilog/rtl/spi_master/src/spim_ctrl.sv \
         $script_dir/../../verilog/rtl/spi_master/src/spim_rx.sv \
diff --git a/openlane/spi_master/pin_order.cfg b/openlane/spi_master/pin_order.cfg
index 6d3c721..2413319 100644
--- a/openlane/spi_master/pin_order.cfg
+++ b/openlane/spi_master/pin_order.cfg
@@ -3,9 +3,39 @@
 
 #W
 mclk                   0000 0
-rst_n                  0000 1
-events_o\[1\]          0000 2
-events_o\[0\]          0000 3
+rst_n                  
+spi_debug\[31\]        
+spi_debug\[30\]        
+spi_debug\[29\]        
+spi_debug\[28\]        
+spi_debug\[27\]        
+spi_debug\[26\]        
+spi_debug\[25\]        
+spi_debug\[24\]        
+spi_debug\[23\]        
+spi_debug\[22\]        
+spi_debug\[21\]        
+spi_debug\[20\]        
+spi_debug\[19\]        
+spi_debug\[18\]        
+spi_debug\[17\]        
+spi_debug\[16\]        
+spi_debug\[15\]        
+spi_debug\[14\]        
+spi_debug\[13\]        
+spi_debug\[12\]        
+spi_debug\[11\]        
+spi_debug\[10\]        
+spi_debug\[9\]        
+spi_debug\[8\]        
+spi_debug\[7\]        
+spi_debug\[6\]        
+spi_debug\[5\]        
+spi_debug\[4\]        
+spi_debug\[3\]        
+spi_debug\[2\]        
+spi_debug\[1\]        
+spi_debug\[0\]        
 
 
 #N
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 51dc0f8..f51d3d1 100644
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -34,7 +34,6 @@
 ## Source Verilog Files
 set ::env(VERILOG_FILES) "\
 	$script_dir/../../caravel/verilog/rtl/defines.v \
-	$script_dir/../../verilog/rtl/digital_core/src/digital_core.sv \
 	$script_dir/../../verilog/rtl/user_project_wrapper.v"
 
 ## Clock configurations
@@ -114,7 +113,7 @@
 set ::env(TAP_DECAP_INSERTION) 0
 set ::env(CLOCK_TREE_SYNTH) 0
 
-set ::env(MAGIC_EXT_USE_GDS) "1"
+#set ::env(MAGIC_EXT_USE_GDS) "1"
 
 
 set ::env(PL_DIAMOND_SEARCH_HEIGHT) "250"
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index 2843978..c5044dc 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -1,17 +1,17 @@
-u_core.u_spi_master            300             2700            N
-u_core.u_sdram_ctrl            1000            2700            N
-u_core.u_glbl_cfg              2000            2700            N
-u_core.u_riscv_top	       500	       800	       N
-u_core.u_uart_core             2200            1600            N
-u_core.u_intercon              300             2300            N
-u_core.u_wb_host               300             300             N
-u_core.u_skew_wi               2600            2300            N
-u_core.u_skew_riscv            400             800             N
-u_core.u_skew_uart             2200            1500            N
-u_core.u_skew_spi              200             2700            E
-u_core.u_skew_sdram            900             2700            E
-u_core.u_skew_glbl             2000            3200            N
-u_core.u_skew_wh               1400            300             N
-u_core.u_skew_sd_co            950             3300            N
-u_core.u_skew_sd_ci            1100            3300            N
-u_core.u_skew_sp_co            300             3400            N
+u_spi_master            300             2700            N
+u_sdram_ctrl            1000            2700            N
+u_glbl_cfg              2000            2700            N
+u_riscv_top	       500	       800	       N
+u_uart_core             2200            1600            N
+u_intercon              300             2300            N
+u_wb_host               300             300             N
+u_skew_wi               2600            2300            N
+u_skew_riscv            400             800             N
+u_skew_uart             2200            1500            N
+u_skew_spi              200             2700            E
+u_skew_sdram            900             2700            E
+u_skew_glbl             2000            3200            N
+u_skew_wh               1400            300             N
+u_skew_sd_co            950             3300            N
+u_skew_sd_ci            1100            3300            N
+u_skew_sp_co            300             3400            N
diff --git a/signoff/clk_skew_adjust/final_summary_report.csv b/signoff/clk_skew_adjust/final_summary_report.csv
index 3d2887c..61ff946 100644
--- a/signoff/clk_skew_adjust/final_summary_report.csv
+++ b/signoff/clk_skew_adjust/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/clk_skew_adjust,clk_skew_adjust,clk_skew_adjust,Flow_completed,0h1m8s,0h0m33s,20000.0,0.0025,12000.0,28,387.16,30,0,0,0,0,0,0,0,0,0,-1,0,389,195,-2.16,-2.16,-2.16,-2.16,-2.03,-2.16,-2.16,-2.16,-2.16,-2.03,237416,0.0,3.57,4.52,0.0,-1,-1,32,35,32,35,0,0,0,30,0,0,0,0,0,0,0,0,-1,-1,-1,20,12,0,32,83.1255195344971,12.03,10,AREA 0,5,60,1,153.6,153.18,0.85,0,sky130_fd_sc_hd,0,4
+0,/project/openlane/clk_skew_adjust,clk_skew_adjust,clk_skew_adjust,Flow_completed,0h1m6s,0h0m30s,64878.892733564026,0.0011560000000000001,25951.55709342561,51,384.71,30,0,0,0,0,0,0,0,0,0,0,0,677,212,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,0.0,12.65,11.49,0.0,-1,-1,32,35,32,35,0,0,0,30,0,0,0,0,0,0,0,0,-1,-1,-1,16,5,0,21,90.9090909090909,11,10,AREA 0,5,40,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,0,3
diff --git a/signoff/sdram/final_summary_report.csv b/signoff/sdram/final_summary_report.csv
index e90cae1..390656f 100644
--- a/signoff/sdram/final_summary_report.csv
+++ b/signoff/sdram/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/sdram,sdrc_top,sdram,Flow_completed,0h9m41s,0h5m12s,40668.57142857143,0.35,20334.285714285714,27,639.77,7117,0,0,0,0,0,0,0,15,0,-1,0,302912,50760,-3.59,-3.59,-3.59,-3.59,-4.18,-3.59,-3.59,-3.59,-3.59,-4.18,239724191,0.0,20.25,16.33,1.79,-1,-1,7052,7280,1239,1467,0,0,0,7117,197,107,81,102,354,212,31,2263,1256,1154,27,350,4248,0,4598,70.52186177715092,14.18,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,3
+0,/project/openlane/sdram,sdrc_top,sdram,Flow_completed,0h8m28s,0h4m15s,40668.57142857143,0.35,20334.285714285714,27,639.29,7117,0,0,0,0,0,0,0,15,0,-1,0,302912,50760,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,239724191,0.0,20.25,16.33,1.79,-1,-1,7052,7280,1239,1467,0,0,0,7117,197,107,81,102,354,212,31,2263,1256,1154,27,350,4248,0,4598,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,3
diff --git a/signoff/spi_master/final_summary_report.csv b/signoff/spi_master/final_summary_report.csv
index e0a826c..7ecde4c 100644
--- a/signoff/spi_master/final_summary_report.csv
+++ b/signoff/spi_master/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h8m3s,0h5m35s,25416.66666666667,0.24,12708.333333333336,19,591.97,3050,0,0,0,0,0,0,0,5,0,-1,0,162786,26689,-1.48,-1.48,-1.55,-1.55,-2.28,-86.69,-86.69,-158.7,-158.7,-255.68,121954058,0.0,9.59,19.86,0.04,-1,-1,3016,3128,433,545,0,0,0,3050,83,0,92,79,1118,89,19,920,527,458,28,424,2889,0,3313,81.43322475570034,12.28,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,3
+0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h6m38s,0h3m39s,45283.33333333334,0.24,22641.66666666667,33,605.61,5434,0,0,0,0,0,0,0,1,5,-1,0,248271,41053,-0.54,-0.54,-0.42,-0.42,-0.39,-0.98,-0.98,-0.94,-0.94,-0.89,196972893,0.0,18.06,28.16,0.23,-1,-1,5370,5512,872,1014,0,0,0,5434,217,0,185,97,764,130,35,1615,982,919,24,424,2889,0,3313,96.24639076034649,10.39,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,3
diff --git a/signoff/uart/final_summary_report.csv b/signoff/uart/final_summary_report.csv
index e37c172..e9d8ff5 100644
--- a/signoff/uart/final_summary_report.csv
+++ b/signoff/uart/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/uart,uart_core,uart,Flow_completed,0h6m30s,0h4m1s,46133.33333333334,0.12,23066.66666666667,35,545.72,2768,0,0,0,0,0,0,0,1,0,-1,0,91647,20662,-0.67,-0.67,-0.47,-0.47,-0.73,-37.32,-37.32,-45.39,-45.39,-68.63,62910936,0.0,19.11,18.79,0.06,-1,-1,2767,2787,454,474,0,0,0,2768,59,0,30,41,182,125,26,685,435,396,17,278,1410,0,1688,93.19664492078284,10.73,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,4
+0,/project/openlane/uart,uart_core,uart,Flow_completed,0h5m4s,0h3m18s,46133.33333333334,0.12,23066.66666666667,35,545.71,2768,0,0,0,0,0,0,0,1,0,-1,0,91647,20662,-0.67,-0.67,-0.47,-0.47,-0.73,-37.32,-37.32,-45.39,-45.39,-68.63,62910936,0.0,19.11,18.79,0.06,-1,-1,2767,2787,454,474,0,0,0,2768,59,0,30,41,182,125,26,685,435,396,17,278,1410,0,1688,93.19664492078284,10.73,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index d2284fa..a4e190b 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h40m41s,0h5m14s,3.3079078455790785,10.2784,1.6539539227895392,0,577.98,17,0,0,0,0,0,0,0,0,28,-1,-1,1297769,6216,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,2.22,3.66,1.51,1.63,-1,852,1470,852,1470,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h42m41s,0h5m47s,3.3079078455790785,10.2784,1.6539539227895392,0,580.84,17,0,0,0,0,0,0,0,0,30,-1,-1,1296299,5489,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,2.61,3.74,0.82,1.49,-1,884,1502,853,1471,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 7565689..be336b3 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,Flow_completed,0h10m23s,0h7m30s,30760.0,0.2,15380.0,25,615.57,3076,0,0,0,0,0,0,0,1,0,-1,0,327997,31894,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,274634123,0.0,48.31,13.11,27.06,-1,-1,2798,3440,464,1106,0,0,0,3076,83,0,5,14,30,26,9,783,597,752,15,130,2343,0,2473,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,5
+0,/project/openlane/wb_host,wb_host,wb_host,Flow_completed,0h7m6s,0h4m32s,30340.0,0.2,15170.0,25,618.03,3034,0,0,0,0,0,0,0,3,0,-1,0,323344,30030,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,276033708,0.0,49.92,13.67,23.25,-1,-1,2756,3398,457,1099,0,0,0,3034,78,0,3,11,37,27,10,770,589,744,14,130,2343,0,2473,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,4,5
diff --git a/spi/lvs/clk_skew_adjust.spice.gz b/spi/lvs/clk_skew_adjust.spice.gz
index aeb5d3f..8bf188f 100644
--- a/spi/lvs/clk_skew_adjust.spice.gz
+++ b/spi/lvs/clk_skew_adjust.spice.gz
Binary files differ
diff --git a/spi/lvs/sdram.spice.gz b/spi/lvs/sdram.spice.gz
index 6b6c750..9a8fec2 100644
--- a/spi/lvs/sdram.spice.gz
+++ b/spi/lvs/sdram.spice.gz
Binary files differ
diff --git a/spi/lvs/spi_master.spice.gz b/spi/lvs/spi_master.spice.gz
index f5b4dd5..af3caf2 100644
--- a/spi/lvs/spi_master.spice.gz
+++ b/spi/lvs/spi_master.spice.gz
Binary files differ
diff --git a/spi/lvs/uart.spice.gz b/spi/lvs/uart.spice.gz
index 5c4d266..daae4b9 100644
--- a/spi/lvs/uart.spice.gz
+++ b/spi/lvs/uart.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 8b0ce00..2359636 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index 5198c9f..4a1fe25 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index 7923d4a..db261ec 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
 .SUFFIXES:
 .SILENT: clean all
 
-PATTERNS = io_ports la_test1 la_test2 wb_port mprj_stimulus risc_boot user_risc_boot user_uart
+PATTERNS = io_ports la_test1 la_test2 wb_port mprj_stimulus risc_boot user_risc_boot user_uart user_spi
 
 all:  ${PATTERNS}
 	for i in ${PATTERNS}; do \
diff --git a/verilog/dv/model/s25fl256s.sv b/verilog/dv/model/s25fl256s.sv
new file mode 100644
index 0000000..748f037
--- /dev/null
+++ b/verilog/dv/model/s25fl256s.sv
@@ -0,0 +1,8183 @@
+///////////////////////////////////////////////////////////////////////////////
+//  File name : s25fl256s.v
+///////////////////////////////////////////////////////////////////////////////
+///////////////////////////////////////////////////////////////////////////////
+//  Copyright (C) 2012 Spansion, LLC.
+//
+//  MODIFICATION HISTORY :
+//
+//  version: | author:     |  mod date:  | changes made:
+//    V1.0      V.Mancev     19 Nov 09      Initial
+//            R.Prokopovic
+//    V1.1      V.Mancev     04 Mar 10    addr_cnt for second read in
+//                                        high performance read continuous
+//                                        mode can change its value only
+//                                        when CSNeg = '0'
+//    V1.2      V.Mancev     23 Mar 10    During read operations read_out
+//                                        signal changes its value in
+//                                        shorter interval
+//    V1.3      V.Mancev     12 Apr 10    HOLD mode corrected
+//                                        Condition for PP operation
+//                                        corrected
+//    V1.4      V.Mancev     20 May 10    SRWD bit assignment is corrected
+//                                        Condition for WRR command in
+//                                        write_cycle _decode section is
+//                                        corrected
+//                                        Blocking assignments for signals
+//                                        WSTART and PSTART are replaced
+//                                        with nonblocking assignments
+//                                        Conditions in Page Program
+//                                        section are fixed
+//    V1.5      V.Mancev     25 May 10    Conditions in programming
+//                                        sections are fixed
+//                                        Timing control sections for
+//                                        Program and Erase operation are
+//                                        changed
+//    V1.6      V.Mancev     03 June 10   bus_cycle_state section for
+//                                        PGSP command is fixed
+//    V1.7      V.Mancev     28 July 10   During the QUAD mode HOLD# input
+//                                        is not monitored for its normal
+//                                        function
+//                                        write cycle decode section is
+//                                        changed
+//    V1.8     B.Colakovic   24 Aug 10    All redundant signals are removed
+//                                        from BusCycle process
+//    V1.9      V.Mancev     30 Sep 10    Latest datasheet aligned
+//             B.Colakovic
+//
+//    V2.0      V.Mancev     05 Nov 10    Hybrid configuration added
+//
+//    V2.1      V.Mancev     12 Nov 10    QUAD Program operation during Erase
+//                                        Suspend is added
+//                                        Warning for Resume to Suspend time
+//                                        is added
+//                                        During Erase Suspend, after Program
+//                                        operation is completed, WEL bit is
+//                                        cleared
+//                                        Implemetation of Software Reset is
+//                                        Changed
+//    V2.2      S.Petrovic   18 Apr 11    Corrected timing in always block
+//                                        that generates rising_edge_CSNeg_ipd
+//    V2.3      B.Colakovic  05 July 11   Latest datasheet aligned
+//    V2.4      B.Colakovic  14 July 11   Optimization issue is fixed
+//    V2.5      V.Mancev     19 July 11   Timing check issue is fixed
+//    V2.6      V. Mancev    18 Nov 11    Time tHO is changed to 1 ns
+//                                        (customer's request)
+//                                        BRWR instruction is corrected
+//    V2.7      S.Petrovic   28 Aug 12    QPP Instruction is allowed on
+//                                        previously programmed page
+//    V2.8      V. Mancev    13 Feb 13    Reverted restriction for QPP
+//                                        on programmed page and
+//                                        added clearing with sector erase
+//    V2.9      S.Petrovic   13 Nov 28    Corrected FSM state transition
+//                                        started on Power-Up and HW
+//                                        Reset in StateGen process
+//    V2.10     V. Mancev    13 Dec 20     Corrected DLP read
+//    V2.11     M.Stojanovic 15 May 15    Ignored upper address bits for RD4
+//    V2.12     M.Stojanovic 15 May 29    Ignored upper address bits for all
+//                                        commands in QUAD mode
+//    V2.13     M.Stojanovic 16 May 11    During QPP and QPP4 commands
+//                                        the same page must not be
+//                                        programmed more than once. However
+//                                        do not generate P_ERR if this
+//                                        occurs.
+//    V2.14     M.Krneta     19 May 07    Updated according to the rev *P
+//                                        (QPP and QPP4 commands changed,
+//                                        ECCRD command added,
+//                                        LOCK bit removed)
+//    V2.15     B.Barac      20 Jan 24    Bug 49 fixed, issue with not assigning 
+//                                        sector in commands P4E4 and P4E
+//
+///////////////////////////////////////////////////////////////////////////////
+//  PART DESCRIPTION:
+//
+//  Library:    FLASH
+//  Technology: FLASH MEMORY
+//  Part:       S25FL256S
+//
+//  Description: 256 Megabit Serial Flash Memory
+//
+//////////////////////////////////////////////////////////////////////////////
+//  Comments :
+//      For correct simulation, simulator resolution should be set to 1 ps
+//      A device ordering (trim) option determines whether a feature is enabled
+//      or not, or provide relevant parameters:
+//        -15th character in TimingModel determines if enhanced high
+//         performance option is available
+//            (0,2,3,R,A,B,C,D) EHPLC
+//            (Y,Z,S,T,K,L)     Security EHPLC
+//            (4,6,7,8,9,Q)     HPLC
+//        -15th character in TimingModel determines if RESET# input
+//         is available
+//            (R,A,B,C,D,Q.6,7,K,L,S,T,M,N,U,V)  RESET# is available
+//            (0,2,3,4,8,9,Y.Z.W,X)              RESET# is tied to the inactive
+//                                               state,inside the package.
+//        -16th character in TimingModel determines Sector and Page Size:
+//            (0) Sector Size = 64 kB;  Page Size = 256 bytes
+//                Hybrid Top/Bottom sector size architecture
+//            (1) Sector Size = 256 kB; Page Size = 512 bytes
+//                Uniform sector size architecture
+//////////////////////////////////////////////////////////////////////////////
+//  Known Bugs:
+//
+//////////////////////////////////////////////////////////////////////////////
+
+//////////////////////////////////////////////////////////////////////////////
+// MODULE DECLARATION                                                       //
+//////////////////////////////////////////////////////////////////////////////
+`timescale 1 ps/1 ps
+
+module s25fl256s
+    (
+        // Data Inputs/Outputs
+        SI     ,
+        SO     ,
+        // Controls
+        SCK    ,
+        CSNeg  ,
+        RSTNeg ,
+        WPNeg  ,
+        HOLDNeg
+
+);
+
+///////////////////////////////////////////////////////////////////////////////
+// Port / Part Pin Declarations
+///////////////////////////////////////////////////////////////////////////////
+
+    inout   SI            ;
+    inout   SO            ;
+
+    input   SCK           ;
+    input   CSNeg         ;
+    input   RSTNeg        ;
+    inout   HOLDNeg       ;
+    inout   WPNeg         ;
+
+    // interconnect path delay signals
+    wire   SCK_ipd        ;
+    wire   SI_ipd         ;
+    wire   SO_ipd         ;
+
+    wire SI_in            ;
+    assign SI_in = SI_ipd ;
+
+    wire SI_out           ;
+    assign SI_out = SI    ;
+
+    wire SO_in            ;
+    assign SO_in = SO_ipd ;
+
+    wire SO_out           ;
+    assign SO_out = SO    ;
+
+    wire   CSNeg_ipd      ;
+    wire   HOLDNeg_ipd    ;
+    wire   WPNeg_ipd      ;
+    wire   RSTNeg_ipd     ;
+
+    wire HOLDNeg_in                 ;
+    //Internal pull-up
+    assign HOLDNeg_in = (HOLDNeg_ipd === 1'bx) ? 1'b1 : HOLDNeg_ipd;
+
+    wire HOLDNeg_out                ;
+    assign HOLDNeg_out = HOLDNeg    ;
+
+    wire   WPNeg_in                 ;
+    //Internal pull-up
+    assign WPNeg_in = (WPNeg_ipd === 1'bx) ? 1'b1 : WPNeg_ipd;
+
+    wire   WPNeg_out                ;
+    assign WPNeg_out = WPNeg        ;
+
+    wire   RSTNeg_in                 ;
+    //Internal pull-up
+    assign RSTNeg_in = (RSTNeg_ipd === 1'bx) ? 1'b1 : RSTNeg_ipd;
+
+    // internal delays
+    reg PP_in       ;
+    reg PP_out      ;
+    reg BP_in       ;
+    reg BP_out      ;
+    reg SE_in       ;
+    reg SE_out      ;
+    reg BE_in       ;
+    reg BE_out      ;
+    reg WRR_in      ;
+    reg WRR_out     ;
+    reg ERSSUSP_in  ;
+    reg ERSSUSP_out ;
+    reg PRGSUSP_in  ;
+    reg PRGSUSP_out ;
+    reg PU_in       ;
+    reg PU_out      ;
+    reg RST_in      ;
+    reg RST_out     ;
+    reg PPBERASE_in ;
+    reg PPBERASE_out;
+    reg PASSULCK_in ;
+    reg PASSULCK_out;
+    reg PASSACC_in ;
+    reg PASSACC_out;
+
+    // event control registers
+    reg PRGSUSP_out_event;
+    reg ERSSUSP_out_event;
+    reg Reseted_event;
+    reg SCK_ipd_event;
+    reg next_state_event;
+
+    reg rising_edge_PoweredUp;
+    reg rising_edge_Reseted;
+    reg rising_edge_PASSULCK_in;
+    reg rising_edge_RES_out;
+    reg rising_edge_PSTART;
+    reg rising_edge_WSTART;
+    reg rising_edge_ESTART;
+    reg rising_edge_RSTNeg;
+    reg rising_edge_RST;
+    reg falling_edge_RSTNeg;
+    reg falling_edge_RST;
+    reg rising_edge_RST_out;
+    reg rising_edge_CSNeg_ipd  = 1'b0;
+    reg falling_edge_CSNeg_ipd = 1'b0;
+    reg rising_edge_SCK_ipd    = 1'b0;
+    reg falling_edge_SCK_ipd   = 1'b0;
+
+    reg RST                ;
+
+    reg  SOut_zd = 1'bZ     ;
+    reg  SOut_z  = 1'bZ     ;
+
+    wire SI_z                ;
+    wire SO_z                ;
+
+    reg  SIOut_zd = 1'bZ     ;
+    reg  SIOut_z  = 1'bZ     ;
+
+    reg  WPNegOut_zd   = 1'bZ  ;
+    reg  HOLDNegOut_zd = 1'bZ  ;
+
+    assign SI_z = SIOut_z;
+    assign SO_z = SOut_z;
+
+    parameter UserPreload       = 1;
+    parameter mem_file_name     = "none";//"s25fl256s.mem";
+    parameter otp_file_name     = "s25fl256sOTP.mem";//"none";
+
+    parameter TimingModel       = "DefaultTimingModel";
+
+    parameter  PartID           = "s25fl256s";
+    parameter  MaxData          = 255;
+    parameter  MemSize          = 28'h1FFFFFF;
+    parameter  SecSize256       = 20'h3FFFF;
+    parameter  SecSize64        = 16'hFFFF;
+    parameter  SecSize4         = 12'hFFF;
+    parameter  SecNum64         = 541;
+    parameter  SecNum256        = 127;
+    parameter  PageNum64        = 20'h3FFFF;
+    parameter  PageNum256       = 16'hFFFF;
+    parameter  AddrRANGE        = 28'h1FFFFFF;
+    parameter  HiAddrBit        = 31;
+    parameter  OTPSize          = 1023;
+    parameter  OTPLoAddr        = 12'h000;
+    parameter  OTPHiAddr        = 12'h3FF;
+    parameter  BYTE             = 8;
+
+    // Manufacturer Identification
+    parameter  Manuf_ID       = 8'h01;
+    parameter  DeviceID       = 8'h18;
+    // Electronic Signature
+    parameter  ESignature     = 8'h18;
+    //  Device ID
+    //Manufacturer Identification && Memory Type && Memory Capacity
+    parameter  Jedec_ID       = 8'h01;
+    parameter  DeviceID1      = 8'h02;
+    parameter  DeviceID2      = 8'h19;
+    parameter  ExtendedBytes  = 8'h4D;
+    parameter  ExtendedID64   = 8'h01;
+    parameter  ExtendedID256  = 8'h00;
+    parameter  DieRev         = 8'h00;
+    parameter  MaskRev        = 8'h00;
+
+    integer    PageSize;
+    integer    PageNum;
+    integer    SecSize;
+    integer    b_act = 0;
+
+    integer    ASP_ProtSE = 0;
+    integer    Sec_ProtSE = 0;
+
+    integer    EHP; //Enhanced High Performance Mode active
+
+    integer    BAR_ACC = 0; //Bank Register Access active
+
+    //varaibles to resolve architecture used
+    reg [24*8-1:0] tmp_timing;//stores copy of TimingModel
+    reg [7:0] tmp_char1;//Define EHPLC or HPLC Mode
+    reg [7:0] tmp_char2;//stores "0" or "1" character defining sector/page size
+    integer found = 1'b0;
+
+    // If speedsimulation is needed uncomment following line
+
+       `define SPEEDSIM;
+
+    // powerup
+    reg PoweredUp;
+
+    // Memory Array Configuration
+    reg BottomBoot          = 1'b0;
+    reg TopBoot             = 1'b0;
+    reg UniformSec          = 1'b0;
+
+    // FSM control signals
+    reg PDONE     ;
+    reg PSTART    ;
+    reg PGSUSP    ;
+    reg PGRES     ;
+
+    reg TSU       ;
+
+    reg RES_TO_SUSP_MIN_TIME;
+    reg RES_TO_SUSP_TYP_TIME;
+
+    reg WDONE     ;
+    reg WSTART    ;
+
+    reg EDONE     ;
+    reg ESTART    ;
+    reg ESUSP     ;
+    reg ERES      ;
+
+    reg Reseted   ;
+
+    reg PARAM_REGION      = 1'b0;
+
+    // Lock Bit is enabled for customer programming
+    reg WRLOCKENABLE      = 1'b1;
+    // Flag that mark if ASP Register is allready programmed
+    reg ASPOTPFLAG        = 1'b0;
+
+    //Flag for Password unlock command
+    reg PASS_UNLOCKED     = 1'b0;
+    reg [63:0] PASS_TEMP  = 64'hFFFFFFFFFFFFFFFF;
+
+    reg QUADRD            = 1'b0;
+    reg INITIAL_CONFIG    = 1'b0;
+    reg CHECK_FREQ        = 1'b0;
+
+    // Programming buffer
+    integer WByte[0:511];
+    // CFI array
+    integer CFI_array[8'h00:8'h50];
+    // OTP Memory Array
+    integer OTPMem[OTPLoAddr:OTPHiAddr];
+    // Flash Memory Array
+    integer Mem[0:AddrRANGE];
+
+    // Registers
+    // VDLR Register
+    reg[7:0] VDLR_reg          = 8'h00;
+    reg[7:0] VDLR_reg_in       = 8'h00;
+    // NVDLR Register
+    reg[7:0] NVDLR_reg         = 8'h00;
+    reg[7:0] NVDLR_reg_in      = 8'h00;
+    reg start_dlp              = 1'b0;
+
+    // Status Register 1
+    reg[7:0] Status_reg1       = 8'h00;
+    reg[7:0] Status_reg1_in    = 8'h00;
+
+    wire SRWD ;
+    wire P_ERR;
+    wire E_ERR;
+    wire [2:0]BP;
+    wire WEL;
+    wire WIP;
+    assign SRWD   = Status_reg1[7];
+    assign P_ERR  = Status_reg1[6];
+    assign E_ERR  = Status_reg1[5];
+    assign BP     = Status_reg1[4:2];
+    assign WEL    = Status_reg1[1];
+    assign WIP    = Status_reg1[0];
+
+    // Status Register 2
+    reg[7:0] Status_reg2       = 8'h00;
+    reg[7:0] Status_reg2_in    = 8'h00;
+
+    wire ES ;
+    wire PS ;
+    assign ES   = Status_reg2[1];
+    assign PS   = Status_reg2[0];
+
+    // Configuration Register 1
+    reg[7:0] Config_reg1       = 8'h00;
+    reg[7:0] Config_reg1_in    = 8'h00;
+
+    wire   LC1                     ;
+    wire   LC0                     ;
+    wire   TBPROT                  ;
+//     wire   LOCK                    ;
+    wire   BPNV                    ;
+    wire   TBPARM                  ;
+    wire   QUAD                    ;
+    wire   FREEZE                  ;
+    assign LC1     = Config_reg1[7];
+    assign LC0     = Config_reg1[6];
+    assign TBPROT  = Config_reg1[5];
+//     assign LOCK    = Config_reg1[4];
+    assign BPNV    = Config_reg1[3];
+    assign TBPARM  = Config_reg1[2];
+    assign QUAD    = Config_reg1[1];
+    assign FREEZE  = Config_reg1[0];
+
+    // Autoboot Register
+    reg[31:0] AutoBoot_reg     = 32'h00000000;
+    reg[31:0] AutoBoot_reg_in  = 32'h00000000;
+
+    wire ABE;
+    assign ABE     = AutoBoot_reg[0];
+
+    // Bank Address Register
+    reg [7:0] Bank_Addr_reg    = 8'h00;
+    reg [7:0] Bank_Addr_reg_in = 8'h00;
+
+    wire   EXTADD;
+    wire   BA24;
+
+    assign EXTADD  = Bank_Addr_reg[7];
+    assign BA24    = Bank_Addr_reg[0];
+    
+    // ECC Status Register
+    reg[7:0] ECCSR      = 8'h00;
+    
+    wire   EECC;
+    wire   EECCD;
+    wire   ECCDI;
+    
+    assign EECC   = ECCSR[2];
+    assign EECCD  = ECCSR[1];
+    assign ECCDI  = ECCSR[0];
+
+    // ASP Register
+    reg[15:0] ASP_reg   ;
+    reg[15:0] ASP_reg_in;
+
+    wire    RPME         ;
+    wire    PPBOTP       ;
+    wire    PWDMLB       ;
+    wire    PSTMLB       ;
+    assign  RPME     = ASP_reg[5];
+    assign  PPBOTP   = ASP_reg[3];
+    assign  PWDMLB   = ASP_reg[2];
+    assign  PSTMLB   = ASP_reg[1];
+
+    // Password register
+    reg[63:0] Password_reg     = 64'hFFFFFFFFFFFFFFFF;
+    reg[63:0] Password_reg_in  = 64'hFFFFFFFFFFFFFFFF;
+
+    // PPB Lock Register
+    reg[7:0] PPBL              = 8'h00;
+    reg[7:0] PPBL_in           = 8'h00;
+
+    wire   PPB_LOCK              ;
+    assign PPB_LOCK     = PPBL[0];
+
+    // PPB Access Register
+    reg[7:0] PPBAR             = 8'hFF;
+    reg[7:0] PPBAR_in          = 8'hFF;
+
+    reg[SecNum64:0] PPB_bits   = {542{1'b1}};
+
+    // DYB Access Register
+    reg[7:0] DYBAR             = 8'hFF;
+    reg[7:0] DYBAR_in          = 8'hFF;
+
+    reg[SecNum64:0] DYB_bits   = {542{1'b1}};
+
+    //The Lock Protection Registers for OTP Memory space
+    reg[7:0] LOCK_BYTE1;
+    reg[7:0] LOCK_BYTE2;
+    reg[7:0] LOCK_BYTE3;
+    reg[7:0] LOCK_BYTE4;
+
+    // Command Register
+    reg write;
+    reg cfg_write;
+    reg read_out;
+    reg dual          = 1'b0;
+    reg rd_fast       = 1'b1;
+    reg rd_slow       = 1'b0;
+    reg ddr           = 1'b0;
+    reg ddr80         = 1'b0;
+    reg ddr_fast      = 1'b0;
+    reg hold_mode     = 1'b0;
+    reg any_read      = 1'b0;
+    reg quad_pg       = 1'b0;
+
+    wire rd ;
+    wire fast_rd ;
+    wire ddrd ;
+    wire fast_ddr ;
+    wire ddrd80 ;
+
+    wire quadpg ;
+    assign quadpg = quad_pg;
+
+    wire RD_EQU_1;
+    assign RD_EQU_1 = any_read;
+
+    wire RD_EQU_0;
+    assign RD_EQU_0 = ~any_read;
+
+    reg  change_TBPARM = 0;
+
+    reg  change_BP = 0;
+    reg [2:0] BP_bits   = 3'b0;
+
+    reg DOUBLE          = 1'b0; //Double Data Rate (DDR) flag
+
+    reg RdPswdProtMode    = 1'b0;//Read Password Protection Mode Active flag
+    reg RdPswdProtEnable  = 1'b0;//Read Password Protection Mode Support flag
+
+    integer Byte_number = 0;
+
+    reg oe   = 1'b0;
+    reg oe_z = 1'b0;
+
+    reg [647:0] CFI_array_tmp ;
+    reg [7:0] CFI_tmp;
+
+    integer start_delay;
+    reg start_autoboot;
+    integer ABSD;
+
+    reg  change_addr ;
+    integer Address = 0;
+    integer SectorSuspend = 0;
+
+    //Sector and subsector addresses
+    integer SA        = 0;
+
+    // Sector is protect if Sec_Prot(SecNum) = '1'
+    reg [SecNum64:0] Sec_Prot  = {542{1'b0}};
+
+    // timing check violation
+    reg Viol = 1'b0;
+
+    integer WOTPByte;
+    integer AddrLo;
+    integer AddrHi;
+
+    reg[7:0]  old_bit, new_bit;
+    integer old_int, new_int;
+    reg[63:0] old_pass;
+    reg[63:0] new_pass;
+    integer wr_cnt;
+    integer cnt;
+
+    integer read_cnt = 0;
+    integer byte_cnt = 1;
+    integer read_addr = 0;
+    integer read_addr_tmp = 0;
+    integer Sec_addr = 0;
+    integer SecAddr = 0;
+    integer Page_addr = 0;
+    integer pgm_page = 0;
+
+    reg[7:0] data_out;
+    reg[647:0] ident_out;
+
+    time SCK_cycle = 0;
+    time prev_SCK;
+    time start_ddr;
+    time out_time;
+    time SCK_SO_DDR;
+///////////////////////////////////////////////////////////////////////////////
+//Interconnect Path Delay Section
+///////////////////////////////////////////////////////////////////////////////
+ buf   (SCK_ipd, SCK);
+ buf   (SI_ipd, SI);
+
+ buf   (SO_ipd, SO);
+ buf   (CSNeg_ipd, CSNeg);
+ buf   (HOLDNeg_ipd, HOLDNeg);
+ buf   (WPNeg_ipd, WPNeg);
+ buf   (RSTNeg_ipd, RSTNeg);
+
+///////////////////////////////////////////////////////////////////////////////
+// Propagation  delay Section
+///////////////////////////////////////////////////////////////////////////////
+    nmos   (SI,   SI_z , 1);
+
+    nmos   (SO,   SO_z , 1);
+    nmos   (HOLDNeg,   HOLDNegOut_zd , 1);
+    nmos   (WPNeg,   WPNegOut_zd , 1);
+
+    wire deg_pin;
+    wire deg_sin;
+    wire deg_holdin;
+    wire deh_pin;
+    wire deh_sout;
+    wire deh_ddr_sout;
+    wire deh_holdin;
+    //VHDL VITAL CheckEnable equivalents
+    wire quad_rd;
+    assign quad_rd = deg_holdin && ~QUAD && (SIOut_z != 1'bz);
+    wire wr_prot;
+    assign wr_prot = SRWD && WEL && ~QUAD;
+    wire dual_rd;
+    assign dual_rd = dual ;
+    wire ddro;
+    assign ddro = ddr && ~ddr80 && ~dual ;
+    wire ddro80;
+    assign ddro80 = ddr && ddr80 && ~dual ;
+    wire ddr_rd;
+    assign ddr_rd = PoweredUp && ddr;
+    wire sdr_rd;
+    assign sdr_rd = PoweredUp && ~ddr;
+
+specify
+        // tipd delays: interconnect path delays , mapped to input port delays.
+        // In Verilog is not necessary to declare any tipd_ delay variables,
+        // they can be taken from SDF file
+        // With all the other delays real delays would be taken from SDF file
+
+    // tpd delays
+    specparam        tpd_SCK_SO_normal             =1;
+    specparam        tpd_CSNeg_SO                  =1;
+    specparam        tpd_HOLDNeg_SO                =1;
+    specparam        tpd_RSTNeg_SO                 =1;
+    //DDR operation values
+    specparam        tpd_SCK_SO_DDR                =1;
+
+    //tsetup values: setup times
+    specparam        tsetup_CSNeg_SCK              =1;
+    specparam        tsetup_SI_SCK_normal          =1;
+    specparam        tsetup_WPNeg_CSNeg            =1;
+    specparam        tsetup_HOLDNeg_SCK            =1;
+    specparam        tsetup_RSTNeg_CSNeg           =1;
+    // DDR operation values
+    specparam        tsetup_SI_SCK_DDR             =1;
+    specparam        tsetup_SI_SCK_DDR_fast        =1;
+    specparam        tsetup_CSNeg_SCK_DDR          =1;
+
+    //thold values: hold times
+    specparam        thold_CSNeg_SCK               =1;
+    specparam        thold_SI_SCK_normal           =1;
+    specparam        thold_SO_SCK_normal           =1;
+    specparam        thold_WPNeg_CSNeg             =1;
+    specparam        thold_HOLDNeg_SCK             =1;
+    specparam        thold_CSNeg_RSTNeg            =1;
+    // DDR operation values
+    specparam        thold_SI_SCK_DDR              =1;
+    specparam        thold_SI_SCK_DDR_fast         =1;
+    specparam        thold_CSNeg_SCK_DDR           =1;
+
+    // tpw values: pulse width
+    specparam        tpw_SCK_serial_posedge        =1;
+    specparam        tpw_SCK_dual_posedge          =1;
+    specparam        tpw_SCK_fast_posedge          =1;
+    specparam        tpw_SCK_quadpg_posedge        =1;
+    specparam        tpw_SCK_serial_negedge        =1;
+    specparam        tpw_SCK_dual_negedge          =1;
+    specparam        tpw_SCK_fast_negedge          =1;
+    specparam        tpw_SCK_quadpg_negedge        =1;
+    specparam        tpw_CSNeg_read_posedge        =1;
+    specparam        tpw_CSNeg_pgers_posedge       =1;
+    specparam        tpw_RSTNeg_negedge            =1;
+    specparam        tpw_RSTNeg_posedge            =1;
+    // DDR operation values
+    specparam        tpw_SCK_DDR_posedge           =1;
+    specparam        tpw_SCK_DDR_negedge           =1;
+    specparam        tpw_SCK_DDR80_posedge         =1;
+    specparam        tpw_SCK_DDR80_negedge         =1;
+
+    // tperiod min (calculated as 1/max freq)
+    specparam        tperiod_SCK_serial_rd         =1;// 50 MHz
+    specparam        tperiod_SCK_fast_rd           =1;//133 MHz
+    specparam        tperiod_SCK_dual_rd           =1;//104 MHz
+    specparam        tperiod_SCK_quadpg            =1;// 80 MHz
+    // DDR operation values
+    specparam        tperiod_SCK_DDR_rd            =1;// 66 MHz
+    specparam        tperiod_SCK_DDR80_rd          =1;// 80 MHz
+
+    `ifdef SPEEDSIM
+        // Page Program Operation
+        specparam        tdevice_PP_256            = 75e7;//tPP
+        // Page Program Operation
+        specparam        tdevice_PP_512            = 75e7;//tPP
+        // Typical Byte Programming Time
+        specparam        tdevice_BP                = 4e8;//tBP
+        // Sector Erase Operation
+        specparam        tdevice_SE64              = 650e7;//tSE
+        // Sector Erase Operation
+        specparam        tdevice_SE256             = 1875e7;//tSE
+        // Bulk Erase Operation
+        specparam        tdevice_BE                = 330e9;//tBE
+        // WRR Cycle Time
+        specparam        tdevice_WRR               = 1; // 2e9;//tW
+        // Erase Suspend/Erase Resume Time
+        specparam        tdevice_ERSSUSP           = 45e6;//tESL
+        // Program Suspend/Program Resume Time
+        specparam        tdevice_PRGSUSP           = 1; // 40e6;//
+        // VCC (min) to CS# Low
+        specparam        tdevice_PU                = 1; // 3e8;//tPU
+        // PPB Erase Time
+        specparam        tdevice_PPBERASE          = 15e9;//
+        // Password Unlock Time
+        specparam        tdevice_PASSULCK          = 1e6;//
+        // Password Unlock to Password Unlock Time
+        specparam        tdevice_PASSACC           = 100e6;
+        // Data In Setup Max time
+        specparam        tdevice_TSU               = 300e3;
+    `else
+        // Page Program Operation
+        specparam        tdevice_PP_256            = 75e7;//tPP
+        // Page Program Operation
+        specparam        tdevice_PP_512            = 75e7;//tPP
+        // Typical Byte Programming Time
+        specparam        tdevice_BP                = 4e8;//tBP
+        // Sector Erase Operation
+        specparam        tdevice_SE64              = 650e9;//tSE
+        // Sector Erase Operation
+        specparam        tdevice_SE256             = 1875e9;//tSE
+        // Bulk Erase Operation
+        specparam        tdevice_BE                = 330e12;//tBE
+        // WRR Cycle Time
+        specparam        tdevice_WRR               = 2e11;//tW
+        // Erase Suspend/Erase Resume Time
+        specparam        tdevice_ERSSUSP           = 45e6;//tESL
+        // Program Suspend/Program Resume Time
+        specparam        tdevice_PRGSUSP           = 40e6;//
+        // VCC (min) to CS# Low
+        specparam        tdevice_PU                = 3e8;//tPU
+        // PPB Erase Time
+        specparam        tdevice_PPBERASE          = 15e9;//
+        // Password Unlock Time
+        specparam        tdevice_PASSULCK          = 1e6;//
+        // Password Unlock to Password Unlock Time
+        specparam        tdevice_PASSACC           = 100e6;
+        // Data In Setup Max time
+        specparam        tdevice_TSU               = 300e3;
+    `endif // SPEEDSIM
+
+///////////////////////////////////////////////////////////////////////////////
+// Input Port  Delays  don't require Verilog description
+///////////////////////////////////////////////////////////////////////////////
+// Path delays                                                               //
+///////////////////////////////////////////////////////////////////////////////
+  if (~ddr)           (SCK => SO) = tpd_SCK_SO_normal;
+  if (ddr || rd_fast) (SCK => SO) = tpd_SCK_SO_DDR;
+
+  if (~ddr && dual) (SCK => SI) = tpd_SCK_SO_normal;
+  if ( ddr && dual) (SCK => SI) = tpd_SCK_SO_DDR;
+
+  if (~ddr && QUAD)(SCK => HOLDNeg) = tpd_SCK_SO_normal;
+  if ( ddr && QUAD)(SCK => HOLDNeg) = tpd_SCK_SO_DDR;
+  if (~ddr && QUAD)(SCK => WPNeg)   = tpd_SCK_SO_normal;
+  if ( ddr && QUAD)(SCK => WPNeg)   = tpd_SCK_SO_DDR;
+
+  if (CSNeg)         (CSNeg => SO) = tpd_CSNeg_SO;
+  if (CSNeg && dual) (CSNeg => SI) = tpd_CSNeg_SO;
+
+  if (CSNeg && QUAD) (CSNeg => HOLDNeg) = tpd_CSNeg_SO;
+  if (CSNeg && QUAD) (CSNeg => WPNeg)   = tpd_CSNeg_SO;
+
+  if (~QUAD)          (HOLDNeg => SO) = tpd_HOLDNeg_SO;
+  if (~QUAD && dual)  (HOLDNeg => SI) = tpd_HOLDNeg_SO;
+
+   (RSTNeg => SO) = tpd_RSTNeg_SO;
+///////////////////////////////////////////////////////////////////////////////
+// Timing Violation                                                          //
+///////////////////////////////////////////////////////////////////////////////
+        $setup ( CSNeg          , posedge SCK &&& sdr_rd,
+                                                tsetup_CSNeg_SCK,       Viol);
+        $setup ( CSNeg          , posedge SCK &&& ddr_rd,
+                                                tsetup_CSNeg_SCK_DDR,   Viol);
+        $setup ( SI             , posedge SCK &&& deg_sin,
+                                                tsetup_SI_SCK_normal,   Viol);
+        $setup ( WPNeg          , negedge CSNeg &&& wr_prot,
+                                                tsetup_WPNeg_CSNeg,     Viol);
+        $setup ( HOLDNeg        , posedge SCK &&& quad_rd,
+                                                tsetup_HOLDNeg_SCK,     Viol);
+        $setup ( SI             , posedge SCK &&& ddro,
+                                                tsetup_SI_SCK_DDR,      Viol);
+        $setup ( SI             , negedge SCK &&& ddro,
+                                                tsetup_SI_SCK_DDR,      Viol);
+        $setup ( SI             , posedge SCK &&& ddro80,
+                                                tsetup_SI_SCK_DDR,      Viol);
+        $setup ( SI             , negedge SCK &&& ddro80,
+                                                tsetup_SI_SCK_DDR,      Viol);
+
+        $setup ( RSTNeg         , negedge CSNeg,
+                                                tsetup_RSTNeg_CSNeg,    Viol);
+
+        $hold  ( posedge SCK &&& sdr_rd   , CSNeg,
+                                                thold_CSNeg_SCK,        Viol);
+        $hold  ( posedge SCK &&& ddr_rd   , CSNeg,
+                                                thold_CSNeg_SCK_DDR,    Viol);
+        $hold  ( posedge SCK &&& deg_sin     , SI ,
+                                                thold_SI_SCK_normal,    Viol);
+        $hold  ( negedge SCK &&& deh_sout    , SO ,
+                                                thold_SO_SCK_normal,    Viol);
+        $hold  ( negedge SCK &&& deh_ddr_sout        , SO ,
+                                                thold_SO_SCK_normal,    Viol);
+        $hold  ( posedge SCK &&& deh_ddr_sout        , SO ,
+                                                thold_SO_SCK_normal,    Viol);
+        $hold  ( posedge CSNeg &&& wr_prot , WPNeg ,
+                                                thold_WPNeg_CSNeg,      Viol);
+        $hold  ( posedge SCK  &&& quad_rd  , HOLDNeg ,
+                                                thold_HOLDNeg_SCK,      Viol);
+        $hold  ( posedge SCK &&& ddro     , SI,
+                                                thold_SI_SCK_DDR,       Viol);
+        $hold  ( negedge SCK &&& ddro     , SI,
+                                                thold_SI_SCK_DDR,       Viol);
+        $hold  ( posedge SCK &&& ddro80     , SI,
+                                                thold_SI_SCK_DDR,       Viol);
+        $hold  ( negedge SCK &&& ddro80     , SI,
+                                                thold_SI_SCK_DDR,       Viol);
+
+        $hold  ( negedge RSTNeg  , CSNeg,
+                                                thold_CSNeg_RSTNeg,     Viol);
+
+        $width ( posedge SCK &&& rd        , tpw_SCK_serial_posedge);
+        $width ( negedge SCK &&& rd        , tpw_SCK_serial_negedge);
+        $width ( posedge SCK &&& dual_rd   , tpw_SCK_dual_posedge);
+        $width ( negedge SCK &&& dual_rd   , tpw_SCK_dual_negedge);
+        $width ( posedge SCK &&& fast_rd   , tpw_SCK_fast_posedge);
+        $width ( negedge SCK &&& fast_rd   , tpw_SCK_fast_negedge);
+        $width ( posedge SCK &&& ddrd      , tpw_SCK_DDR_posedge);
+        $width ( negedge SCK &&& ddrd      , tpw_SCK_DDR_negedge);
+        $width ( posedge SCK &&& ddrd80    , tpw_SCK_DDR80_posedge);
+        $width ( negedge SCK &&& ddrd80    , tpw_SCK_DDR80_negedge);
+        $width ( posedge SCK &&& quadpg    , tpw_SCK_quadpg_posedge);
+        $width ( negedge SCK &&& quadpg    , tpw_SCK_quadpg_negedge);
+
+        $width ( posedge CSNeg &&& RD_EQU_1, tpw_CSNeg_read_posedge);
+        $width ( posedge CSNeg &&& RD_EQU_0, tpw_CSNeg_pgers_posedge);
+        $width ( negedge RSTNeg            , tpw_RSTNeg_negedge);
+        $width ( posedge RSTNeg            , tpw_RSTNeg_posedge);
+
+        $period ( posedge SCK &&& rd       , tperiod_SCK_serial_rd);
+        $period ( posedge SCK &&& fast_rd  , tperiod_SCK_fast_rd);
+        $period ( posedge SCK &&& dual_rd  , tperiod_SCK_dual_rd);
+        $period ( posedge SCK &&& quadpg   , tperiod_SCK_quadpg);
+        $period ( posedge SCK &&& ddrd     , tperiod_SCK_DDR_rd);
+        $period ( posedge SCK &&& ddrd80   , tperiod_SCK_DDR80_rd);
+
+endspecify
+
+///////////////////////////////////////////////////////////////////////////////
+// Main Behavior Block                                                       //
+///////////////////////////////////////////////////////////////////////////////
+// FSM states
+ parameter IDLE            = 5'd0;
+ parameter RESET_STATE     = 5'd1;
+ parameter AUTOBOOT        = 5'd2;
+ parameter WRITE_SR        = 5'd3;
+ parameter PAGE_PG         = 5'd4;
+ parameter OTP_PG          = 5'd5;
+ parameter PG_SUSP         = 5'd6;
+ parameter SECTOR_ERS      = 5'd7;
+ parameter BULK_ERS        = 5'd8;
+ parameter ERS_SUSP        = 5'd9;
+ parameter ERS_SUSP_PG     = 5'd10;
+ parameter ERS_SUSP_PG_SUSP= 5'd11;
+ parameter PASS_PG         = 5'd12;
+ parameter PASS_UNLOCK     = 5'd13;
+ parameter PPB_PG          = 5'd14;
+ parameter PPB_ERS         = 5'd15;
+ parameter AUTOBOOT_PG     = 5'd16;
+ parameter ASP_PG          = 5'd17;
+ parameter PLB_PG          = 5'd18;
+ parameter DYB_PG          = 5'd19;
+ parameter NVDLR_PG        = 5'd20;
+
+ reg [4:0] current_state;
+ reg [4:0] next_state;
+
+// Instruction type
+ parameter NONE            = 7'd0;
+ parameter WRR             = 7'd1;
+ parameter PP              = 7'd2;
+ parameter READ            = 7'd3;
+ parameter WRDI            = 7'd4;
+ parameter RDSR            = 7'd5;
+ parameter WREN            = 7'd6;
+ parameter RDSR2           = 7'd7;
+ parameter FSTRD           = 7'd8;
+ parameter FSTRD4          = 7'd9;
+ parameter DDRFR           = 7'd10;
+ parameter DDRFR4          = 7'd11;
+ parameter PP4             = 7'd12;
+ parameter RD4             = 7'd13;
+ parameter ABRD            = 7'd14;
+ parameter ABWR            = 7'd15;
+ parameter BRRD            = 7'd16;
+ parameter BRWR            = 7'd17;
+ parameter P4E             = 7'd19;
+ parameter P4E4            = 7'd20;
+ parameter ASPRD           = 7'd21;
+ parameter ASPP            = 7'd22;
+ parameter CLSR            = 7'd23;
+ parameter QPP             = 7'd24;
+ parameter QPP4            = 7'd25;
+ parameter RDCR            = 7'd26;
+ parameter DOR             = 7'd27;
+ parameter DOR4            = 7'd28;
+ parameter DLPRD           = 7'd29;
+ parameter OTPP            = 7'd30;
+ parameter PNVDLR          = 7'd31;
+ parameter OTPR            = 7'd32;
+ parameter WVDLR           = 7'd33;
+ parameter BE              = 7'd34;
+ parameter QOR             = 7'd35;
+ parameter QOR4            = 7'd36;
+ parameter ERSP            = 7'd37;
+ parameter ERRS            = 7'd38;
+ parameter PGSP            = 7'd39;
+ parameter PGRS            = 7'd40;
+ parameter REMS            = 7'd41;
+ parameter RDID            = 7'd42;
+ parameter MPM             = 7'd43;
+ parameter PLBWR           = 7'd44;
+ parameter PLBRD           = 7'd45;
+ parameter RES             = 7'd46;
+ parameter DIOR            = 7'd47;
+ parameter DIOR4           = 7'd48;
+ parameter DDRDIOR         = 7'd49;
+ parameter DDRDIOR4        = 7'd50;
+ parameter SE              = 7'd51;
+ parameter SE4             = 7'd52;
+ parameter DYBRD           = 7'd53;
+ parameter DYBWR           = 7'd54;
+ parameter PPBRD           = 7'd55;
+ parameter PPBP            = 7'd56;
+ parameter PPBERS          = 7'd57;
+ parameter PASSRD          = 7'd58;
+ parameter PASSP           = 7'd59;
+ parameter PASSU           = 7'd60;
+ parameter QIOR            = 7'd61;
+ parameter QIOR4           = 7'd62;
+ parameter DDRQIOR         = 7'd63;
+ parameter DDRQIOR4        = 7'd64;
+ parameter RESET           = 7'd65;
+ parameter MBR             = 7'd66;
+ parameter BRAC            = 7'd67;
+ parameter ECCRD           = 7'd68;
+
+ reg [6:0] Instruct;
+
+//Bus cycle state
+ parameter STAND_BY        = 3'd0;
+ parameter OPCODE_BYTE     = 3'd1;
+ parameter ADDRESS_BYTES   = 3'd2;
+ parameter DUMMY_BYTES     = 3'd3;
+ parameter MODE_BYTE       = 3'd4;
+ parameter DATA_BYTES      = 3'd5;
+
+ reg [2:0] bus_cycle_state;
+
+ reg deq_pin;
+    always @(SO_in, SO_z)
+    begin
+      if (SO_in==SO_z)
+        deq_pin=1'b0;
+      else
+        deq_pin=1'b1;
+    end
+    // check when data is generated from model to avoid setuphold check in
+    // this occasion
+    assign deg_pin = deq_pin;
+    assign deh_pin = (deq_pin == 1'b0) && (SO_z != 1'bz);
+ reg deq_sin;
+    always @(SI_in, SIOut_z)
+    begin
+      if (SI_in==SIOut_z)
+        deq_sin=1'b0;
+      else
+        deq_sin=1'b1;
+    end
+    // check when data is generated from model to avoid setuphold check in
+    // this occasion
+    assign deg_sin=deq_sin
+           && (ddr == 1'b0) && (Instruct !== DDRFR)
+           && (Instruct !== DDRFR4) && (Instruct !== DDRDIOR)
+           && (Instruct !== DDRDIOR4) && (Instruct !== DDRQIOR)
+           && (Instruct !== DDRQIOR4) && (SIOut_z != 1'bz);
+ reg deq_sout;
+    always @(SO_out, SIOut_z)
+    begin
+      if (SO_out==SIOut_z)
+        deq_sout=1'b0;
+      else
+        deq_sout=1'b1;
+    end
+    // check when data is generated from model
+    assign deh_sout= (deq_sout == 1'b0)
+           && (ddr == 1'b0) && (SOut_z != 1'bz);
+    assign deh_ddr_sout= (deq_sout == 1'b0)
+           && (ddr == 1'b1) && (SOut_z != 1'bz);
+
+ reg deq_holdin;
+    always @(HOLDNeg_ipd, HOLDNegOut_zd)
+    begin
+      if (HOLDNeg_ipd==HOLDNegOut_zd)
+        deq_holdin=1'b0;
+      else
+        deq_holdin=1'b1;
+    end
+    // check when data is generated from model to avoid setuphold check in
+    // this occasion
+    assign deg_holdin=deq_holdin;
+    assign deh_holdin=(deq_holdin == 1'b0) && (HOLDNegOut_zd != 1'bz);
+
+    //Power Up time;
+    initial
+    begin
+        PoweredUp = 1'b0;
+	$display("%0t=>STATUS: SPI FLASH POWER UP Wait Time: %0d:%0d",$time,tdevice_PU,tdevice_PRGSUSP);
+        #tdevice_PU PoweredUp = 1'b1;
+	$display("%0t=>STATUS: SPI FLASH POWER UP",$time,);
+    end
+
+    initial
+    begin : Init
+        write       = 1'b0;
+        cfg_write   = 1'b0;
+        read_out    = 1'b0;
+        Address     = 0;
+        change_addr = 1'b0;
+        cnt         = 0;
+        RST         = 1'b0;
+        RST_in      = 1'b0;
+        RST_out     = 1'b1;
+        PDONE       = 1'b1;
+        PSTART      = 1'b0;
+        PGSUSP      = 1'b0;
+        PGRES       = 1'b0;
+        PRGSUSP_in  = 1'b0;
+        ERSSUSP_in  = 1'b0;
+        RES_TO_SUSP_MIN_TIME  = 1'b0;
+        RES_TO_SUSP_TYP_TIME  = 1'b0;
+
+        EDONE       = 1'b1;
+        ESTART      = 1'b0;
+        ESUSP       = 1'b0;
+        ERES        = 1'b0;
+
+        WDONE       = 1'b1;
+        WSTART      = 1'b0;
+
+        Reseted     = 1'b0;
+
+        Instruct        = NONE;
+        bus_cycle_state = STAND_BY;
+        current_state   = RESET_STATE;
+        next_state      = RESET_STATE;
+    end
+
+    // initialize memory and load preload files if any
+    initial
+    begin: InitMemory
+        integer i;
+
+        for (i=0;i<=AddrRANGE;i=i+1)
+        begin
+            Mem[i] = MaxData;
+        end
+
+        if ((UserPreload) && !(mem_file_name == "none"))
+        begin
+           // Memory Preload
+           //s25fl256s.mem, memory preload file
+           //  @aaaaaa - <aaaaaa> stands for address
+           //  dd      - <dd> is byte to be written at Mem(aaaaaa++)
+           // (aaaaaa is incremented at every load)
+	   $display("%m: Loading Memfile : %s",mem_file_name);
+           $readmemh(mem_file_name,Mem);
+        end
+
+        for (i=OTPLoAddr;i<=OTPHiAddr;i=i+1)
+        begin
+            OTPMem[i] = MaxData;
+        end
+
+        if (UserPreload && !(otp_file_name == "none"))
+        begin
+        //s25fl256s_otp memory file
+        //   /       - comment
+        //   @aaaaaa     - <aaaaaa> stands for address within last defined
+        //   sector
+        //   dd      - <dd> is byte to be written at OTPMem(aaa++)
+        //   (aa is incremented at every load)
+        //   only first 1-4 columns are loaded. NO empty lines !!!!!!!!!!!!!!!!
+           $readmemh(otp_file_name,OTPMem);
+        end
+
+        LOCK_BYTE1[7:0] = OTPMem[16];
+        LOCK_BYTE2[7:0] = OTPMem[17];
+        LOCK_BYTE3[7:0] = OTPMem[18];
+        LOCK_BYTE4[7:0] = OTPMem[19];
+    end
+
+    // initialize memory and load preload files if any
+    initial
+    begin: InitTimingModel
+    integer i;
+    integer j;
+        //UNIFORM OR HYBRID arch model is used
+        //assumptions:
+        //1. TimingModel has format as S25FL128SXXXXXXXX_X_XXpF
+        //it is important that 16-th character from first one is "0" or "1"
+        //2. TimingModel does not have more then 24 characters
+        tmp_timing = TimingModel;//copy of TimingModel
+
+        i = 23;
+        while ((i >= 0) && (found != 1'b1))//search for first non null character
+        begin        //i keeps position of first non null character
+            j = 7;
+            while ((j >= 0) && (found != 1'b1))
+            begin
+                if (tmp_timing[i*8+j] != 1'd0)
+                    found = 1'b1;
+                else
+                    j = j-1;
+            end
+            i = i - 1;
+        end
+        i = i +1;
+        if (found)//if non null character is found
+        begin
+            for (j=0;j<=7;j=j+1)
+            begin
+            //EHPLC/HPLC character is 15
+            tmp_char1[j] = TimingModel[(i-14)*8+j];
+            //256B/512B Page character is 16
+            tmp_char2[j] = TimingModel[(i-15)*8+j];
+            end
+        end
+        if (tmp_char1 == "0" || tmp_char1 == "2" || tmp_char1 == "3" ||
+            tmp_char1 == "R" || tmp_char1 == "A" || tmp_char1 == "B" ||
+            tmp_char1 == "C" || tmp_char1 == "D" || tmp_char1 == "Y" ||
+            tmp_char1 == "Z" || tmp_char1 == "S" || tmp_char1 == "T" ||
+            tmp_char1 == "K" || tmp_char1 == "L")
+        begin
+            EHP = 1;
+            if(tmp_char1 == "Z" || tmp_char1 == "S" || tmp_char1 == "T" ||
+               tmp_char1 == "K" || tmp_char1 == "L" || tmp_char1 == "Y")
+            begin
+                RdPswdProtEnable = 1;
+            end
+        end
+        else if (tmp_char1 == "4" || tmp_char1 == "6" || tmp_char1 == "7" ||
+                 tmp_char1 == "8" || tmp_char1 == "9" || tmp_char1 == "Q")
+        begin
+            EHP = 0;
+        end
+
+        if (tmp_char1 == "0" || tmp_char1 == "2" || tmp_char1 == "3" ||
+            tmp_char1 == "R" || tmp_char1 == "A" || tmp_char1 == "B" ||
+            tmp_char1 == "C" || tmp_char1 == "D" || tmp_char1 == "4" ||
+            tmp_char1 == "6" || tmp_char1 == "7" || tmp_char1 == "8" ||
+            tmp_char1 == "9" || tmp_char1 == "Q")
+        begin
+            ASP_reg    = 16'hFE7F;
+            ASP_reg_in = 16'hFE7F;
+        end
+        else if (tmp_char1 == "Y" || tmp_char1 == "Z" || tmp_char1 == "S" ||
+                 tmp_char1 == "T" || tmp_char1 == "K" || tmp_char1 == "L")
+        begin
+            ASP_reg    = 16'hFE4F;
+            ASP_reg_in = 16'hFE4F;
+        end
+
+        if (tmp_char2 == "0")
+        begin
+            PageSize = 255;
+            PageNum  = PageNum64;
+            SecSize  = SecSize64;
+        end
+        else if (tmp_char2 == "1")
+        begin
+            PageSize = 511;
+            PageNum  = PageNum256;
+            SecSize  = SecSize256;
+        end
+    end
+
+    //CFI
+    initial
+    begin: InitCFI
+    integer i;
+    integer j;
+        ///////////////////////////////////////////////////////////////////////
+        // ID-CFI array data
+        ///////////////////////////////////////////////////////////////////////
+        // Manufacturer and Device ID
+        CFI_array[8'h00] = Jedec_ID;
+        CFI_array[8'h01] = DeviceID1;
+        CFI_array[8'h02] = DeviceID2;
+        CFI_array[8'h03] = 8'h00;
+        if (tmp_char2 == "0")
+        // Uniform 64kB sectors
+            CFI_array[8'h04] = ExtendedID64;
+        else if (tmp_char2 == "1")
+        // Uniform 256kB sectors
+            CFI_array[8'h04] = ExtendedID256;
+        CFI_array[8'h05] = 8'h80;
+        CFI_array[8'h06] = 8'h00;
+        CFI_array[8'h07] = 8'h00;
+        CFI_array[8'h08] = 8'h00;
+        CFI_array[8'h09] = 8'h00;
+        CFI_array[8'h0A] = 8'h00;
+        CFI_array[8'h0B] = 8'h00;
+        CFI_array[8'h0C] = 8'h00;
+        CFI_array[8'h0D] = 8'h00;
+        CFI_array[8'h0E] = 8'h00;
+        CFI_array[8'h0F] = 8'h00;
+        // CFI Query Identification String
+        CFI_array[8'h10] = 8'h51;
+        CFI_array[8'h11] = 8'h52;
+        CFI_array[8'h12] = 8'h59;
+        CFI_array[8'h13] = 8'h02;
+        CFI_array[8'h14] = 8'h00;
+        CFI_array[8'h15] = 8'h40;
+        CFI_array[8'h16] = 8'h00;
+        CFI_array[8'h17] = 8'h53;
+        CFI_array[8'h18] = 8'h46;
+        CFI_array[8'h19] = 8'h51;
+        CFI_array[8'h1A] = 8'h00;
+        //CFI system interface string
+        CFI_array[8'h1B] = 8'h27;
+        CFI_array[8'h1C] = 8'h36;
+        CFI_array[8'h1D] = 8'h00;
+        CFI_array[8'h1E] = 8'h00;
+        CFI_array[8'h1F] = 8'h06;
+        if (tmp_char2 == "0")
+        begin
+        // 64kB sector and 256B page
+            CFI_array[8'h20] = 8'h08;
+            CFI_array[8'h21] = 8'h08;
+        end
+        else if (tmp_char2 == "1")
+        begin
+        // 256kB sector and 512B page
+            CFI_array[8'h20] = 8'h09;
+            CFI_array[8'h21] = 8'h09;
+        end
+        CFI_array[8'h22] = 8'h10;
+        CFI_array[8'h23] = 8'h02;
+        CFI_array[8'h24] = 8'h02;
+        CFI_array[8'h25] = 8'h03;
+        CFI_array[8'h26] = 8'h03;
+        // Device Geometry Definition(Uniform Sector Devices)
+        CFI_array[8'h27] = 8'h19;
+        CFI_array[8'h28] = 8'h02;
+        CFI_array[8'h29] = 8'h01;
+
+        if (tmp_char2 == "0")
+        // 64kB sectors
+            CFI_array[8'h2A] = 8'h08;
+        else if (tmp_char2 == "1")
+            CFI_array[8'h2A] = 8'h09;
+
+        CFI_array[8'h2B] = 8'h00;
+        if (tmp_char2 == "1")
+        begin
+            CFI_array[8'h2C] = 8'h01;
+            CFI_array[8'h2D] = 8'h7F;
+            CFI_array[8'h2E] = 8'h00;
+            CFI_array[8'h2F] = 8'h00;
+            CFI_array[8'h30] = 8'h04;
+            CFI_array[8'h31] = 8'hFF;
+            CFI_array[8'h32] = 8'hFF;
+            CFI_array[8'h33] = 8'hFF;
+            CFI_array[8'h34] = 8'hFF;
+        end
+        else
+        begin
+            CFI_array[8'h2C] = 8'h02;
+            if (TBPARM)
+            begin
+            // 4KB physical sectors at top
+                CFI_array[8'h2D] = 8'hFD;
+                CFI_array[8'h2E] = 8'h00;
+                CFI_array[8'h2F] = 8'h00;
+                CFI_array[8'h30] = 8'h01;
+                CFI_array[8'h31] = 8'h1F;
+                CFI_array[8'h32] = 8'h01;
+                CFI_array[8'h33] = 8'h10;
+                CFI_array[8'h34] = 8'h00;
+            end
+            else
+            begin
+            // 4KB physical sectors at bottom
+                CFI_array[8'h2D] = 8'h1F;
+                CFI_array[8'h2E] = 8'h00;
+                CFI_array[8'h2F] = 8'h10;
+                CFI_array[8'h30] = 8'h00;
+                CFI_array[8'h31] = 8'hFD;
+                CFI_array[8'h32] = 8'h01;
+                CFI_array[8'h33] = 8'h00;
+                CFI_array[8'h34] = 8'h01;
+            end
+        end
+        CFI_array[8'h35] = 8'hFF;
+        CFI_array[8'h36] = 8'hFF;
+        CFI_array[8'h37] = 8'hFF;
+        CFI_array[8'h38] = 8'hFF;
+        CFI_array[8'h39] = 8'hFF;
+        CFI_array[8'h3A] = 8'hFF;
+        CFI_array[8'h3B] = 8'hFF;
+        CFI_array[8'h3C] = 8'hFF;
+        CFI_array[8'h3D] = 8'hFF;
+        CFI_array[8'h3E] = 8'hFF;
+        CFI_array[8'h3F] = 8'hFF;
+        // CFI Primary Vendor-Specific Extended Query
+        CFI_array[8'h40] = 8'h50;
+        CFI_array[8'h41] = 8'h52;
+        CFI_array[8'h42] = 8'h49;
+        CFI_array[8'h43] = 8'h31;
+        CFI_array[8'h44] = 8'h33;
+        CFI_array[8'h45] = 8'h21;
+        CFI_array[8'h46] = 8'h02;
+        CFI_array[8'h47] = 8'h01;
+        CFI_array[8'h48] = 8'h00;
+        CFI_array[8'h49] = 8'h08;
+        CFI_array[8'h4A] = 8'h00;
+        CFI_array[8'h4B] = 8'h01;
+        CFI_array[8'h4C] = 8'h00;
+        CFI_array[8'h4D] = 8'h00;
+        CFI_array[8'h4E] = 8'h00;
+        CFI_array[8'h4F] = 8'h07;
+        CFI_array[8'h50] = 8'h01;
+
+        begin
+            for(i=80;i>=0;i=i-1)
+            begin
+                CFI_tmp = CFI_array[8'h00-i+80];
+                for(j=7;j>=0;j=j-1)
+                begin
+                    CFI_array_tmp[8*i+j] = CFI_tmp[j];
+                end
+            end
+        end
+
+    end
+
+    always @(next_state_event or PoweredUp or RST or RST_out or
+            RSTNeg_in or rising_edge_RSTNeg or falling_edge_RST)
+    begin: StateTransition
+        if (PoweredUp)
+        begin
+            if ((RSTNeg_in == 1'b1) && (RST_out == 1'b1))
+                current_state = #(1000) next_state;
+            else if ((~RSTNeg_in || rising_edge_RSTNeg) && falling_edge_RST)
+            begin
+            // no state transition while RESET# low
+                current_state = RESET_STATE;
+                RST_in = 1'b1;
+                #1000 RST_in = 1'b0;
+            end
+        end
+    end
+
+    always @(posedge RST_in)
+    begin:Threset
+        RST_out = 1'b0;
+        #(35000000-200000) RST_out = 1'b1;
+    end
+
+    always @(negedge CSNeg_ipd)
+    begin:CheckCEOnPowerUP
+        if (~PoweredUp)
+            $display ("%0t=> Device is selected during Power Up",$time);
+    end
+
+    ///////////////////////////////////////////////////////////////////////////
+    //// Internal Delays
+    ///////////////////////////////////////////////////////////////////////////
+
+    always @(posedge PRGSUSP_in)
+    begin:PRGSuspend
+        PRGSUSP_out = 1'b0;
+        #tdevice_PRGSUSP PRGSUSP_out = 1'b1;
+    end
+
+    always @(posedge PPBERASE_in)
+    begin:PPBErs
+        PPBERASE_out = 1'b0;
+        #tdevice_PPBERASE PPBERASE_out = 1'b1;
+    end
+
+    always @(posedge ERSSUSP_in)
+    begin:ERSSuspend
+        ERSSUSP_out = 1'b0;
+        #tdevice_ERSSUSP ERSSUSP_out = 1'b1;
+    end
+
+    always @(posedge PASSULCK_in)
+    begin:PASSULock
+        PASSULCK_out = 1'b0;
+        #tdevice_PASSULCK PASSULCK_out = 1'b1;
+    end
+
+    always @(posedge PASSACC_in)
+    begin:PASSAcc
+        PASSACC_out = 1'b0;
+        #tdevice_PASSACC PASSACC_out = 1'b1;
+    end
+
+///////////////////////////////////////////////////////////////////////////////
+// write cycle decode
+///////////////////////////////////////////////////////////////////////////////
+    integer opcode_cnt = 0;
+    integer addr_cnt   = 0;
+    integer mode_cnt   = 0;
+    integer dummy_cnt  = 0;
+    integer data_cnt   = 0;
+    integer bit_cnt    = 0;
+
+    reg [4095:0] Data_in = 4096'b0;
+    reg [7:0] opcode;
+    reg [7:0] opcode_in;
+    reg [7:0] opcode_tmp;
+    reg [31:0] addr_bytes;
+    reg [31:0] hiaddr_bytes;
+    reg [31:0] Address_in;
+    reg [7:0] mode_bytes;
+    reg [7:0] mode_in;
+    integer Latency_code;
+    integer quad_data_in [0:1023];
+    reg [3:0] quad_nybble = 4'b0;
+    reg [3:0] Quad_slv;
+    reg [7:0] Byte_slv;
+
+   always @(rising_edge_CSNeg_ipd or falling_edge_CSNeg_ipd or
+            rising_edge_SCK_ipd or falling_edge_SCK_ipd or
+            current_state)
+   begin: Buscycle
+        integer i;
+        integer j;
+        integer k;
+        time CLK_PER;
+        time LAST_CLK;
+
+        if (current_state == RESET_STATE)
+            bus_cycle_state = STAND_BY;
+        else
+        begin
+            if (falling_edge_CSNeg_ipd)
+            begin
+                if (bus_cycle_state==STAND_BY)
+                begin
+                    Instruct = NONE;
+                    write = 1'b1;
+                    cfg_write  = 0;
+                    opcode_cnt = 0;
+                    addr_cnt   = 0;
+                    mode_cnt   = 0;
+                    dummy_cnt  = 0;
+                    data_cnt   = 0;
+                    opcode_tmp = 0;
+                    start_dlp  = 0;
+                    DOUBLE     = 1'b0;
+                    QUADRD     = 1'b0;
+                    CLK_PER    = 1'b0;
+                    LAST_CLK   = 1'b0;
+                    if (current_state == AUTOBOOT)
+                    begin
+                        bus_cycle_state = DATA_BYTES;
+                    end
+                    else
+                    begin
+                        bus_cycle_state = OPCODE_BYTE;
+                    end
+                end
+            end
+
+            if (rising_edge_SCK_ipd) // Instructions, addresses or data present
+            begin                    // at SI are latched on the rising edge of SCK
+
+                CLK_PER = $time - LAST_CLK;
+                LAST_CLK = $time;
+                if (CHECK_FREQ)
+                begin
+                    if ((CLK_PER < 20000 && Latency_code == 3) ||
+                    (CLK_PER < 12500 && Latency_code == 0) ||
+                    (CLK_PER < 11100 && Latency_code == 1) ||
+                    (CLK_PER < 9600 && Latency_code == 2))
+                    begin
+                        $display ("More wait states are required for");
+                        $display ("this clock frequency value");
+                    end
+                    if (Instruct == DDRFR || Instruct == DDRFR4 || Instruct == DDRDIOR ||
+                        Instruct == DDRDIOR4 || Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                    begin
+                       if   (CLK_PER < 12500)
+                       begin
+                           ddr80 = 1'b1;
+                       end
+                       else
+                       begin
+                           ddr80 = 1'b0;
+                       end
+                    end
+                    CHECK_FREQ = 0;
+                end
+
+                if (~CSNeg_ipd)
+                begin
+                    case (bus_cycle_state)
+                        OPCODE_BYTE:
+                        begin
+                            if ((HOLDNeg_in && ~QUAD) || QUAD)
+                            begin
+                                opcode_in[opcode_cnt] = SI_in;
+                                opcode_cnt = opcode_cnt + 1;
+                                Latency_code = Config_reg1[7:6];
+                                if (opcode_cnt == BYTE)
+                                begin
+                                    for(i=7;i>=0;i=i-1)
+                                    begin
+                                        opcode[i] = opcode_in[7-i];
+                                    end
+                                    case (opcode)
+                                        8'b00000110 : // 06h
+                                        begin
+                                            Instruct = WREN;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00000100 : // 04h
+                                        begin
+                                            Instruct = WRDI;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00000001 : // 01h
+                                        begin
+                                            Instruct = WRR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00000011 : // 03h
+                                        begin
+                                            Instruct = READ;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b00010011 : // 13h
+                                        begin
+                                            Instruct = RD4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b01001011 : // 4Bh
+                                        begin
+                                            Instruct = OTPR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b00000101 : // 05h
+                                        begin
+                                            Instruct = RDSR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00000111 : // 07h
+                                        begin
+                                            Instruct = RDSR2;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00110101 : // 35h
+                                        begin
+                                            Instruct = RDCR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10010000 : // 90h
+                                        begin
+                                            Instruct = REMS;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b10011111 : // 9Fh
+                                        begin
+                                            Instruct = RDID;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10101011 : // ABh
+                                        begin
+                                            Instruct = RES;
+                                            bus_cycle_state = DUMMY_BYTES;
+                                        end
+                                        8'b00001011 : // 0Bh
+                                        begin
+                                            Instruct = FSTRD;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00001100 : // 0Ch
+                                        begin
+                                            Instruct = FSTRD4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00001101 : // 0Dh
+                                        begin
+                                            Instruct = DDRFR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00001110 : // 0Eh
+                                        begin
+                                            Instruct = DDRFR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00111011 : // 3Bh
+                                        begin
+                                            Instruct = DOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00111100 : // 3Ch
+                                        begin
+                                            Instruct = DOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b10111011 : // BBh
+                                        begin
+                                            Instruct = DIOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b10111100 : // BCh
+                                        begin
+                                            Instruct = DIOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b10111101 : // BDh
+                                        begin
+                                            Instruct = DDRDIOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b10111110 : // BEh
+                                        begin
+                                            Instruct = DDRDIOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b01101011 : // 6Bh
+                                        begin
+                                            Instruct = QOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b01101100 : // 6Ch
+                                        begin
+                                            Instruct = QOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b11101011 : // EBh
+                                        begin
+                                            Instruct = QIOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b11101100 : // ECh
+                                        begin
+                                            Instruct = QIOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b11101101 : // EDh
+                                        begin
+                                            Instruct = DDRQIOR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b11101110 : // EEh
+                                        begin
+                                            Instruct = DDRQIOR4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            CHECK_FREQ = 1'b1;
+                                        end
+                                        8'b00000010 : // 02h
+                                        begin
+                                            Instruct = PP;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b00010010 : // 12h
+                                        begin
+                                            Instruct = PP4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b00110010: // 32h
+                                        begin
+                                            Instruct = QPP;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            quad_pg = 1'b1;
+                                        end
+                                        8'b00111000: // 38h
+                                        begin
+                                            Instruct = QPP;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            quad_pg = 1'b1;
+                                        end
+                                        8'b00110100 : // 34h
+                                        begin
+                                            Instruct = QPP4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                            quad_pg = 1'b1;
+                                        end
+                                        8'b01000010 : // 42h
+                                        begin
+                                            Instruct = OTPP;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b10000101 : // 85h
+                                        begin
+                                            Instruct = PGSP;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10001010 : // 8Ah
+                                        begin
+                                            Instruct = PGRS;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11000111 : // C7h
+                                        begin
+                                            Instruct = BE;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b01100000 : // 60h
+                                        begin
+                                            Instruct = BE;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11011000 : // D8h
+                                        begin
+                                            Instruct = SE;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b11011100 : // DCh
+                                        begin
+                                            Instruct = SE4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b01110101 : // 75h
+                                        begin
+                                            Instruct = ERSP;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b01111010 : // 7Ah
+                                        begin
+                                            Instruct = ERRS;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00010100 : // 14h
+                                        begin
+                                            Instruct = ABRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00010101 : // 15h
+                                        begin
+                                            Instruct = ABWR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00010110 : // 16h
+                                        begin
+                                            Instruct = BRRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00010111 : // 17h
+                                        begin
+                                            Instruct = BRWR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00101011 : // 2Bh
+                                        begin
+                                            Instruct = ASPRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00101111 : // 2Fh
+                                        begin
+                                            Instruct = ASPP;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11100000 : // E0h
+                                        begin
+                                            Instruct = DYBRD;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b11100001 : // E1h
+                                        begin
+                                            Instruct = DYBWR;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b11100010 : // E2h
+                                        begin
+                                            Instruct = PPBRD;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b11100011 : // E3h
+                                        begin
+                                            Instruct = PPBP;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b11100100 : // E4h
+                                        begin
+                                            Instruct = PPBERS;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10100110 : // A6h
+                                        begin
+                                            Instruct = PLBWR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10100111 : // A7h
+                                        begin
+                                            Instruct = PLBRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11100111 : // E7h
+                                        begin
+                                            Instruct = PASSRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11101000 : // E8h
+                                        begin
+                                            Instruct = PASSP;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11101001 : // E9h
+                                        begin
+                                            Instruct = PASSU;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11110000 : // F0h
+                                        begin
+                                            Instruct = RESET;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00110000 : // 30h
+                                        begin
+                                            Instruct = CLSR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b00100000 : // 20h
+                                        begin
+                                            Instruct = P4E;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b00100001 : // 21h
+                                        begin
+                                            Instruct = P4E4;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                        8'b01000001 : // 41h
+                                        begin
+                                            Instruct = DLPRD;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b01000011 : // 43h
+                                        begin
+                                            Instruct = PNVDLR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b01001010 : // 4Ah
+                                        begin
+                                            Instruct = WVDLR;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b10111001 : // B9h
+                                        begin
+                                            Instruct = BRAC;
+                                            bus_cycle_state = DATA_BYTES;
+                                        end
+                                        8'b11111111 : // FFh
+                                        begin
+                                            Instruct = MBR;
+                                            bus_cycle_state = MODE_BYTE;
+                                        end
+                                        8'b00011000 : // 18h
+                                        begin
+                                            Instruct = ECCRD;
+                                            bus_cycle_state = ADDRESS_BYTES;
+                                        end
+                                    endcase
+                                end
+                            end
+                        end //end of OPCODE BYTE
+
+                        ADDRESS_BYTES :
+                        begin
+                            if ((Instruct == DDRFR)  || (Instruct == DDRFR4)  ||
+                                (Instruct == DDRDIOR) || (Instruct == DDRDIOR4) ||
+                                (Instruct == DDRQIOR) || (Instruct == DDRQIOR4))
+                                DOUBLE = 1'b1;
+                            else
+                                DOUBLE = 1'b0;
+                            if ((Instruct == QOR)  || (Instruct == QOR4)  ||
+                                (Instruct == QIOR) || (Instruct == QIOR4) ||
+                                (Instruct == DDRQIOR) || (Instruct == DDRQIOR4))
+                                QUADRD = 1'b1;
+                            else
+                                QUADRD = 1'b0;
+                            if (DOUBLE == 1'b0)
+                            begin
+                                if (((((Instruct == FSTRD) && (~EXTADD)) ||
+                                ((Instruct == DOR)  && (~EXTADD)) ||
+                                (Instruct == OTPR)) &&
+                                ((HOLDNeg_in && ~QUAD) || QUAD)) ||
+                                ((Instruct == QOR) && QUAD && (~EXTADD)))
+                                begin
+                                //Instruction + 3 Bytes Address + Dummy Byte
+                                    Address_in[addr_cnt] = SI_in;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 3*BYTE)
+                                    begin
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i] = Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes ;
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (Instruct==FSTRD || Instruct==DOR ||
+                                            Instruct == QOR)
+                                        begin
+                                            if (Latency_code == 3)
+                                                bus_cycle_state = DATA_BYTES;
+                                            else
+                                                bus_cycle_state = DUMMY_BYTES;
+                                        end
+                                        else
+                                            bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if (Instruct==ECCRD)
+                                begin
+                                //Instruction + 4 Bytes Address + Dummy Byte
+                                    Address_in[addr_cnt] = SI_in;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 4*BYTE)
+                                    begin
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            hiaddr_bytes[31-i] = Address_in[i];
+                                        end
+                                        //High order address bits are ignored
+                                        Address = {hiaddr_bytes[31:4],4'b0000};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if ((((Instruct==FSTRD4) ||
+                                        (Instruct==DOR4) ||
+                                        ((Instruct==FSTRD) && EXTADD) ||
+                                        ((Instruct==DOR) && EXTADD)) &&
+                                        ((HOLDNeg_in && ~QUAD) || QUAD)) ||
+                                        ((Instruct==QOR4) && QUAD) ||
+                                        ((Instruct==QOR) && QUAD && EXTADD))
+                                begin
+                                //Instruction + 4 Bytes Address + Dummy Byte
+                                    Address_in[addr_cnt] = SI_in;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 4*BYTE)
+                                    begin
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            hiaddr_bytes[31-i] = Address_in[i];
+                                        end
+                                        //High order address bits are ignored
+                                        Address = {7'b0000000,hiaddr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (Latency_code == 3)
+                                            bus_cycle_state = DATA_BYTES;
+                                        else
+                                        begin
+                                            bus_cycle_state = DUMMY_BYTES;
+                                        end
+                                    end
+                                end
+                                else if ((Instruct==DIOR) && (~EXTADD) &&
+                                            ((HOLDNeg_in && ~QUAD) || QUAD))
+                                begin
+                                //DUAL I/O High Performance Read(3 Bytes Addr)
+                                    Address_in[2*addr_cnt]     = SO_in;
+                                    Address_in[2*addr_cnt + 1] = SI_in;
+                                    read_cnt = 0;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 3*BYTE/2)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i]=Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes;
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                            bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if (((Instruct==DIOR4) ||
+                                        ((Instruct==DIOR) && EXTADD)) &&
+                                        ((HOLDNeg_in && ~QUAD) || QUAD))
+                                begin //DUAL I/O High Performance Read(4Bytes Addr)
+                                    Address_in[2*addr_cnt]     = SO_in;
+                                    Address_in[2*addr_cnt + 1] = SI_in;
+                                    read_cnt = 0;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 4*BYTE/2)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[31-i] = Address_in[i];
+                                        end
+                                        Address = {7'b0000000,addr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                            bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if ((Instruct == QIOR) && (~EXTADD))
+                                begin
+                                //QUAD I/O High Performance Read (3Bytes Address)
+                                    if (QUAD)
+                                    begin
+                                        Address_in[4*addr_cnt] = HOLDNeg_in;
+                                        Address_in[4*addr_cnt+1] = WPNeg_in;
+                                        Address_in[4*addr_cnt+2] = SO_in;
+                                        Address_in[4*addr_cnt+3] = SI_in;
+                                        read_cnt = 0;
+                                        addr_cnt = addr_cnt + 1;
+                                        if (addr_cnt == 3*BYTE/4)
+                                        begin
+                                            addr_cnt = 0;
+                                            for(i=23;i>=0;i=i-1)
+                                            begin
+                                                addr_bytes[23-i] = Address_in[i];
+                                            end
+                                            addr_bytes[31:25] = 7'b0000000;
+                                            addr_bytes[24] = Bank_Addr_reg[0];
+
+                                            Address = addr_bytes;
+                                            change_addr = 1'b1;
+                                            #1 change_addr = 1'b0;
+                                            bus_cycle_state = MODE_BYTE;
+                                        end
+                                    end
+                                    else
+                                        bus_cycle_state = STAND_BY;
+                                end
+                                else if ((Instruct==QIOR4) || ((Instruct==QIOR)
+                                        && EXTADD))
+                                begin
+                                    //QUAD I/O High Performance Read (4Bytes Addr)
+                                    if (QUAD)
+                                    begin
+                                        Address_in[4*addr_cnt] = HOLDNeg_in;
+                                        Address_in[4*addr_cnt+1] = WPNeg_in;
+                                        Address_in[4*addr_cnt+2] = SO_in;
+                                        Address_in[4*addr_cnt+3] = SI_in;
+                                        read_cnt = 0;
+                                        addr_cnt = addr_cnt +1;
+                                        if (addr_cnt == 4*BYTE/4)
+                                        begin
+                                            addr_cnt =0;
+                                            for(i=31;i>=0;i=i-1)
+                                            begin
+                                                hiaddr_bytes[31-i] = Address_in[i];
+                                            end
+                                            //High order address bits are ignored
+                                            Address = {7'b0000000,hiaddr_bytes[24:0]};
+                                            change_addr = 1'b1;
+                                            #1 change_addr = 1'b0;
+                                            bus_cycle_state = MODE_BYTE;
+                                        end
+                                    end
+                                    else
+                                        bus_cycle_state = STAND_BY;
+                                end
+                                else if ((((Instruct==RD4) || (Instruct==PP4) ||
+                                        (Instruct==SE4) ||(Instruct==PPBRD) ||
+                                        (Instruct==DYBRD) ||(Instruct==DYBWR) ||
+                                        (Instruct==PPBP) || (Instruct==P4E4) ||
+                                        ((Instruct==READ) && EXTADD) ||
+                                        ((Instruct==PP) && EXTADD) ||
+                                        ((Instruct==P4E) && EXTADD) ||
+                                        ((Instruct==SE) && EXTADD)) &&
+                                        ((HOLDNeg_in && ~QUAD) || QUAD)) ||
+                                        (QUAD && (Instruct==QPP4 ||
+                                        ((Instruct==QPP) && EXTADD))))
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 4*BYTE)
+                                    begin
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            hiaddr_bytes[31-i] = Address_in[i];
+                                        end
+                                        //High order address bits are ignored
+                                        Address = {7'b0000000,hiaddr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                                else if (((HOLDNeg_in && ~QUAD) || QUAD) &&
+                                        (~EXTADD))
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    addr_cnt = addr_cnt + 1;
+                                    if (addr_cnt == 3*BYTE)
+                                    begin
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i] = Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes;
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                            end
+                            else
+                            begin
+                                if ((Instruct==DDRFR) && (~EXTADD))
+                                //Fast DDR Read Mode
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    if ((addr_cnt/2) <= 16)
+                                    begin
+                                        opcode_tmp[addr_cnt/2] = SI_in;
+                                    end
+                                    addr_cnt = addr_cnt + 1;
+                                    read_cnt = 0;
+                                end
+                                else if ((Instruct==DDRFR4) ||
+                                        ((Instruct==DDRFR) && EXTADD))
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    if ((addr_cnt/2) <= 16)
+                                    begin
+                                        opcode_tmp[addr_cnt/2] = SI_in;
+                                    end
+                                    addr_cnt = addr_cnt + 1;
+                                    read_cnt = 0;
+                                end
+                                else if ((Instruct == DDRDIOR) && (~EXTADD))
+                                begin    //Dual I/O DDR Read Mode
+                                    Address_in[2*addr_cnt] = SO_in;
+                                    Address_in[2*addr_cnt+1]= SI_in;
+                                    if ((addr_cnt/2) <= 16)
+                                    begin
+                                        opcode_tmp[addr_cnt/2] = SI_in;
+                                    end
+                                    addr_cnt = addr_cnt + 1;
+                                    read_cnt = 0;
+                                end
+                                else if ((Instruct==DDRDIOR4) ||
+                                        ((Instruct==DDRDIOR) && EXTADD))
+                                begin    //Dual I/O DDR Read Mode
+                                    Address_in[2*addr_cnt]   = SO_in;
+                                    Address_in[2*addr_cnt+1] = SI_in;
+                                    if ((addr_cnt/2) <= 16)
+                                    begin
+                                        opcode_tmp[addr_cnt/2] = SI_in;
+                                    end
+                                    addr_cnt = addr_cnt + 1;
+                                    read_cnt = 0;
+                                end
+                                else if ((Instruct==DDRQIOR) && (~EXTADD) && QUAD)
+                                begin    //Quad I/O DDR Read Mode
+                                    Address_in[4*addr_cnt] = HOLDNeg_in;
+                                    Address_in[4*addr_cnt+1] = WPNeg_in;
+                                    Address_in[4*addr_cnt+2] = SO_in;
+                                    Address_in[4*addr_cnt+3] = SI_in;
+                                    opcode_tmp[addr_cnt/2] = SI_in;
+                                    addr_cnt = addr_cnt +1;
+                                    read_cnt = 0;
+                                end
+                                else if (QUAD && ((Instruct==DDRQIOR4) ||
+                                        ((Instruct==DDRQIOR) && EXTADD)))
+                                begin
+                                    Address_in[4*addr_cnt] = HOLDNeg_in;
+                                    Address_in[4*addr_cnt+1] = WPNeg_in;
+                                    Address_in[4*addr_cnt+2] = SO_in;
+                                    Address_in[4*addr_cnt+3] = SI_in;
+                                    opcode_tmp[addr_cnt/2] = SI_in;
+                                    addr_cnt = addr_cnt +1;
+                                    read_cnt = 0;
+                                end
+                            end
+                        end
+
+                        MODE_BYTE :
+                        begin
+                            if (((Instruct==DIOR) || (Instruct == DIOR4))
+                                && ((HOLDNeg_in && ~QUAD) || QUAD))
+                            begin
+                                mode_in[2*mode_cnt] = SO_in;
+                                mode_in[2*mode_cnt+1] = SI_in;
+                                mode_cnt = mode_cnt + 1;
+                                if (mode_cnt == BYTE/2)
+                                begin
+                                    mode_cnt = 0;
+                                    for(i=7;i>=0;i=i-1)
+                                    begin
+                                        mode_bytes[i] = mode_in[7-i];
+                                    end
+                                    if (Latency_code == 0 || Latency_code == 3)
+                                        bus_cycle_state = DATA_BYTES;
+                                    else
+                                        bus_cycle_state = DUMMY_BYTES;
+                                end
+                            end
+                            else if (((Instruct==QIOR) || (Instruct == QIOR4))
+                                    && QUAD)
+                            begin
+                                mode_in[4*mode_cnt] = HOLDNeg_in;
+                                mode_in[4*mode_cnt+1] = WPNeg_in;
+                                mode_in[4*mode_cnt+2] = SO_in;
+                                mode_in[4*mode_cnt+3] = SI_in;
+                                mode_cnt = mode_cnt + 1;
+                                if (mode_cnt == BYTE/4)
+                                begin
+                                    mode_cnt = 0;
+                                    for(i=7;i>=0;i=i-1)
+                                    begin
+                                        mode_bytes[i] = mode_in[7-i];
+                                    end
+                                    bus_cycle_state = DUMMY_BYTES;
+                                end
+                            end
+                            else if ((Instruct == DDRFR) || (Instruct == DDRFR4))
+                                mode_in[2*mode_cnt] = SI_in;
+                            else if ((Instruct==DDRDIOR) || (Instruct==DDRDIOR4))
+                            begin
+                                mode_in[4*mode_cnt]   = SO_in;
+                                mode_in[4*mode_cnt+1] = SI_in;
+                            end
+                            else if (((Instruct==DDRQIOR) || (Instruct == DDRQIOR4))
+                                    && QUAD)
+                            begin
+                                mode_in[0] = HOLDNeg_in;
+                                mode_in[1] = WPNeg_in;
+                                mode_in[2] = SO_in;
+                                mode_in[3] = SI_in;
+                            end
+                            dummy_cnt = 0;
+                        end
+
+                        DUMMY_BYTES :
+                        begin
+                            Return_DLP(Instruct, EHP, Latency_code,
+                                       dummy_cnt, start_dlp);
+                            if (DOUBLE == 1'b1 && (hold_mode==0) &&
+                               (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                read_out = 1'b1;
+                                #10 read_out = 1'b0;
+                            end
+                            if ((((Instruct==FSTRD) || (Instruct==FSTRD4) ||
+                            (Instruct==DOR)  || (Instruct==DOR4)  ||
+                            (Instruct==OTPR)) &&
+                            ((HOLDNeg_in && ~QUAD) || QUAD)) ||
+                            (((Instruct==QOR)||(Instruct==QOR4)) && QUAD))
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (dummy_cnt == BYTE)
+                                begin
+                                    bus_cycle_state = DATA_BYTES;
+                                end
+                            end
+
+                            else if ((Instruct==DDRFR) || (Instruct==DDRFR4))
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (EHP)
+                                begin
+                                    if (((Latency_code == 3) && (dummy_cnt==1)) ||
+                                        ((Latency_code == 0) && (dummy_cnt==2)) ||
+                                        ((Latency_code == 1) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==5)))
+                                    begin
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                                else
+                                begin
+                                    if (((Latency_code == 3) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 0) && (dummy_cnt==5)) ||
+                                        ((Latency_code == 1) && (dummy_cnt==6)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==7)))
+                                    begin
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                            end
+                            else if (Instruct==RES)
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (dummy_cnt == 3*BYTE)
+                                bus_cycle_state = DATA_BYTES;
+                            end
+                            else if (Instruct==ECCRD)
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (dummy_cnt == BYTE)
+                                bus_cycle_state = DATA_BYTES;
+                            end
+                            else if ((Instruct == DIOR) || (Instruct == DIOR4)
+                                    && ((HOLDNeg_in && ~QUAD) || QUAD))
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (EHP)
+                                begin
+                                    if (((Latency_code == 1) && (dummy_cnt==1)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==2)))
+                                        bus_cycle_state = DATA_BYTES;
+                                end
+                                else
+                                begin
+                                    if (((Latency_code == 3) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 0) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 1) && (dummy_cnt==5)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==6)))
+                                        bus_cycle_state = DATA_BYTES;
+                                end
+                            end
+                            else if ((Instruct==DDRDIOR) || (Instruct==DDRDIOR4))
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (EHP)
+                                begin
+                                    if (((Latency_code == 3) && (dummy_cnt==2)) ||
+                                        ((Latency_code == 0) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 1) && (dummy_cnt==5)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==6)))
+                                    begin
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                                else
+                                begin
+                                    if (((Latency_code == 3) && (dummy_cnt==4)) ||
+                                        ((Latency_code == 0) && (dummy_cnt==6)) ||
+                                        ((Latency_code == 1) && (dummy_cnt==7)) ||
+                                        ((Latency_code == 2) && (dummy_cnt==8)))
+                                    begin
+                                        bus_cycle_state = DATA_BYTES;
+                                    end
+                                end
+                            end
+                            else if (((Instruct == QIOR) || (Instruct == QIOR4))
+                                    && QUAD)
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+                                if (((Latency_code == 3) && (dummy_cnt==1)) ||
+                                    ((Latency_code == 0) && (dummy_cnt==4)) ||
+                                    ((Latency_code == 1) && (dummy_cnt==4)) ||
+                                    ((Latency_code == 2) && (dummy_cnt==5)))
+                                begin
+                                    bus_cycle_state = DATA_BYTES;
+                                end
+                            end
+                            else if (((Instruct==DDRQIOR) || (Instruct==DDRQIOR4))
+                                    && QUAD)
+                            begin
+                                dummy_cnt = dummy_cnt + 1;
+
+                                if (((Latency_code == 3) && (dummy_cnt==3)) ||
+                                    ((Latency_code == 0) && (dummy_cnt==6)) ||
+                                    ((Latency_code == 1) && (dummy_cnt==7)) ||
+                                    ((Latency_code == 2) && (dummy_cnt==8)))
+                                begin
+                                    bus_cycle_state = DATA_BYTES;
+                                end
+                            end
+                        end
+
+                        DATA_BYTES :
+                        begin
+
+                            if (DOUBLE == 1'b1 && (hold_mode==0))
+                            begin
+                                read_out = 1'b1;
+                                #10 read_out = 1'b0;
+                            end
+
+                            if ((QUAD) && ((Instruct==QPP) || (Instruct == QPP4)))
+                            begin
+                                quad_nybble = {HOLDNeg_in, WPNeg_in, SO_in, SI_in};
+                                if (data_cnt > ((PageSize+1)*2-1))
+                                begin
+                                //In case of quad mode and QPP,
+                                //if more than 512 bytes are sent to the device
+                                    for(i=0;i<=(PageSize*2-1);i=i+1)
+                                    begin
+                                        quad_data_in[i] = quad_data_in[i+1];
+                                    end
+                                    quad_data_in[(PageSize+1)*2-1] = quad_nybble;
+                                    data_cnt = data_cnt +1;
+                                end
+                                else
+                                begin
+                                    if (quad_nybble !== 4'bZZZZ)
+                                    begin
+                                        quad_data_in[data_cnt] = quad_nybble;
+                                    end
+                                    data_cnt = data_cnt +1;
+                                end
+                            end
+                            else if ((~QUADRD) && ((HOLDNeg_in && ~QUAD) || QUAD))
+                            begin
+                                if (data_cnt > ((PageSize+1)*8-1))
+                                begin
+                                //In case of serial mode and PP,
+                                //if more than PageSize are sent to the device
+                                //previously latched data are discarded and last
+                                //256/512 data bytes are guaranteed to be programmed
+                                //correctly within the same page.
+                                    if (bit_cnt == 0)
+                                    begin
+                                        for(i=0;i<=(PageSize*BYTE-1);i=i+1)
+                                        begin
+                                            Data_in[i] = Data_in[i+8];
+                                        end
+                                    end
+                                    Data_in[PageSize*BYTE + bit_cnt] = SI_in;
+                                    bit_cnt = bit_cnt + 1;
+                                    if (bit_cnt == 8)
+                                    begin
+                                        bit_cnt = 0;
+                                    end
+                                    data_cnt = data_cnt + 1;
+                                end
+                                else
+                                begin
+                                    Data_in[data_cnt] = SI_in;
+                                    data_cnt = data_cnt + 1;
+                                    bit_cnt = 0;
+                                end
+                            end
+                        end
+                    endcase
+                end
+            end
+
+            if (falling_edge_SCK_ipd)
+            begin
+                if (~CSNeg_ipd)
+                begin
+                    case (bus_cycle_state)
+                        ADDRESS_BYTES :
+                        begin
+                            if (DOUBLE == 1'b1)
+                            begin
+                                if ((Instruct==DDRFR) && (~EXTADD))
+                                //Fast DDR Read Mode
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 3*BYTE)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i] = Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes;
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                            bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if ((Instruct==DDRFR4) ||
+                                        ((Instruct==DDRFR) && EXTADD))
+                                begin
+                                    Address_in[addr_cnt] = SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 4*BYTE)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[31-i] = Address_in[i];
+                                        end
+                                        Address = {7'b0000000,addr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                        begin
+                                            bus_cycle_state = DUMMY_BYTES;
+                                            if (DOUBLE == 1'b1 && (hold_mode==0)
+                                            && VDLR_reg != 8'b00000000)
+                                            begin
+                                                read_out = 1'b1;
+                                                #10 read_out = 1'b0;
+                                            end
+                                        end
+                                    end
+                                end
+                                else if ((Instruct == DDRDIOR) && (~EXTADD))
+                                begin    //Dual I/O DDR Read Mode
+                                    Address_in[2*addr_cnt] = SO_in;
+                                    Address_in[2*addr_cnt+1]= SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 3*BYTE/2)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i] = Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes;
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                            bus_cycle_state = DUMMY_BYTES;
+                                    end
+                                end
+                                else if ((Instruct==DDRDIOR4) ||
+                                        ((Instruct==DDRDIOR) && EXTADD))
+                                begin    //Dual I/O DDR Read Mode
+                                    Address_in[2*addr_cnt]   = SO_in;
+                                    Address_in[2*addr_cnt+1] = SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 4*BYTE/2)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[31-i] = Address_in[i];
+                                        end
+                                        Address = {7'b0000000,addr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        if (EHP)
+                                            bus_cycle_state = MODE_BYTE;
+                                        else
+                                        begin
+                                            bus_cycle_state = DUMMY_BYTES;
+                                            if (DOUBLE == 1'b1 && (hold_mode==0)
+                                            && VDLR_reg != 8'b00000000)
+                                            begin
+                                                read_out = 1'b1;
+                                                #10 read_out = 1'b0;
+                                            end
+                                        end
+                                    end
+                                end
+                                else if ((Instruct==DDRQIOR) && (~EXTADD) && QUAD)
+                                begin    //Quad I/O DDR Read Mode
+                                    Address_in[4*addr_cnt] = HOLDNeg_in;
+                                    Address_in[4*addr_cnt+1] = WPNeg_in;
+                                    Address_in[4*addr_cnt+2] = SO_in;
+                                    Address_in[4*addr_cnt+3] = SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 3*BYTE/4)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=23;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[23-i] = Address_in[i];
+                                        end
+                                        addr_bytes[31:25] = 7'b0000000;
+                                        addr_bytes[24] = Bank_Addr_reg[0];
+                                        Address = addr_bytes;
+                                        change_addr = 1'b1;
+                                    #1 change_addr = 1'b0;
+                                        bus_cycle_state = MODE_BYTE;
+                                    end
+                                end
+                                else if (QUAD && ((Instruct==DDRQIOR4) ||
+                                        ((Instruct==DDRQIOR) && EXTADD)))
+                                begin
+                                    Address_in[4*addr_cnt] = HOLDNeg_in;
+                                    Address_in[4*addr_cnt+1] = WPNeg_in;
+                                    Address_in[4*addr_cnt+2] = SO_in;
+                                    Address_in[4*addr_cnt+3] = SI_in;
+                                    if (addr_cnt != 0)
+                                    begin
+                                        addr_cnt = addr_cnt + 1;
+                                    end
+                                    read_cnt = 0;
+                                    if (addr_cnt == 4*BYTE/4)
+                                    begin
+                                        addr_cnt = 0;
+                                        for(i=31;i>=0;i=i-1)
+                                        begin
+                                            addr_bytes[31-i] = Address_in[i];
+                                        end
+                                        Address = {7'b0000000,addr_bytes[24:0]};
+                                        change_addr = 1'b1;
+                                        #1 change_addr = 1'b0;
+                                        bus_cycle_state = MODE_BYTE;
+                                    end
+                                end
+                            end
+                        end
+
+                        MODE_BYTE :
+                        begin
+                            if ((Instruct == DDRFR) || (Instruct == DDRFR4))
+                            begin
+                                mode_in[2*mode_cnt+1] = SI_in;
+                                mode_cnt = mode_cnt + 1;
+                                if (mode_cnt == BYTE/2)
+                                begin
+                                    mode_cnt = 0;
+                                    for(i=7;i>=0;i=i-1)
+                                    begin
+                                        mode_bytes[i] = mode_in[7-i];
+                                    end
+                                    bus_cycle_state = DUMMY_BYTES;
+                                    Return_DLP(Instruct, EHP, Latency_code,
+                                               dummy_cnt, start_dlp);
+                                    if (DOUBLE == 1'b1 && (hold_mode==0) &&
+                                       (VDLR_reg != 8'b00000000) && start_dlp)
+                                    begin
+                                        read_out = 1'b1;
+                                        #10 read_out = 1'b0;
+                                    end
+                                end
+                            end
+                            else if ((Instruct==DDRDIOR) || (Instruct==DDRDIOR4))
+                            begin
+                                mode_in[4*mode_cnt+2] = SO_in;
+                                mode_in[4*mode_cnt+3] = SI_in;
+                                mode_cnt = mode_cnt + 1;
+                                if (mode_cnt == BYTE/4)
+                                begin
+                                    mode_cnt = 0;
+                                    for(i=7;i>=0;i=i-1)
+                                    begin
+                                        mode_bytes[i] = mode_in[7-i];
+                                    end
+                                    bus_cycle_state = DUMMY_BYTES;
+                                    Return_DLP(Instruct, EHP, Latency_code,
+                                               dummy_cnt, start_dlp);
+                                    if (DOUBLE == 1'b1 && (hold_mode==0) &&
+                                       (VDLR_reg != 8'b00000000) && start_dlp)
+                                    begin
+                                        read_out = 1'b1;
+                                        #10 read_out = 1'b0;
+                                    end
+                                end
+                            end
+                            else if ((Instruct==DDRQIOR) || (Instruct==DDRQIOR4))
+                            begin
+                                mode_in[4] = HOLDNeg_in;
+                                mode_in[5] = WPNeg_in;
+                                mode_in[6] = SO_in;
+                                mode_in[7] = SI_in;
+                                for(i=7;i>=0;i=i-1)
+                                begin
+                                    mode_bytes[i] = mode_in[7-i];
+                                end
+                                bus_cycle_state = DUMMY_BYTES;
+                                Return_DLP(Instruct, EHP, Latency_code,
+                                               dummy_cnt, start_dlp);
+                                if (DOUBLE == 1'b1 && (hold_mode==0) &&
+                                    (VDLR_reg != 8'b00000000) && start_dlp)
+                                begin
+
+                                    read_out = 1'b1;
+                                    #10 read_out = 1'b0;
+                                end
+                            end
+                        end
+
+                        DATA_BYTES:
+                        begin
+                            if (hold_mode==0)
+                            begin
+                                if (DOUBLE == 1'b1 )
+                                begin
+                                    read_out = 1'b1;
+                                    #10 read_out = 1'b0;
+
+                                end
+                                else
+                                begin
+                                    if ((Instruct==READ) || (Instruct==RD4)   ||
+                                        (Instruct==FSTRD)|| (Instruct==FSTRD4)||
+                                        (Instruct==RDSR) || (Instruct==RDSR2) ||
+                                        (Instruct==RDCR) || (Instruct==OTPR)  ||
+                                        (Instruct==DOR) || (Instruct==DOR4) ||
+                                        (Instruct==DIOR)|| (Instruct==DIOR4)||
+                                        (Instruct==ABRD) || (Instruct==BRRD)  ||
+                                        (Instruct==ASPRD)|| (Instruct==DYBRD) ||
+                                        (Instruct==PPBRD)|| (Instruct == ECCRD) ||
+                                        (Instruct==PASSRD)|| (Instruct==RDID)||
+                                        (Instruct==RES) || (Instruct==REMS)  ||
+                                        (Instruct==PLBRD)|| (Instruct==DLPRD) ||
+                                        (current_state == AUTOBOOT &&
+                                        start_delay == 0) ||
+                                        (((Instruct==QOR) || (Instruct==QIOR) ||
+                                        (Instruct==QOR4) ||
+                                        (Instruct==QIOR4)) && QUAD))
+                                    begin
+                                        read_out = 1'b1;
+                                        #10 read_out = 1'b0;
+                                    end
+                                end
+                            end
+                        end
+
+                        DUMMY_BYTES:
+                        begin
+                            if (hold_mode==0)
+                            begin
+                                Return_DLP(Instruct, EHP, Latency_code,
+                                           dummy_cnt, start_dlp);
+
+                                if (DOUBLE == 1'b1 && VDLR_reg != 8'b00000000 &&
+                                    start_dlp)
+                                begin
+                                    read_out = 1'b1;
+                                    #10 read_out = 1'b0;
+                                end
+                            end
+                        end
+
+                    endcase
+                end
+            end
+
+            if (rising_edge_CSNeg_ipd)
+            begin
+                if (bus_cycle_state != DATA_BYTES)
+                begin
+                    if (bus_cycle_state == ADDRESS_BYTES && opcode_tmp == 8'hFF)
+                    begin
+                        Instruct = MBR;
+                    end
+                    bus_cycle_state = STAND_BY;
+                end
+                else
+                begin
+                    if (bus_cycle_state == DATA_BYTES)
+                    begin
+                        if (((mode_bytes[7:4] == 4'b1010) &&
+                            (Instruct==DIOR || Instruct==DIOR4 ||
+                            Instruct==QIOR || Instruct==QIOR4)) ||
+                            ((mode_bytes[7:4] == ~mode_bytes[3:0]) &&
+                            (Instruct == DDRFR  || Instruct == DDRFR4  ||
+                            Instruct == DDRDIOR || Instruct == DDRDIOR4 ||
+                            Instruct == DDRQIOR || Instruct == DDRQIOR4)))
+                            bus_cycle_state = ADDRESS_BYTES;
+                        else
+                            bus_cycle_state = STAND_BY;
+
+                        case (Instruct)
+                            WREN,
+                            WRDI,
+                            BE,
+                            SE,
+                            SE4,
+                            P4E,
+                            P4E4,
+                            CLSR,
+                            BRAC,
+                            RESET,
+                            PPBERS,
+                            PPBP,
+                            PLBWR,
+                            PGSP,
+                            PGRS,
+                            ERSP,
+                            ERRS:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 0)
+                                        write = 1'b0;
+                                end
+                            end
+
+                            WRR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 8)
+                                    //If CS# is driven high after eight
+                                    //cycle,only the Status Register is
+                                    //written to.
+                                    begin
+                                        write = 1'b0;
+                                        if (BAR_ACC == 0)
+                                        begin
+                                            for(i=0;i<=7;i=i+1)
+                                            begin
+                                                Status_reg1_in[i]=
+                                                Data_in[7-i];
+                                            end
+                                        end
+                                        else
+                                        begin
+                                            if (P_ERR == 0 && E_ERR == 0)
+                                            begin
+                                                for(i=0;i<=7;i=i+1)
+                                                begin
+                                                    Bank_Addr_reg_in[i]=
+                                                    Data_in[7-i];
+                                                end
+                                            end
+                                        end
+                                    end
+                                    else if (data_cnt == 16)
+                                    //After the 16th cycle both the
+                                    //Status and Configuration Registers
+                                    //are written to.
+                                    begin
+                                        write = 1'b0;
+                                        if (BAR_ACC == 0)
+                                        begin
+                                            cfg_write = 1'b1;
+                                            for(i=0;i<=7;i=i+1)
+                                            begin
+                                                Status_reg1_in[i]=
+                                                Data_in[7-i];
+                                                Config_reg1_in[i]=
+                                                Data_in[15-i];
+                                            end
+                                        end
+                                        else
+                                        begin
+                                            if (P_ERR == 0 && E_ERR == 0)
+                                            begin
+                                                for(i=0;i<=7;i=i+1)
+                                                begin
+                                                    Bank_Addr_reg_in[i]=
+                                                    Data_in[7-i];
+                                                end
+                                            end
+                                        end
+                                    end
+                                end
+                            end
+
+                            PP,
+                            PP4,
+                            OTPP:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt > 0)
+                                    begin
+                                        if ((data_cnt % 8) == 0)
+                                        begin
+                                            write = 1'b0;
+                                            for(i=0;i<=PageSize;i=i+1)
+                                            begin
+                                                for(j=7;j>=0;j=j-1)
+                                                begin
+                                                    if ((Data_in[(i*8)+(7-j)])
+                                                        !== 1'bX)
+                                                    begin
+                                                        Byte_slv[j] =
+                                                        Data_in[(i*8)+(7-j)];
+                                                    end
+                                                end
+                                                WByte[i] = Byte_slv;
+                                            end
+
+                                            if (data_cnt > (PageSize+1)*BYTE)
+                                                Byte_number = PageSize;
+                                            else
+                                                Byte_number =
+                                                    ((data_cnt/8) - 1);
+                                        end
+                                    end
+                                end
+                            end
+
+                            QPP,
+                            QPP4:
+                            begin
+                                if (data_cnt >0)
+                                begin
+                                    if ((data_cnt % 2) == 0)
+                                    begin
+                                        write = 1'b0;
+                                        quad_pg = 1'b0;
+                                        for(i=0;i<=PageSize;i=i+1)
+                                        begin
+                                            for(j=1;j>=0;j=j-1)
+                                            begin
+                                                Quad_slv =
+                                                quad_data_in[(i*2)+(1-j)];
+                                                if (j==1)
+                                                    Byte_slv[7:4] = Quad_slv;
+                                                else if (j==0)
+                                                    Byte_slv[3:0] = Quad_slv;
+                                            end
+                                            WByte[i] = Byte_slv;
+                                        end
+                                        if (data_cnt > (PageSize+1)*2)
+                                            Byte_number = PageSize;
+                                        else
+                                            Byte_number = ((data_cnt/2)-1);
+                                    end
+                                end
+                            end
+
+                            ABWR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 32)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=31;j=j+1)
+                                        begin
+                                            AutoBoot_reg_in[j] = Data_in[31-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            BRWR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 8)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=7;j=j+1)
+                                        begin
+                                            Bank_Addr_reg_in[j] = Data_in[7-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            ASPP:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 16)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=15;j=j+1)
+                                        begin
+                                            ASP_reg_in[j] = Data_in[15-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            DYBWR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 8)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=7;j=j+1)
+                                        begin
+                                            DYBAR_in[j] = Data_in[7-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            PNVDLR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 8)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=7;j=j+1)
+                                        begin
+                                            NVDLR_reg_in[j] = Data_in[7-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            WVDLR:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 8)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=0;j<=7;j=j+1)
+                                        begin
+                                            VDLR_reg_in[j] = Data_in[7-j];
+                                        end
+                                    end
+                                end
+                            end
+
+                            PASSP:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 64)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=1;j<=8;j=j+1)
+                                        begin
+                                            for(k=1;k<=8;k=k+1)
+                                            begin
+                                                Password_reg_in[j*8-k] =
+                                                            Data_in[8*(j-1)+k-1];
+                                            end
+                                        end
+                                    end
+                                end
+                            end
+
+                            PASSU:
+                            begin
+                                if ((HOLDNeg_in && ~QUAD) || QUAD)
+                                begin
+                                    if (data_cnt == 64)
+                                    begin
+                                        write = 1'b0;
+                                        for(j=1;j<=8;j=j+1)
+                                        begin
+                                            for(k=1;k<=8;k=k+1)
+                                            begin
+                                                PASS_TEMP[j*8-k] =
+                                                            Data_in[8*(j-1)+k-1];
+                                            end
+                                        end
+                                    end
+                                end
+                            end
+                        endcase
+                    end
+                end
+            end
+        end
+    end
+
+///////////////////////////////////////////////////////////////////////////////
+// Timing control for the Page Program
+///////////////////////////////////////////////////////////////////////////////
+    time pob;
+    time elapsed_pgm;
+    time elapsed_tsu;
+    time start_pgm;
+    time start_tsu;
+    time duration_pgm;
+    time duration_tsu;
+    event pdone_event;
+
+    always @(rising_edge_PSTART)
+    begin
+        if ((Instruct == PP) || (Instruct == PP4) || (Instruct == OTPP) ||
+           (Instruct == QPP) || (Instruct == QPP4))
+            if (PageSize == 255)
+            begin
+                pob = tdevice_PP_256;
+            end
+            else
+            begin
+                pob = tdevice_PP_512;
+            end
+        else
+            pob = tdevice_BP;
+        if ((rising_edge_PSTART) && PDONE)
+        begin
+            elapsed_pgm = 0;
+            duration_pgm = pob;
+            PDONE = 1'b0;
+            ->pdone_event;
+            start_pgm = $time;
+        end
+    end
+
+    always @(posedge PGSUSP)
+    begin
+        if (PGSUSP && (~PDONE))
+        begin
+            disable pdone_process;
+            elapsed_pgm = $time - start_pgm;
+            duration_pgm = pob - elapsed_pgm;
+            PDONE = 1'b0;
+        end
+    end
+
+    always @(posedge PGRES)
+    begin
+        start_pgm = $time;
+        ->pdone_event;
+    end
+
+    always @(pdone_event)
+    begin:pdone_process
+        PDONE = 1'b0;
+        #duration_pgm PDONE = 1'b1;
+    end
+
+    always @(SI)
+    begin
+        if ((Instruct == PGSP) || (Instruct == PGRS) ||
+           (Instruct == ERSP) || (Instruct == ERRS))
+        begin
+            start_tsu = $time;
+        end
+    end
+
+    always @(posedge SCK)
+    begin
+        if ((Instruct == PGSP) || (Instruct == PGRS) ||
+           (Instruct == ERSP) || (Instruct == ERRS))
+        begin
+            elapsed_tsu = $time - start_tsu;
+            duration_tsu = tdevice_TSU - elapsed_tsu;
+            if (duration_tsu > 0)
+            begin
+                TSU = 1'b0;
+            end
+            else
+            begin
+                TSU = 1'b1;
+                $display("Warning at", $time);
+                $display("tSU max time violation");
+            end
+        end
+    end
+///////////////////////////////////////////////////////////////////////////////
+// Timing control for the Write Status Register
+///////////////////////////////////////////////////////////////////////////////
+    time wob;
+    always @(posedge WSTART)
+    begin:wdone_process
+        wob = tdevice_WRR;
+        if (WSTART && WDONE)
+        begin
+            WDONE = 1'b0;
+            #wob WDONE = 1'b1;
+        end
+    end
+
+///////////////////////////////////////////////////////////////////////////////
+// Reset Timing
+///////////////////////////////////////////////////////////////////////////////
+
+    time startlo;
+    time starthi;
+    time durationlo;
+    time durationhi;
+
+    always @(negedge RSTNeg_in or Instruct)
+    begin
+        if (~RSTNeg_in)
+        begin
+            RST = 1'b1;
+            #200000 RST = 1'b0;  // 200 ns
+        end
+        else if (Instruct == RESET)
+        begin
+            Reseted = 1'b0;
+            #10000 Reseted = 1'b1;   //  10 ns
+        end
+    end
+
+    always @(RST_in or rising_edge_Reseted) // Reset done,program terminated
+    begin
+        if ((RST_in && ~RST) || (rising_edge_Reseted))
+            disable pdone_process;
+            disable edone_process;
+            disable wdone_process;
+            PDONE = 1'b1;
+            EDONE = 1'b1;
+            WDONE = 1'b1;
+    end
+
+///////////////////////////////////////////////////////////////////////////////
+// Timing control for the Bulk Erase
+///////////////////////////////////////////////////////////////////////////////
+    time seo;
+    time beo;
+    event edone_event;
+    time elapsed_ers;
+    time start_ers;
+    time duration_ers;
+
+    always @(rising_edge_ESTART)
+    begin
+        if (UniformSec)
+        begin
+            seo = tdevice_SE256;
+        end
+        else
+        begin
+            seo = tdevice_SE64;
+        end
+        beo = tdevice_BE;
+        if ((rising_edge_ESTART) && EDONE)
+        begin
+            if (Instruct == BE)
+            begin
+                duration_ers = beo;
+            end
+            else
+            begin
+                duration_ers = seo;
+            end
+            elapsed_ers = 0;
+            EDONE = 1'b0;
+            ->edone_event;
+            start_ers = $time;
+        end
+    end
+
+    always @(posedge ESUSP)
+    begin
+        if (ESUSP && (~EDONE))
+        begin
+            disable edone_process;
+            elapsed_ers = $time - start_ers;
+            duration_ers = seo - elapsed_ers;
+            EDONE = 1'b0;
+        end
+    end
+
+    always @(posedge ERES)
+    begin
+        if  (ERES && (~EDONE))
+        begin
+            start_ers = $time;
+            ->edone_event;
+        end
+    end
+
+    always @(edone_event)
+    begin : edone_process
+        EDONE = 1'b0;
+        #duration_ers EDONE = 1'b1;
+    end
+
+    ///////////////////////////////////////////////////////////////////
+    // Process for clock frequency determination
+    ///////////////////////////////////////////////////////////////////
+    always @(posedge SCK_ipd)
+    begin : clock_period
+        if (SCK_ipd)
+        begin
+            SCK_cycle = $time - prev_SCK;
+            prev_SCK = $time;
+        end
+    end
+
+//    /////////////////////////////////////////////////////////////////////////
+//    // Main Behavior Process
+//    // combinational process for next state generation
+//    /////////////////////////////////////////////////////////////////////////
+
+    reg rising_edge_PDONE = 1'b0;
+    reg rising_edge_EDONE = 1'b0;
+    reg rising_edge_WDONE = 1'b0;
+    reg falling_edge_write = 1'b0;
+    reg falling_edge_PPBERASE_in = 1'b0;
+    reg falling_edge_PASSULCK_in = 1'b0;
+
+    integer i;
+    integer j;
+
+    always @(rising_edge_PoweredUp or falling_edge_write or
+             falling_edge_RSTNeg or rising_edge_PDONE or rising_edge_WDONE or
+             rising_edge_EDONE or ERSSUSP_out_event or rising_edge_RSTNeg or
+             PRGSUSP_out_event or rising_edge_CSNeg_ipd or rising_edge_RST_out
+             or falling_edge_PPBERASE_in or falling_edge_PASSULCK_in or RST_out)
+    begin: StateGen1
+
+        integer sect;
+
+        if (rising_edge_PoweredUp && RSTNeg_in && RST_out)
+        begin
+            if (ABE == 1 && RPME !== 0 )
+            begin
+                next_state     = AUTOBOOT;
+                read_cnt       = 0;
+                byte_cnt       = 1;
+                read_addr      = {AutoBoot_reg[31:9], 9'b0};
+                start_delay    = AutoBoot_reg[8:1];
+                start_autoboot = 0;
+                ABSD           = AutoBoot_reg[8:1];
+            end
+            else
+                next_state = IDLE;
+        end
+        else if (PoweredUp)
+        begin
+            if (RST_out == 1'b0)
+                next_state = current_state;
+            else if (falling_edge_write && Instruct == RESET)
+            begin
+                if (ABE == 1 && RPME !== 0)
+                begin
+                    read_cnt       = 0;
+                    byte_cnt       = 1;
+                    read_addr      = {AutoBoot_reg[31:9], 9'b0};
+                    start_delay    = AutoBoot_reg[8:1];
+                    ABSD           = AutoBoot_reg[8:1];
+                    start_autoboot = 0;
+                    next_state     = AUTOBOOT;
+                end
+                else
+                    next_state = IDLE;
+            end
+            else 
+            begin
+                case (current_state)
+                    RESET_STATE :
+                    begin
+                        if ((rising_edge_RST_out && RSTNeg_in) ||
+                        (rising_edge_RSTNeg && RST_out))
+                        begin
+                            if (ABE == 1 && RPME!== 0)
+                            begin
+                                next_state = AUTOBOOT;
+                                read_cnt       = 0;
+                                byte_cnt       = 1;
+                                read_addr      = {AutoBoot_reg[31:9],9'b0};
+                                start_delay    = AutoBoot_reg[8:1];
+                                start_autoboot = 0;
+                                ABSD           = AutoBoot_reg[8:1];
+                            end
+                            else
+                                next_state = IDLE;
+                        end
+                    end
+
+                    IDLE :
+                    begin
+                        if (falling_edge_write && RdPswdProtMode == 0)
+                        begin
+                            if (Instruct == WRR && WEL == 1 && BAR_ACC == 0
+                            && (((~(SRWD == 1 && ~WPNeg_in))&& ~QUAD) || QUAD))
+                            // can not execute if HPM is entered or
+                            // if WEL bit is zero
+                                if (((TBPROT==1 && Config_reg1_in[5]==1'b0) ||
+                                     (TBPARM==1 && Config_reg1_in[2]==1'b0) ||
+                                     (BPNV  ==1 && Config_reg1_in[3]==1'b0)) &&
+                                     cfg_write)
+                                begin
+                                    $display ("WARNING: Changing value of ");
+                                    $display ("Configuration Register OTP ");
+                                    $display ("bit from 1 to 0 is not");
+                                    $display ("allowed!!!");
+                                end
+                                else
+                                begin
+                                    next_state = WRITE_SR;
+                                end
+                            else if (Instruct == WRR && BAR_ACC == 1)
+                            begin
+                            // Write to the lower address bits of the BAR
+                                if (P_ERR == 0 && E_ERR == 0)
+                                begin
+                                    next_state = IDLE;
+                                end
+                            end
+                            else if ((Instruct == PP || Instruct == QPP ||
+                                      Instruct == PP4 || Instruct == QPP4) &&
+                                      WEL == 1)
+                            begin
+                                ReturnSectorID(sect,Address);
+                                pgm_page = Address / (PageSize+1);
+                                if (Sec_Prot[sect]== 0 && PPB_bits[sect]== 1 &&
+                                    DYB_bits[sect]== 1)
+                                begin
+                                    next_state = PAGE_PG;
+                                end
+                            end
+                            else if (Instruct==OTPP && WEL==1 && FREEZE==0)
+                            begin
+                                if (((((Address>=16'h0010 && Address<=16'h0013)
+                                    ||(Address>=16'h0020 && Address<=16'h00FF))
+                                    && LOCK_BYTE1[Address/32] == 1) ||
+                                    ((Address>=16'h0100 && Address<=16'h01FF)
+                                    && LOCK_BYTE2[(Address-16'h0100)/32]==1) ||
+                                    ((Address>=16'h0200 && Address<=16'h02FF)
+                                    && LOCK_BYTE3[(Address-16'h0200)/32]==1) ||
+                                    ((Address>=16'h0300 && Address<=16'h03FF)
+                                    && LOCK_BYTE4[(Address-16'h0300)/32] == 1))
+                                    && (Address + Byte_number <= OTPHiAddr))
+                                next_state =  OTP_PG;
+                            end
+                            else if ((Instruct == SE || Instruct == SE4)
+                                    && WEL == 1)
+                            begin
+                                ReturnSectorID(sect,Address);
+                                if (UniformSec || (TopBoot && sect < 510) ||
+                                   (BottomBoot && sect > 31))
+                                begin
+                                    if (Sec_Prot[sect]== 0 && PPB_bits[sect]== 1
+                                         && DYB_bits[sect]== 1)
+                                        next_state =  SECTOR_ERS;
+                                end
+                                else if ((TopBoot && sect >= 510) ||
+                                        (BottomBoot && sect <= 31))
+                                begin
+                                    if (Sec_ProtSE == 32 && ASP_ProtSE == 32)
+                                    //Sector erase command is applied to a
+                                    //64 KB range that includes 4 KB sectors.
+                                        next_state =  SECTOR_ERS;
+                                end
+                            end
+                            else if ((Instruct == P4E || Instruct == P4E4)
+                                    && WEL == 1)
+                            begin
+                                ReturnSectorID(sect,Address);
+                                if (UniformSec || (TopBoot && sect < 510) ||
+                                   (BottomBoot && sect > 31))
+                                begin
+                                    $display("The instruction is applied to");
+                                    $display("a sector that is larger than");
+                                    $display("4 KB.");
+                                    $display("Instruction is ignored!!!");
+                                end
+                                else
+                                begin
+                                     if (Sec_Prot[sect]== 0 &&
+                                      PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                                        next_state =  SECTOR_ERS;
+                                end
+                            end
+                            else if (Instruct == BE && WEL == 1 &&
+                                (Status_reg1[4]== 0 && Status_reg1[3]== 0 &&
+                                    Status_reg1[2]== 0))
+                                next_state = BULK_ERS;
+                            else if (Instruct == ABWR && WEL == 1)
+                                //Autoboot Register Write Command
+                                next_state = AUTOBOOT_PG;
+                            else if (Instruct == BRWR)
+                                //Bank Register Write Command
+                                next_state = IDLE;
+                            else if (Instruct == ASPP && WEL == 1)
+                            begin
+                                //ASP Register Program Command
+                                if (~(ASPOTPFLAG))
+                                    next_state = ASP_PG;
+                            end
+                            else if (Instruct == PLBWR && WEL == 1 &&
+                                     RdPswdProtEnable == 0)
+                                next_state = PLB_PG;
+                            else if (Instruct == PASSP && WEL == 1)
+                            begin
+                                if (~(PWDMLB== 0 && PSTMLB== 1))
+                                    next_state = PASS_PG;
+                            end
+                            else if (Instruct == PASSU && WEL && ~WIP)
+                                next_state = PASS_UNLOCK;
+                            else if (Instruct == PPBP && WEL == 1)
+                                next_state <= PPB_PG;
+                            else if (Instruct == PPBERS && WEL && PPBOTP)
+                                next_state <= PPB_ERS;
+                            else if (Instruct == DYBWR && WEL == 1)
+                                next_state = DYB_PG;
+                            else if (Instruct == PNVDLR && WEL == 1)
+                                next_state = NVDLR_PG;
+                            else
+                                next_state = IDLE;
+                        end
+                        if (falling_edge_write && RdPswdProtMode == 1 && ~WIP)
+                        begin
+                            if (Instruct == PASSU)
+                                next_state = PASS_UNLOCK;
+                        end
+                    end
+
+                    AUTOBOOT :
+                    begin
+                        if (rising_edge_CSNeg_ipd)
+                            next_state = IDLE;
+                    end
+
+                    WRITE_SR :
+                    begin
+                        if (rising_edge_WDONE)
+                            next_state = IDLE;
+                    end
+
+                    PAGE_PG :
+                    begin
+                        if (PRGSUSP_out_event && PRGSUSP_out == 1)
+                            next_state = PG_SUSP;
+                        else if (rising_edge_PDONE)
+                            next_state = IDLE;
+                    end
+
+                    PG_SUSP :
+                    begin
+                        if (falling_edge_write)
+                        begin
+                            if (Instruct == BRWR)
+                                //Bank Register Write Command
+                                next_state = PG_SUSP;
+                            else if (Instruct == PGRS)
+                                next_state = PAGE_PG;
+                        end
+                    end
+
+                    OTP_PG :
+                    begin
+                        if (rising_edge_PDONE)
+                            next_state = IDLE;
+                    end
+
+                    BULK_ERS :
+                    begin
+                        if (rising_edge_EDONE)
+                            next_state = IDLE;
+                    end
+
+                    SECTOR_ERS :
+                    begin
+                        if (ERSSUSP_out_event && ERSSUSP_out == 1)
+                            next_state = ERS_SUSP;
+                        else if (rising_edge_EDONE)
+                            next_state = IDLE;
+                    end
+
+                    ERS_SUSP :
+                    begin
+                        if (falling_edge_write)
+                        begin
+                            if ((Instruct == PP || Instruct == QPP ||
+                                 Instruct == PP4 || Instruct == QPP4) &&
+                                 WEL == 1)
+                            begin
+                                if ((PARAM_REGION &&
+                                     SectorSuspend != Address/(SecSize+1)) ||
+                                   (~PARAM_REGION && SectorSuspend !=
+                                     Address/(SecSize+1)+30*b_act))
+                                begin
+                                    ReturnSectorID(sect,Address);
+                                    pgm_page = Address / (PageSize+1);
+                                    if (PPB_bits[sect]== 1 &&
+                                        DYB_bits[sect]== 1)
+                                    begin
+                                        next_state = ERS_SUSP_PG;
+                                    end
+                                end
+                            end
+                            else if (Instruct == BRWR)
+                            begin
+                                //Bank Register Write Command
+                                next_state = ERS_SUSP;
+                            end
+                            else if (Instruct == DYBWR && WEL == 1)
+                                next_state = DYB_PG;
+                            else if  (Instruct == ERRS)
+                                next_state = SECTOR_ERS;
+                        end
+                    end
+
+                    ERS_SUSP_PG :
+                    begin
+                        if (rising_edge_PDONE)
+                            next_state = ERS_SUSP;
+                        else if (PRGSUSP_out_event && PRGSUSP_out == 1)
+                            next_state = ERS_SUSP_PG_SUSP;
+                    end
+
+                    ERS_SUSP_PG_SUSP :
+                    begin
+                        if (rising_edge_PDONE)
+                            next_state = ERS_SUSP;
+                        if (falling_edge_write)
+                        begin
+                            if (Instruct == BRWR)
+                            begin
+                                next_state =  ERS_SUSP_PG_SUSP;
+                            end
+                            else if (Instruct == PGRS)
+                            begin
+                                next_state =  ERS_SUSP_PG;
+                            end
+                        end
+                    end
+
+                    PASS_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+
+                    PASS_UNLOCK :
+                    begin
+                    if (falling_edge_PASSULCK_in)
+                        next_state = IDLE;
+                    end
+
+                    PPB_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+
+                    PPB_ERS :
+                    begin
+                    if (falling_edge_PPBERASE_in)
+                        next_state = IDLE;
+                    end
+
+                    AUTOBOOT_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+
+                    PLB_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+
+                    DYB_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        if (ES)
+                            next_state = ERS_SUSP;
+                        else
+                            next_state = IDLE;
+                    end
+
+                    ASP_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+                                        
+                    NVDLR_PG :
+                    begin
+                    if (rising_edge_PDONE)
+                        next_state = IDLE;
+                    end
+
+                endcase
+            end
+        end
+    end
+
+    ///////////////////////////////////////////////////////////////////////////
+    //FSM Output generation and general functionality
+    ///////////////////////////////////////////////////////////////////////////
+    reg rising_edge_read_out = 1'b0;
+    reg Instruct_event       = 1'b0;
+    reg change_addr_event    = 1'b0;
+    reg current_state_event  = 1'b0;
+    reg rising_edge_DP_out   = 1'b0;
+
+    integer WData [0:511];
+    integer WOTPData;
+    integer Addr;
+    integer Addr_tmp;
+
+    always @(Instruct_event)
+    begin
+        read_cnt = 0;
+        byte_cnt = 1;
+        rd_fast  = 1'b1;
+        dual     = 1'b0;
+        rd_slow  = 1'b0;
+        any_read = 1'b0;
+    end
+
+    always @(rising_edge_read_out)
+    begin
+        if (rising_edge_read_out == 1'b1)
+        begin
+            if (PoweredUp == 1'b1)
+            begin
+                oe_z = 1'b1;
+                #1000 oe_z = 1'b0;
+                oe = 1'b1;
+                #1000 oe = 1'b0;
+            end
+        end
+    end
+
+    always @(change_addr_event)
+    begin
+        if (change_addr_event)
+        begin
+            read_addr = Address;
+        end
+    end
+
+    always @(posedge PASSACC_out)
+    begin
+        Status_reg1[0] = 1'b0; //WIP
+        PASSACC_in = 1'b0;
+    end
+
+    always @(Instruct or posedge start_autoboot or oe or current_state_event or
+             falling_edge_write or posedge PDONE or posedge WDONE or oe_z or
+             posedge EDONE or ERSSUSP_out or rising_edge_Reseted or
+             rising_edge_PoweredUp or rising_edge_CSNeg_ipd or PRGSUSP_out or
+             Address)
+    begin: Functionality
+    integer i,j;
+    integer sect;
+
+        if (rising_edge_PoweredUp)
+        begin
+            //the default condition after power-up
+            //The Bank Address Register is loaded to all zeroes
+            Bank_Addr_reg = 8'h0;
+            //The Configuration Register FREEZE bit is cleared.
+            Config_reg1[0] = 0;
+            //The WEL bit is cleared.
+            Status_reg1[1] = 0;
+            //When BPNV is set to '1'. the BP2-0 bits in Status Register are
+            //volatile and will be reset binary 111 after power-on reset
+            if (BPNV == 1  && FREEZE == 0 ) //&& LOCK == 0
+            begin
+                Status_reg1[4] = 1'b0;// BP2
+                Status_reg1[3] = 1'b0;// BP1
+                Status_reg1[2] = 1'b0;// BP0
+                BP_bits = {Status_reg1[4],Status_reg1[3],Status_reg1[2]};
+                change_BP = 1'b1;
+                #1000 change_BP = 1'b0;
+            end
+
+            //As shipped from the factory, all devices default ASP to the
+            //Persistent Protection mode, with all sectors unprotected,
+            //when power is applied. The device programmer or host system must
+            //then choose which sector protection method to use.
+            //For Persistent Protection mode, PPBLOCK defaults to "1"
+            PPBL[0] = 1'b1;
+
+            //All the DYB power-up in the unprotected state
+            DYB_bits = {542{1'b1}};
+
+        end
+
+        if (Instruct == RESET)
+        begin
+            //EXTADD is cleared to “0”
+            Bank_Addr_reg[7] = 1'b0;
+            //P_ERR bit is cleared
+            Status_reg1[6] = 1'b0;
+            //E_ERR bit is cleared
+            Status_reg1[5] = 1'b0;
+            //The WEL bit is cleared.
+            Status_reg1[1] = 1'b0;
+            //The WIP bit is cleared.
+            Status_reg1[0] = 1'b0;
+            //The ES bit is cleared.
+            Status_reg2[1] = 1'b0;
+            //The PS bit is cleared.
+            Status_reg2[0] = 1'b0;
+            //When BPNV is set to '1'. the BP2-0 bits in Status
+            //Register are volatile and will be reseted after
+            //reset command
+            if (BPNV == 1  && FREEZE == 0) //&& LOCK== 0
+            begin
+                Status_reg1[4] = 1'b1;
+                Status_reg1[3] = 1'b1;
+                Status_reg1[2] = 1'b1;
+
+                BP_bits = {Status_reg1[4],Status_reg1[3],
+                        Status_reg1[2]};
+                change_BP = 1'b1;
+                #1000 change_BP = 1'b0;
+            end
+        end
+
+        case (current_state)
+            IDLE :
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                ASP_ProtSE = 0;
+                Sec_ProtSE = 0;
+
+                if (BottomBoot)
+                begin
+                    for (j=31;j>=0;j=j-1)
+                    begin
+                        if (PPB_bits[j] == 1 && DYB_bits[j] == 1)
+                        begin
+                            ASP_ProtSE = ASP_ProtSE + 1;
+                        end
+                        if (Sec_Prot[j] == 0)
+                        begin
+                            Sec_ProtSE = Sec_ProtSE + 1;
+                        end
+                    end
+                end
+                else if (TopBoot)
+                begin
+                    for (j=541;j>=510;j=j-1)
+                    begin
+                        if (PPB_bits[j] == 1 && DYB_bits[j] == 1)
+                        begin
+                            ASP_ProtSE = ASP_ProtSE + 1;
+                        end
+                        if (Sec_Prot[j] == 0)
+                        begin
+                            Sec_ProtSE = Sec_ProtSE + 1;
+                        end
+                    end
+                end
+
+                if (falling_edge_write && RdPswdProtMode == 1)
+                begin
+                    if(Instruct == PASSU)
+                    begin
+                        if (~WIP)
+                        begin
+                            PASSULCK_in = 1;
+                            Status_reg1[0] = 1'b1; //WIP
+                        end
+                        else
+                        begin
+                            $display ("The PASSU command cannot be accepted");
+                            $display (" any faster than once every 100us");
+                        end
+                    end
+                    else if (Instruct == CLSR)
+                    begin
+                    //The Clear Status Register Command resets bit SR1[5]
+                    //(Erase Fail Flag) and bit SR1[6] (Program Fail Flag)
+                        Status_reg1[5] = 0;
+                        Status_reg1[6] = 0;
+                    end
+                end
+
+                if (falling_edge_write && RdPswdProtMode == 0)
+                begin
+                    read_cnt = 0;
+                    byte_cnt = 1;
+                    if (Instruct == WREN)
+                        Status_reg1[1] = 1'b1;
+                    else if (Instruct == WRDI)
+                        Status_reg1[1] = 0;
+                    else if ((Instruct == WRR) && WEL == 1 && WDONE == 1 &&
+                              BAR_ACC == 0)
+                    begin
+                        if (((~(SRWD == 1 && ~WPNeg_in))&& ~QUAD) || QUAD)
+                        begin
+                            if (((TBPROT==1 && Config_reg1_in[5]==1'b0) ||
+                                 (TBPARM==1 && Config_reg1_in[2]==1'b0) ||
+                                 (BPNV  ==1 && Config_reg1_in[3]==1'b0)) &&
+                                 cfg_write)
+                            begin
+                                // P_ERR bit is set to 1
+                                Status_reg1[6] = 1'b1;
+                            end
+                            else
+                            begin
+                            // can not execute if Hardware Protection Mode
+                            // is entered or if WEL bit is zero
+                                WSTART = 1'b1;
+                                WSTART <= #5 1'b0;
+                                Status_reg1[0] = 1'b1;
+                            end
+                        end
+                        else
+                            Status_reg1[1] = 0;
+                    end
+                    else if ((Instruct == PP || Instruct == PP4) && WEL ==1 &&
+                              PDONE == 1 )
+                    begin
+                        ReturnSectorID(sect,Address);
+                        if (Sec_Prot[sect] == 0 &&
+                            PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                        begin
+                            PSTART  = 1'b1;
+                            PSTART <= #5 1'b0;
+                            PGSUSP  = 0;
+                            PGRES   = 0;
+                            INITIAL_CONFIG = 1;
+                            Status_reg1[0] = 1'b1;
+                            SA      = sect;
+                            Addr    = Address;
+                            Addr_tmp= Address;
+                            wr_cnt  = Byte_number;
+                            for (i=wr_cnt;i>=0;i=i-1)
+                            begin
+                                if (Viol != 0)
+                                    WData[i] = -1;
+                                else
+                                    WData[i] = WByte[i];
+                            end
+                        end
+                        else
+                        begin
+                        //P_ERR bit will be set when the user attempts to
+                        //to program within a protected main memory sector
+                            Status_reg1[6] = 1'b1; //P_ERR
+                            Status_reg1[1] = 1'b0; //WEL
+                        end
+                    end
+                    else if ((Instruct == QPP || Instruct == QPP4) && WEL ==1 &&
+                              PDONE == 1 )
+                    begin
+                        ReturnSectorID(sect,Address);
+                        pgm_page = Address / (PageSize+1);
+                        if (Sec_Prot[sect] == 0 &&
+                            PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                        begin
+                            PSTART  = 1'b1;
+                            PSTART <= #5 1'b0;
+                            PGSUSP  = 0;
+                            PGRES   = 0;
+                            INITIAL_CONFIG = 1;
+//                            QPP_page[pgm_page] = 1'b1;
+                            Status_reg1[0] = 1'b1;
+                            SA      = sect;
+                            Addr    = Address;
+                            Addr_tmp= Address;
+                            wr_cnt  = Byte_number;
+                            for (i=wr_cnt;i>=0;i=i-1)
+                            begin
+                                if (Viol != 0)
+                                    WData[i] = -1;
+                                else
+                                    WData[i] = WByte[i];
+                            end
+                        end
+                        else
+                        begin
+                        //P_ERR bit will be set when the user attempts to
+                        //to program within a protected main memory sector
+                            Status_reg1[6] = 1'b1; //P_ERR
+                            Status_reg1[1] = 1'b0; //WEL
+                        end
+                    end
+                    else if (Instruct == OTPP && WEL == 1)
+                    begin
+                        // As long as the FREEZE bit remains cleared to a logic
+                        // '0' the OTP address space is programmable.
+                        if (FREEZE == 0)
+                        begin
+                            if (((((Address>= 16'h0010 && Address<= 16'h0013) ||
+                                (Address >= 16'h0020 && Address <= 16'h00FF))
+                                && LOCK_BYTE1[Address/32] == 1) ||
+                                ((Address >= 16'h0100 && Address <= 16'h01FF)
+                                && LOCK_BYTE2[(Address-16'h0100)/32] == 1) ||
+                                ((Address >= 16'h0200 && Address <= 16'h02FF)
+                                && LOCK_BYTE3[(Address-16'h0200)/32] == 1) ||
+                                ((Address >= 16'h0300 && Address <= 16'h03FF)
+                                && LOCK_BYTE4[(Address-16'h0300)/32] == 1)) &&
+                                (Address + Byte_number <= OTPHiAddr))
+                            begin
+                                PSTART = 1'b1;
+                                PSTART <= #5 1'b0;
+                                Status_reg1[0] = 1'b1;
+                                Addr    = Address;
+                                Addr_tmp= Address;
+                                wr_cnt  = Byte_number;
+                                for (i=wr_cnt;i>=0;i=i-1)
+                                begin
+                                    if (Viol != 0)
+                                        WData[i] = -1;
+                                    else
+                                        WData[i] = WByte[i];
+                                end
+                            end
+                            else if ((Address < 8'h10 || (Address > 8'h13 &&
+                                    Address < 8'h20) || Address > 12'h3FF ))
+                            begin
+                                Status_reg1[6] = 1'b1;//P_ERR
+                                Status_reg1[1] = 1'b0;//WEL
+                                if (Address < 8'h20)
+                                begin
+                                    $display ("Given  address is ");
+                                    $display ("in reserved address range");
+                                end
+                                else if (Address > 12'h3FF)
+                                begin
+                                    $display ("Given  address is ");
+                                    $display ("out of OTP address range");
+                                end
+                            end
+                            else
+                            begin
+                            //P_ERR bit will be set when the user attempts to
+                            // to program within locked OTP region
+                                Status_reg1[6] = 1'b1;//P_ERR
+                                Status_reg1[1] = 1'b0;//WEL
+                            end
+                        end
+                        else
+                        begin
+                        //P_ERR bit will be set when the user attempts to
+                        //to program within locked OTP region
+                            Status_reg1[6] = 1'b1;//P_ERR
+                            Status_reg1[1] = 1'b0;//WEL
+                        end
+                    end
+                    else if ((Instruct == SE || Instruct == SE4) && WEL == 1)
+                    begin
+                        ReturnSectorID(sect,Address);
+                        if (UniformSec || (TopBoot && sect < 510) ||
+                           (BottomBoot && sect > 31))
+                        begin
+                            SectorSuspend = sect;
+                            PARAM_REGION  = 0;
+                            if (Sec_Prot[sect] == 0 &&
+                               PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                            begin
+                                ESTART = 1'b1;
+                                ESTART <= #5 1'b0;
+                                ESUSP     = 0;
+                                ERES      = 0;
+                                INITIAL_CONFIG = 1;
+                                Status_reg1[0] = 1'b1;
+                                Addr = Address;
+                            end
+                            else
+                            begin
+                            //E_ERR bit will be set when the user attempts to
+                            //erase an individual protected main memory sector
+                                Status_reg1[5] = 1'b1;//E_ERR
+                                Status_reg1[1] = 1'b0;//WEL
+                            end
+                        end
+                        else if ((TopBoot && sect >= 510) ||
+                                (BottomBoot && sect <= 31))
+                        begin
+                            if (Sec_ProtSE == 32 && ASP_ProtSE == 32)
+                            //Sector erase command is applied to a 64 KB range
+                            //that includes 4 KB sectors
+                            begin
+                                if (TopBoot)
+                                begin
+                                    SectorSuspend = 510 + (541 - sect)/16;
+                                end
+                                else
+                                begin
+                                    SectorSuspend = sect/16;
+                                end
+                                PARAM_REGION  = 1;
+                                ESTART = 1'b1;
+                                ESTART <= #5 1'b0;
+                                ESUSP     = 0;
+                                ERES      = 0;
+                                INITIAL_CONFIG = 1;
+                                Status_reg1[0] = 1'b1;
+                                Addr = Address;
+                            end
+                            else
+                            begin
+                            //E_ERR bit will be set when the user attempts to
+                            //erase an individual protected main memory sector
+                                Status_reg1[5] = 1'b1;//E_ERR
+                                Status_reg1[1] = 1'b0;//WEL
+                            end
+                        end
+                    end
+                    else if ((Instruct == P4E || Instruct == P4E4) && WEL == 1)
+                    begin
+                        ReturnSectorID(sect,Address);
+                        if (UniformSec || (TopBoot && sect < 510) ||
+                           (BottomBoot && sect > 31))
+                        begin
+                            Status_reg1[1] = 1'b0;//WEL
+                        end
+                        else
+                        begin
+                            if (Sec_Prot[sect] == 0 &&
+                                PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                            //A P4E instruction applied to a sector
+                            //that has been Write Protected through the
+                            //Block Protect Bits or ASP will not be
+                            //executed and will set the E_ERR status
+                            begin
+                                ESTART = 1'b1;
+                                ESTART <= #5 1'b0;
+                                ESUSP     = 0;
+                                ERES      = 0;
+                                INITIAL_CONFIG = 1;
+                                Status_reg1[0] = 1'b1;
+                                Addr = Address;
+                            end
+                            else
+                            begin
+                            //E_ERR bit will be set when the user attempts to
+                            //erase an individual protected main memory sector
+                                Status_reg1[5] = 1'b1;//E_ERR
+                                Status_reg1[1] = 1'b0;//WEL
+                            end
+                        end
+                    end
+                    else if (Instruct == BE && WEL == 1)
+                    begin
+                        if (Status_reg1[4]== 0 && Status_reg1[3]== 0 &&
+                            Status_reg1[2]== 0)
+                        begin
+                            ESTART = 1'b1;
+                            ESTART <= #5 1'b0;
+                            ESUSP  = 0;
+                            ERES   = 0;
+                            INITIAL_CONFIG = 1;
+                            Status_reg1[0] = 1'b1;
+                        end
+                        else
+                        begin
+                        //The Bulk Erase command will not set E_ERR if a
+                        //protected sector is found during the command
+                        //execution.
+                            Status_reg1[1] = 1'b0;//WEL
+                        end
+                    end
+                    else if (Instruct == PASSP && WEL == 1)
+                    begin
+                        if (~(PWDMLB== 0 && PSTMLB== 1))
+                        begin
+                            PSTART = 1'b1;
+                            PSTART <= #5 1'b0;
+                            Status_reg1[0] = 1'b1;
+                        end
+                        else
+                        begin
+                            $display ("Password programming is not allowed");
+                            $display (" in Password Protection Mode.");
+                        end
+                    end
+                    else if (Instruct == PASSU  && WEL)
+                    begin
+                        if (~WIP)
+                        begin
+                            PASSULCK_in = 1;
+                            Status_reg1[0] = 1'b1; //WIP
+                        end
+                        else
+                        begin
+                            $display ("The PASSU command cannot be accepted");
+                            $display (" any faster than once every 100us");
+                        end
+                    end
+                    else if (Instruct == BRWR)
+                    begin
+                        Bank_Addr_reg[7] = Bank_Addr_reg_in[7];
+                        Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                        if(Bank_Addr_reg_in[1] == 1)
+                        begin
+                            $display ("WARNING: Changing values of ");
+                            $display ("Bank Address Register");
+                            $display ("BA25 is not allowed!!!");
+                        end
+                    end
+                    else if (Instruct == WRR && BAR_ACC == 1)
+                    begin
+                    // Write to the lower address bits of the BAR
+                        if (P_ERR == 0 && E_ERR == 0)
+                        begin
+                            Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                            if(Bank_Addr_reg_in[1] == 1)
+                            begin
+                                $display ("WARNING: Changing values of ");
+                                $display ("Bank Address Register");
+                                $display ("BA25 is not allowed!!!");
+                            end
+                        end
+                    end
+                    else if (Instruct == ASPP  && WEL == 1)
+                    begin
+                        if (~(ASPOTPFLAG))
+                        begin
+                            PSTART = 1'b1;
+                            PSTART <= #5 1'b0;
+                            Status_reg1[0]    = 1'b1;
+                        end
+                        else
+                        begin
+                            Status_reg1[1]   = 1'b0;
+                            Status_reg1[6] = 1'b1;
+                            $display ("Once the Protection Mode is selected,");
+                            $display ("no further changes to the ASP ");
+                            $display ("register is allowed.");
+                        end
+                    end
+                    else if (Instruct == ABWR  && WEL == 1)
+                    begin
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0] = 1'b1;
+                    end
+                    else if (Instruct == PPBP  && WEL == 1)
+                    begin
+                        ReturnSectorID(sect,Address);
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0] = 1'b1;
+                    end
+                    else if (Instruct == PPBERS  && WEL == 1)
+                    begin
+                        if (PPBOTP)
+                        begin
+                            PPBERASE_in = 1'b1;
+                            Status_reg1[0] = 1'b1;
+                        end
+                        else
+                        begin
+                             Status_reg1[5] = 1'b1;
+                        end
+                    end
+                    else if (Instruct == PLBWR  && WEL == 1 &&
+                             RdPswdProtEnable == 0)
+                    begin
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0] = 1'b1;
+                    end
+                    else if (Instruct == DYBWR  && WEL == 1)
+                    begin
+                        ReturnSectorID(sect,Address);
+                        pgm_page = Address / (PageSize+1);
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0]    = 1'b1;
+                    end
+                    else if (Instruct == PNVDLR  && WEL == 1)
+                    begin
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0]    = 1'b1;
+                    end
+                    else if (Instruct == WVDLR  && WEL == 1)
+                    begin
+                        VDLR_reg = VDLR_reg_in;
+                        Status_reg1[1] = 1'b0;
+                    end
+                    else if (Instruct == CLSR)
+                    begin
+                    //The Clear Status Register Command resets bit SR1[5]
+                    //(Erase Fail Flag) and bit SR1[6] (Program Fail Flag)
+                        Status_reg1[5] = 0;
+                        Status_reg1[6] = 0;
+                    end
+
+                    if (Instruct == BRAC && P_ERR == 0 && E_ERR == 0)
+                    begin
+                        BAR_ACC = 1;
+                    end
+                    else
+                    begin
+                        BAR_ACC = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    if (Instruct == READ || Instruct == RD4 ||
+                        Instruct == RES  ||
+                       (Instruct == DLPRD && RdPswdProtMode == 0))
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRFR || Instruct == DDRFR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DDRDIOR || Instruct == DDRDIOR4 ||
+                           ((Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                             && QUAD))
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DOR  || Instruct == DOR4  ||
+                             Instruct == DIOR || Instruct == DIOR4 ||
+                           ((Instruct == QOR  || Instruct == QOR4  ||
+                             Instruct == QIOR || Instruct == QIOR4)
+                             && QUAD))
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b0;
+                    end
+                    else
+                    begin
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+                else if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == ECCRD)
+                    begin
+                        //Read ECC Register
+                        SOut_zd = ECCSR[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == READ || Instruct == RD4 ||
+                            Instruct == FSTRD || Instruct == FSTRD4 ||
+                            Instruct == DDRFR || Instruct == DDRFR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == READ || Instruct == RD4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b1;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        else if (Instruct == DDRFR || Instruct == DDRFR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        if ((Instruct == DDRFR || Instruct == DDRFR4) &&
+                            (VDLR_reg != 8'b00000000) && start_dlp)
+                        begin
+                            // Data Learning Pattern (DLP) is enabled
+                            // Optional DLP
+                            data_out[7:0] = VDLR_reg;
+                            SOut_zd  = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt  = 0;
+                                start_dlp = 1'b0;
+                            end
+
+                        end
+                        else
+                        begin
+                            read_addr_tmp = read_addr;
+                            SecAddr = read_addr/(SecSize+1) ;
+                            Sec_addr = read_addr - SecAddr*(SecSize+1);
+                            SecAddr = ReturnSectorIDRdPswdMd(TBPROT);
+                            read_addr = Sec_addr + SecAddr*(SecSize+1);
+                            if (RdPswdProtMode == 0)
+                            begin
+                                read_addr = read_addr_tmp;
+                            end
+                            if (Mem[read_addr] !== -1)
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd  = data_out[7-read_cnt];
+                            end
+                            else
+                            begin
+                                SOut_zd  = 8'bx;
+                            end
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == DOR  || Instruct == DOR4  ||
+                            Instruct == DIOR || Instruct == DIOR4 ||
+                            Instruct == DDRDIOR || Instruct == DDRDIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((Instruct == DDRDIOR || Instruct == DDRDIOR4) &&
+                            (VDLR_reg != 8'b00000000) && start_dlp)
+                        begin
+
+                            data_out[7:0] = VDLR_reg;
+                            SOut_zd  = data_out[7-read_cnt];
+                            SIOut_zd = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt  = 0;
+                                start_dlp = 0;
+                            end
+                        end
+                        else
+                        begin
+                            read_addr_tmp = read_addr;
+                            SecAddr = read_addr/(SecSize+1) ;
+                            Sec_addr = read_addr - SecAddr*(SecSize+1);
+                            SecAddr = ReturnSectorIDRdPswdMd(TBPROT);
+                            read_addr = Sec_addr + SecAddr*(SecSize+1);
+                            if (RdPswdProtMode == 0)
+                                read_addr = read_addr_tmp;
+
+                            data_out[7:0] = Mem[read_addr];
+                            SOut_zd = data_out[7-2*read_cnt];
+                            SIOut_zd = data_out[6-2*read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 4)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if ((Instruct == QOR  || Instruct == QOR4 ||
+                            Instruct == QIOR || Instruct == QIOR4 ||
+                            Instruct == DDRQIOR || Instruct == DDRQIOR4 )
+                            && QUAD)
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((Instruct == DDRQIOR || Instruct == DDRQIOR4) &&
+                            (VDLR_reg != 8'b00000000) && start_dlp)
+                        begin
+                            // Data Learning Pattern (DLP) is enabled
+                            // Optional DLP
+                            data_out[7:0] = VDLR_reg;
+                            HOLDNegOut_zd = data_out[7-read_cnt];
+                            WPNegOut_zd   = data_out[7-read_cnt];
+                            SOut_zd   = data_out[7-read_cnt];
+                            SIOut_zd   = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt  = 0;
+                                start_dlp = 1'b0;
+                            end
+                        end
+                        else
+                        begin
+                            read_addr_tmp = read_addr;
+                            SecAddr = read_addr/(SecSize+1) ;
+                            Sec_addr = read_addr - SecAddr*(SecSize+1);
+                            SecAddr = ReturnSectorIDRdPswdMd(TBPROT);
+                            read_addr = Sec_addr + SecAddr*(SecSize+1);
+                            if (RdPswdProtMode == 0)
+                                read_addr = read_addr_tmp;
+
+                            data_out[7:0] = Mem[read_addr];
+                            HOLDNegOut_zd = data_out[7-4*read_cnt];
+                            WPNegOut_zd   = data_out[6-4*read_cnt];
+                            SOut_zd   = data_out[5-4*read_cnt];
+                            SIOut_zd   = data_out[4-4*read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 2)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == OTPR)
+                    begin
+                        if(read_addr>=OTPLoAddr && read_addr<=OTPHiAddr
+                        && RdPswdProtMode == 0)
+                        begin
+                        //Read OTP Memory array
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            data_out[7:0] = OTPMem[read_addr];
+                            SOut_zd  = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                read_addr = read_addr + 1;
+                            end
+                        end
+                        else if ((read_addr > OTPHiAddr)||(RdPswdProtMode==1))
+                        begin
+                        //OTP Read operation will not wrap to the
+                        //starting address after the OTP address is at
+                        //its maximum or Read Password Protection Mode
+                        //is selected instead, the data beyond the
+                        //maximum OTP address will be undefined.
+                            SOut_zd = 1'bX;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                                read_cnt = 0;
+                        end
+                    end
+                    else if (Instruct == REMS)
+                    begin
+                        //Read Manufacturer and Device ID
+                        if (read_addr % 2 == 0)
+                        begin
+                            data_out[7:0] = Manuf_ID;
+                            SOut_zd = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                read_addr = read_addr + 1;
+                            end
+                        end
+                        else
+                        begin
+                            data_out[7:0] = DeviceID;
+                            SOut_zd = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                read_addr = 0;
+                            end
+                        end
+                    end
+                    else if (Instruct == RDID)
+                    begin
+                        ident_out = CFI_array_tmp;
+                        if(read_cnt < 648)
+                        begin
+                            SOut_zd = ident_out[647-read_cnt];
+                            read_cnt  = read_cnt + 1;
+                        end
+                        else
+                        begin
+                        //Continued shifting of output beyond the end of
+                        //the defined ID-CFI address space will
+                        //provide undefined data.
+                            SOut_zd = 1'bX;
+                        end
+                    end
+                    else if (Instruct == RES)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        data_out = ESignature;
+                        SOut_zd = data_out[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == DLPRD && RdPswdProtMode == 0)
+                    begin
+                    //Read DLP
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = VDLR_reg[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == ABRD && RdPswdProtMode == 0)
+                    begin
+                    //Read AutoBoot register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = AutoBoot_reg_in[31-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 32)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == BRRD && RdPswdProtMode == 0)
+                    begin
+                    //Read Bank Address Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = Bank_Addr_reg[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == ASPRD && RdPswdProtMode == 0)
+                    begin
+                    //Read ASP Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = ASP_reg[15-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 16)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == PASSRD && RdPswdProtMode == 0)
+                    begin
+                    //Read Password Register
+                        if (~(PWDMLB == 0 && PSTMLB == 1))
+                        begin
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                            SOut_zd =
+                                        Password_reg[(8*byte_cnt-1)-read_cnt];
+                            read_cnt  = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                byte_cnt = byte_cnt + 1;
+                                if (byte_cnt == 9)
+                                    byte_cnt = 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == PLBRD)
+                    begin
+                    //Read PPB Lock Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = PPBL[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == DYBRD)
+                    begin
+                    //Read DYB Access Register
+                        ReturnSectorID(sect,Address);
+                        pgm_page = Address / (PageSize+1);
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        DYBAR[7:0] = 8'bXXXXXXXX;
+
+                        if (RdPswdProtMode == 0)
+                        begin
+                            if (DYB_bits[sect] == 1)
+                                DYBAR[7:0] = 8'hFF;
+                            else
+                            begin
+                                DYBAR[7:0] = 8'h0;
+                            end
+                        end
+                        SOut_zd = DYBAR[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == PPBRD)
+                    begin
+                    //Read PPB Access Register
+                        ReturnSectorID(sect,Address);
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        PPBAR[7:0] = 8'bXXXXXXXX;
+                        if (RdPswdProtMode == 0)
+                        begin
+                            if (PPB_bits[sect] == 1)
+                                PPBAR[7:0] = 8'hFF;
+                            else
+                            begin
+                                PPBAR[7:0] = 8'h0;
+                            end
+                        end
+                        SOut_zd = PPBAR[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+            end
+
+            AUTOBOOT:
+            begin
+                if (start_autoboot == 1)
+                begin
+                    if (oe)
+                    begin
+                        any_read = 1'b1;
+                        if (QUAD == 1)
+                        begin
+                            if (ABSD > 0)      //If ABSD > 0,
+                            begin              //max SCK frequency is 104MHz
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b0;
+                                dual    = 1'b1;
+                                ddr     = 1'b0;
+                            end
+                            else // If ABSD = 0, max SCK frequency is 50 MHz
+                            begin
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b1;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                            data_out[7:0] = Mem[read_addr];
+                            HOLDNegOut_zd = data_out[7-4*read_cnt];
+                            WPNegOut_zd   = data_out[6-4*read_cnt];
+                            SOut_zd   = data_out[5-4*read_cnt];
+                            SIOut_zd   = data_out[4-4*read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 2)
+                            begin
+                                read_cnt = 0;
+                                read_addr = read_addr + 1;
+                            end
+                        end
+                        else
+                        begin
+                            if (ABSD > 0)      //If ABSD > 0,
+                            begin              //max SCK frequency is 133MHz
+                                rd_fast = 1'b1;
+                                rd_slow = 1'b0;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                            else // If ABSD = 0, max SCK frequency is 50 MHz
+                            begin
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b1;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                            data_out[7:0] = Mem[read_addr];
+                            SOut_zd = data_out[7-read_cnt];
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (oe_z)
+                    begin
+                        if (QUAD == 1)
+                        begin
+                            if (ABSD > 0)      //If ABSD > 0,
+                            begin              //max SCK frequency is 104MHz
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b0;
+                                dual    = 1'b1;
+                                ddr     = 1'b0;
+                            end
+                            else // If ABSD = 0, max SCK frequency is 50 MHz
+                            begin
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b1;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                        end
+                        else
+                        begin
+                            if (ABSD > 0)      //If ABSD > 0,
+                            begin              //max SCK frequency is 133MHz
+                                rd_fast = 1'b1;
+                                rd_slow = 1'b0;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                            else // If ABSD = 0, max SCK frequency is 50 MHz
+                            begin
+                                rd_fast = 1'b0;
+                                rd_slow = 1'b1;
+                                dual    = 1'b0;
+                                ddr     = 1'b0;
+                            end
+                        end
+                        HOLDNegOut_zd = 1'bZ;
+                        WPNegOut_zd   = 1'bZ;
+                        SOut_zd       = 1'bZ;
+                        SIOut_zd      = 1'bZ;
+                    end
+                end
+            end
+
+            WRITE_SR:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (WDONE == 1)
+                begin
+                    Status_reg1[0] = 1'b0; //WIP
+                    Status_reg1[1] = 1'b0; //WEL
+                    //SRWD bit
+                    Status_reg1[7] = Status_reg1_in[7]; //MSB first
+
+//                     if (LOCK == 0)
+//                     begin
+                        if (FREEZE == 0)
+                        //The Freeze Bit, when set to 1, locks the current
+                        //state of the BP2-0 bits in Status Register,
+                        //the TBPROT and TBPARM bits in the Config Register
+                        //As long as the FREEZE bit remains cleared to logic
+                        //'0', the other bits of the Configuration register
+                        //including FREEZE are writeable.
+                        begin
+                            Status_reg1[4] = Status_reg1_in[4];//BP2
+                            Status_reg1[3] = Status_reg1_in[3];//BP1
+                            Status_reg1[2] = Status_reg1_in[2];//BP0
+
+                            BP_bits = {Status_reg1[4],Status_reg1[3],
+                                       Status_reg1[2]};
+                            if (TBPROT == 1'b0 && INITIAL_CONFIG == 1'b0)
+                            begin
+                                Config_reg1[5] = Config_reg1_in[5];//TBPROT
+                            end
+                            if (TBPARM == 1'b0 && INITIAL_CONFIG == 1'b0 &&
+                                tmp_char2 == "0")
+                            begin
+                                Config_reg1[2] = Config_reg1_in[2];//TBPARM
+                                change_TBPARM = 1'b1;
+                                #1000 change_TBPARM = 1'b0;
+                            end
+                            change_BP = 1'b1;
+                            #1000 change_BP = 1'b0;
+                        end
+//                     end
+
+                    Config_reg1[7] = Config_reg1_in[7];//LC1
+                    Config_reg1[6] = Config_reg1_in[6];//LC0
+                    Config_reg1[1] = Config_reg1_in[1];//QUAD
+
+                    if (FREEZE == 1'b0)
+                    begin
+                        Config_reg1[0] = Config_reg1_in[0];//FREEZE
+                    end
+
+//                     if (WRLOCKENABLE== 1'b1 && LOCK == 1'b0)
+//                     begin
+//                         Config_reg1[4] = Config_reg1_in[4];//LOCK
+//                         WRLOCKENABLE = 1'b0;
+//                     end
+                    if (BPNV == 1'b0)
+                    begin
+                        Config_reg1[3] = Config_reg1_in[3];//BPNV
+                    end
+                end
+            end
+
+            PAGE_PG :
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if(current_state_event && current_state == PAGE_PG)
+                begin
+                    if (~PDONE)
+                    begin
+                        ADDRHILO_PG(AddrLo, AddrHi, Addr);
+                        cnt = 0;
+
+                        for (i=0;i<=wr_cnt;i=i+1)
+                        begin
+                            new_int = WData[i];
+                            old_int = Mem[Addr + i - cnt];
+                            if (new_int > -1)
+                            begin
+                                new_bit = new_int;
+                                if (old_int > -1)
+                                begin
+                                    old_bit = old_int;
+                                    for(j=0;j<=7;j=j+1)
+                                    begin
+                                        if (~old_bit[j])
+                                            new_bit[j]=1'b0;
+                                    end
+                                    new_int=new_bit;
+                                end
+                                WData[i]= new_int;
+                            end
+                            else
+                            begin
+                                WData[i] = -1;
+                            end
+
+                            Mem[Addr + i - cnt] = - 1;
+                            if ((Addr + i) == AddrHi)
+                            begin
+
+                                Addr = AddrLo;
+                                cnt = i + 1;
+                            end
+                        end
+                    end
+                    cnt = 0;
+                end
+
+                if (PDONE)
+                begin
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                    quad_pg        = 0;
+                    for (i=0;i<=wr_cnt;i=i+1)
+                    begin
+                        Mem[Addr_tmp + i - cnt] = WData[i];
+                        if ((Addr_tmp + i) == AddrHi)
+                        begin
+                            Addr_tmp = AddrLo;
+                            cnt = i + 1;
+                        end
+                    end
+                end
+
+                if (Instruct)
+                begin
+                    if (Instruct == PGSP && ~PRGSUSP_in)
+                    begin
+                        if (~RES_TO_SUSP_MIN_TIME)
+                        begin
+                            PGSUSP = 1'b1;
+                            PGSUSP <= #5 1'b0;
+                            PRGSUSP_in = 1'b1;
+                            if (RES_TO_SUSP_TYP_TIME)
+                            begin
+                                $display("Typical periods are needed for ",
+                                         "Program to progress to completion");
+                            end
+                        end
+                        else
+                        begin
+                            $display("Minimum for tPRS is not satisfied! ",
+                                     "PGSP command is ignored");
+                        end
+                    end
+                end
+            end
+
+            PG_SUSP:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+
+                if (PRGSUSP_out && PRGSUSP_in)
+                begin
+                    PRGSUSP_in = 1'b0;
+                    //The RDY/BSY bit in the Status Register will indicate that
+                    //the device is ready for another operation.
+                    Status_reg1[0] = 1'b0;
+                    //The Program Suspend (PS) bit in the Status Register will
+                    //be set to the logical “1” state to indicate that the
+                    //program operation has been suspended.
+                    Status_reg2[0] = 1'b1;
+                    PDONE = 1'b1;
+                end
+
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == BRRD)
+                    begin
+                    //Read Bank Address Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = Bank_Addr_reg[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    //Read Array Operations
+                    else if (Instruct == READ || Instruct == RD4 ||
+                            Instruct == FSTRD || Instruct == FSTRD4 ||
+                            Instruct == DDRFR || Instruct == DDRFR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == READ || Instruct == RD4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b1;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        else if (Instruct == DDRFR || Instruct == DDRFR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        if (pgm_page != read_addr / (PageSize+1))
+                        begin
+                            if ((Instruct == DDRFR || Instruct == DDRFR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd  = 8'bxxxxxxxx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                            Instruct == DIOR || Instruct == DIOR4 ||
+                            Instruct == DDRDIOR || Instruct == DDRDIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if (pgm_page != read_addr / (PageSize+1))
+                        begin
+                            if ((Instruct == DDRDIOR || Instruct == DDRDIOR4) &&
+                               (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd = data_out[7-read_cnt];
+                                SIOut_zd = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd = data_out[7-2*read_cnt];
+                                SIOut_zd = data_out[6-2*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 4)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd = 1'bx;
+                            SIOut_zd = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 4)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == QOR || Instruct == QOR4  ||
+                            Instruct == QIOR || Instruct == QIOR4 ||
+                            Instruct == DDRQIOR || Instruct == DDRQIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if (pgm_page != read_addr / (PageSize+1))
+                        begin
+                            if ((Instruct == DDRQIOR || Instruct == DDRQIOR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                HOLDNegOut_zd= data_out[7-read_cnt];
+                                WPNegOut_zd  = data_out[7-read_cnt];
+                                SOut_zd  = data_out[7-read_cnt];
+                                SIOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                HOLDNegOut_zd = data_out[7-4*read_cnt];
+                                WPNegOut_zd   = data_out[6-4*read_cnt];
+                                SOut_zd   = data_out[5-4*read_cnt];
+                                SIOut_zd   = data_out[4-4*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 2)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            HOLDNegOut_zd = 1'bx;
+                            WPNegOut_zd   = 1'bx;
+                            SOut_zd   = 1'bx;
+                            SIOut_zd   = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 2)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                end
+                else if (oe_z)
+                begin
+                    if (Instruct == READ || Instruct == RD4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRFR || Instruct == DDRFR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                             Instruct == DIOR || Instruct == DIOR4 ||
+                             Instruct == QOR || Instruct == QOR4  ||
+                             Instruct == QIOR || Instruct == QIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else
+                    begin
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (falling_edge_write)
+                begin
+                    if (Instruct == BRWR)
+                    begin
+                        Bank_Addr_reg[7] = Bank_Addr_reg_in[7];
+                        Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                        if(Bank_Addr_reg_in[1] == 1)
+                        begin
+                            $display ("WARNING: Changing values of ");
+                            $display ("Bank Address Register");
+                            $display ("BA25 is not allowed!!!");
+                        end
+                    end
+                    else if (Instruct == WRR && BAR_ACC == 1)
+                    begin
+                    // Write to the lower address bits of the BAR
+                        if (P_ERR == 0 && E_ERR == 0)
+                        begin
+                            Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                            if(Bank_Addr_reg_in[1] == 1)
+                            begin
+                                $display ("WARNING: Changing values of ");
+                                $display ("Bank Address Register");
+                                $display ("BA25 is not allowed!!!");
+                            end
+                        end
+                    end
+                    else if (Instruct == PGRS)
+                    begin
+                        Status_reg2[0] = 1'b0;
+                        Status_reg1[0] = 1'b1;
+                        PGRES = 1'b1;
+                        PGRES <= #5 1'b0;
+                        RES_TO_SUSP_MIN_TIME = 1'b1;
+                        RES_TO_SUSP_MIN_TIME <= #60000 1'b0;//60 ns
+                        RES_TO_SUSP_TYP_TIME = 1'b1;
+                        RES_TO_SUSP_TYP_TIME <= #100000000 1'b0;//100us
+                    end
+
+                    if (Instruct == BRAC && P_ERR == 0 && E_ERR == 0 &&
+                        RdPswdProtMode == 0)
+                    begin
+                        BAR_ACC = 1;
+                    end
+                    else
+                    begin
+                        BAR_ACC = 0;
+                    end
+                end
+            end
+
+            ERS_SUSP_PG_SUSP:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+
+                if (PRGSUSP_out && PRGSUSP_in)
+                begin
+                    PRGSUSP_in = 1'b0;
+                    //The RDY/BSY bit in the Status Register will indicate that
+                    //the device is ready for another operation.
+                    Status_reg1[0] = 1'b0;
+                    //The Program Suspend (PS) bit in the Status Register will
+                    //be set to the logical “1” state to indicate that the
+                    //program operation has been suspended.
+                    Status_reg2[0] = 1'b1;
+                end
+
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == BRRD)
+                    begin
+                    //Read Bank Address Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = Bank_Addr_reg[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    //Read Array Operations
+                    else if (Instruct == READ || Instruct == RD4 ||
+                            Instruct == FSTRD || Instruct == FSTRD4 ||
+                            Instruct == DDRFR || Instruct == DDRFR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == READ || Instruct == RD4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b1;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        else if (Instruct == DDRFR || Instruct == DDRFR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        if ((SectorSuspend != read_addr/(SecSize+1)) &&
+                        (pgm_page != read_addr / (PageSize+1)))
+                        begin
+                            if ((Instruct == DDRFR || Instruct == DDRFR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd  = 8'bxxxxxxxx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                            Instruct == DIOR || Instruct == DIOR4 ||
+                            Instruct == DDRDIOR || Instruct == DDRDIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((SectorSuspend != read_addr/(SecSize+1)) &&
+                        (pgm_page != read_addr / (PageSize+1)))
+                        begin
+                            if ((Instruct == DDRDIOR || Instruct == DDRDIOR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd = data_out[7-read_cnt];
+                                SIOut_zd = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd = data_out[7-2*read_cnt];
+                                SIOut_zd = data_out[6-2*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 4)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd = 1'bx;
+                            SIOut_zd = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 4)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == QOR || Instruct == QOR4  ||
+                            Instruct == QIOR || Instruct == QIOR4 ||
+                            Instruct == DDRQIOR || Instruct == DDRQIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((SectorSuspend != read_addr/(SecSize+1)) &&
+                        (pgm_page != read_addr / (PageSize+1)))
+                        begin
+                            if ((Instruct == DDRQIOR || Instruct == DDRQIOR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                HOLDNegOut_zd =data_out[7-read_cnt];
+                                WPNegOut_zd = data_out[7-read_cnt];
+                                SOut_zd = data_out[7-read_cnt];
+                                SIOut_zd = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                HOLDNegOut_zd = data_out[7-4*read_cnt];
+                                WPNegOut_zd   = data_out[6-4*read_cnt];
+                                SOut_zd   = data_out[5-4*read_cnt];
+                                SIOut_zd   = data_out[4-4*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 2)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            HOLDNegOut_zd = 1'bx;
+                            WPNegOut_zd   = 1'bx;
+                            SOut_zd   = 1'bx;
+                            SIOut_zd   = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 2)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                end
+                else if (oe_z)
+                begin
+                    if (Instruct == READ || Instruct == RD4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRFR || Instruct == DDRFR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                             Instruct == DIOR || Instruct == DIOR4 ||
+                             Instruct == QOR || Instruct == QOR4  ||
+                             Instruct == QIOR || Instruct == QIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else
+                    begin
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (falling_edge_write)
+                begin
+                    if (Instruct == BRWR)
+                    begin
+                        Bank_Addr_reg[7] = Bank_Addr_reg_in[7];
+                        Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                        if(Bank_Addr_reg_in[1] == 1)
+                        begin
+                            $display ("WARNING: Changing values of ");
+                            $display ("Bank Address Register");
+                            $display ("BA25 is not allowed!!!");
+                        end
+                    end
+                    else if (Instruct == WRR && BAR_ACC == 1)
+                    begin
+                    // Write to the lower address bits of the BAR
+                        if (P_ERR == 0 && E_ERR == 0)
+                        begin
+                            Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                            if(Bank_Addr_reg_in[1] == 1)
+                            begin
+                                $display ("WARNING: Changing values of ");
+                                $display ("Bank Address Register");
+                                $display ("BA25 is not allowed!!!");
+                            end
+                        end
+                    end
+                    else if (Instruct == PGRS)
+                    begin
+                        Status_reg2[0] = 1'b0;
+                        Status_reg1[0] = 1'b1;
+                        PGRES = 1'b1;
+                        PGRES <= #5 1'b0;
+                        RES_TO_SUSP_MIN_TIME = 1'b1;
+                        RES_TO_SUSP_MIN_TIME <= #60000 1'b0;//60 ns
+                        RES_TO_SUSP_TYP_TIME = 1'b1;
+                        RES_TO_SUSP_TYP_TIME <= #100000000 1'b0;//100us
+                    end
+
+                    if (Instruct == BRAC && P_ERR == 0 && E_ERR == 0 &&
+                        RdPswdProtMode == 0)
+                    begin
+                        BAR_ACC = 1;
+                    end
+                    else
+                    begin
+                        BAR_ACC = 0;
+                    end
+                end
+            end
+
+            OTP_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if(current_state_event && current_state == OTP_PG)
+                begin
+                    if (~PDONE)
+                    begin
+                        if (Address + wr_cnt <= OTPHiAddr)
+                        begin
+                            for (i=0;i<=wr_cnt;i=i+1)
+                            begin
+                                new_int = WData[i];
+                                old_int = OTPMem[Addr + i];
+                                if (new_int > -1)
+                                begin
+                                    new_bit = new_int;
+                                    if (old_int > -1)
+                                    begin
+                                        old_bit = old_int;
+                                        for(j=0;j<=7;j=j+1)
+                                        begin
+                                            if (~old_bit[j])
+                                                new_bit[j] = 1'b0;
+                                        end
+                                        new_int = new_bit;
+                                    end
+                                    WData[i] = new_int;
+                                end
+                                else
+                                begin
+                                    WData[i] = -1;
+                                end
+                                OTPMem[Addr + i] =  -1;
+                            end
+                        end
+                        else
+                        begin
+                            $display ("Programming will reach over ");
+                            $display ("address limit of OTP array");
+                        end
+                    end
+                end
+
+                if (PDONE)
+                begin
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                    for (i=0;i<=wr_cnt;i=i+1)
+                    begin
+                        OTPMem[Addr + i] = WData[i];
+                    end
+                    LOCK_BYTE1 = OTPMem[16];
+                    LOCK_BYTE2 = OTPMem[17];
+                    LOCK_BYTE3 = OTPMem[18];
+                    LOCK_BYTE4 = OTPMem[19];
+                end
+            end
+
+            SECTOR_ERS:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if(current_state_event && current_state == SECTOR_ERS)
+                begin
+                    if (~EDONE)
+                    begin
+                        ADDRHILO_SEC(AddrLo, AddrHi, Addr);
+                        for (i=AddrLo;i<=AddrHi;i=i+1)
+                        begin
+                            Mem[i] = -1;
+                        end
+                    end
+                end
+
+                if (EDONE == 1)
+                begin
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                    for (i=AddrLo;i<=AddrHi;i=i+1)
+                    begin
+                        Mem[i] = MaxData;
+
+                        pgm_page = i / (PageSize+1);
+//                        QPP_page[pgm_page] = 1'b0;
+                    end
+                end
+                else if (Instruct == ERSP && ~ERSSUSP_in)
+                begin
+                    ESUSP = 1'b1;
+                    ESUSP <= #5 1'b0;
+                    ERSSUSP_in = 1'b1;
+                    if (RES_TO_SUSP_TYP_TIME)
+                    begin
+                        $display("Typical periods are needed for ",
+                                 "Program to progress to completion");
+                    end
+                end
+            end
+
+            BULK_ERS:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if(current_state_event && current_state == BULK_ERS)
+                begin
+                    if (~EDONE)
+                    begin
+                        for (i=0;i<=AddrRANGE;i=i+1)
+                        begin
+                            ReturnSectorID(sect,i);
+                            if (PPB_bits[sect] == 1 && DYB_bits[sect] == 1)
+                            begin
+                                Mem[i] = -1;
+                            end
+                        end
+
+                    end
+                end
+
+                if (EDONE == 1)
+                begin
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                    for (i=0;i<=AddrRANGE;i=i+1)
+                    begin
+                        ReturnSectorID(sect,i);
+                        if (PPB_bits[sect] == 1 && DYB_bits[sect] == 1)
+                        begin
+                            Mem[i] = MaxData;
+
+                            pgm_page = i / (PageSize+1);
+//                            QPP_page[pgm_page] = 1'b0;
+                        end
+                    end
+                end
+            end
+
+            ERS_SUSP:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (ERSSUSP_out == 1)
+                begin
+                    ERSSUSP_in = 0;
+                    //The Erase Suspend (ES) bit in the Status Register will
+                    //be set to the logical “1” state to indicate that the
+                    //erase operation has been suspended.
+                    Status_reg2[1] = 1'b1;
+                    //The WIP bit in the Status Register will indicate that
+                    //the device is ready for another operation.
+                    Status_reg1[0] = 1'b0;
+                end
+
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == DYBRD)
+                    begin
+                    //Read DYB Access Register
+                        ReturnSectorID(sect,Address);
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        if (DYB_bits[sect] == 1)
+                            DYBAR[7:0] = 8'hFF;
+                        else
+                        begin
+                            DYBAR[7:0] = 8'h0;
+                        end
+                        SOut_zd = DYBAR[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == BRRD)
+                    begin
+                    //Read Bank Address Register
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        SOut_zd = Bank_Addr_reg[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == PPBRD)
+                    begin
+                    //Read PPB Access Register
+                        ReturnSectorID(sect,Address);
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                        PPBAR[7:0] = 8'bXXXXXXXX;
+                        if (RdPswdProtMode == 0)
+                        begin
+                            if (PPB_bits[sect] == 1)
+                                PPBAR[7:0] = 8'hFF;
+                            else
+                            begin
+                                PPBAR[7:0] = 8'h0;
+                            end
+                        end
+                        SOut_zd = PPBAR[7-read_cnt];
+                        read_cnt  = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == READ || Instruct == RD4 ||
+                            Instruct == FSTRD || Instruct == FSTRD4 ||
+                            Instruct == DDRFR || Instruct == DDRFR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == READ || Instruct == RD4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b1;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        else if (Instruct == DDRFR || Instruct == DDRFR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b1;
+                            rd_slow = 1'b0;
+                            dual    = 1'b0;
+                            ddr     = 1'b0;
+                        end
+                        if ((PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)) ||
+                            (~PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)+30*b_act))
+                        begin
+                            if ((Instruct == DDRFR || Instruct == DDRFR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd  = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 8)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                            Instruct == DIOR || Instruct == DIOR4 ||
+                            Instruct == DDRDIOR || Instruct == DDRDIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)) ||
+                            (~PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)+30*b_act))
+                        begin
+                            if ((Instruct == DDRDIOR || Instruct == DDRDIOR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                SOut_zd = data_out[7-read_cnt];
+                                SIOut_zd = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                SOut_zd = data_out[7-2*read_cnt];
+                                SIOut_zd = data_out[6-2*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 4)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            SOut_zd = 1'bx;
+                            SIOut_zd = 1'bx;
+                            read_cnt = read_cnt + 1;
+                            if (read_cnt == 4)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                    else if (Instruct == QOR  || Instruct == QOR4  ||
+                            Instruct == QIOR || Instruct == QIOR4 ||
+                            Instruct == DDRQIOR || Instruct == DDRQIOR4 )
+                    begin
+                        //Read Memory array
+                        if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b1;
+                        end
+                        else
+                        begin
+                            rd_fast = 1'b0;
+                            rd_slow = 1'b0;
+                            dual    = 1'b1;
+                            ddr     = 1'b0;
+                        end
+                        if ((PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)) ||
+                            (~PARAM_REGION &&
+                             SectorSuspend != read_addr/(SecSize+1)+30*b_act))
+                        begin
+                            if ((Instruct == DDRQIOR || Instruct == DDRQIOR4) &&
+                                (VDLR_reg != 8'b00000000) && start_dlp)
+                            begin
+                                // Data Learning Pattern (DLP)
+                                // is enabled Optional DLP
+                                data_out[7:0] = VDLR_reg;
+                                HOLDNegOut_zd= data_out[7-read_cnt];
+                                WPNegOut_zd  = data_out[7-read_cnt];
+                                SOut_zd  = data_out[7-read_cnt];
+                                SIOut_zd  = data_out[7-read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 8)
+                                begin
+                                    read_cnt  = 0;
+                                    start_dlp = 1'b0;
+                                end
+                            end
+                            else
+                            begin
+                                data_out[7:0] = Mem[read_addr];
+                                HOLDNegOut_zd = data_out[7-4*read_cnt];
+                                WPNegOut_zd   = data_out[6-4*read_cnt];
+                                SOut_zd   = data_out[5-4*read_cnt];
+                                SIOut_zd   = data_out[4-4*read_cnt];
+                                read_cnt = read_cnt + 1;
+                                if (read_cnt == 2)
+                                begin
+                                    read_cnt = 0;
+                                    if (read_addr == AddrRANGE)
+                                        read_addr = 0;
+                                    else
+                                        read_addr = read_addr + 1;
+                                end
+                            end
+                        end
+                        else
+                        begin
+                            HOLDNegOut_zd = 1'bx;
+                            WPNegOut_zd   = 1'bx;
+                            SOut_zd   = 1'bx;
+                            SIOut_zd   = 1'bx;
+                            if (read_cnt == 2)
+                            begin
+                                read_cnt = 0;
+                                if (read_addr == AddrRANGE)
+                                    read_addr = 0;
+                                else
+                                    read_addr = read_addr + 1;
+                            end
+                        end
+                    end
+                end
+                else if (oe_z)
+                begin
+                    if (Instruct == READ || Instruct == RD4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b1;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRFR || Instruct == DDRFR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else if (Instruct == DOR || Instruct == DOR4  ||
+                             Instruct == DIOR || Instruct == DIOR4 ||
+                             Instruct == QOR || Instruct == QOR4  ||
+                             Instruct == QIOR || Instruct == QIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b0;
+                    end
+                    else if (Instruct == DDRQIOR || Instruct == DDRQIOR4)
+                    begin
+                        rd_fast = 1'b0;
+                        rd_slow = 1'b0;
+                        dual    = 1'b1;
+                        ddr     = 1'b1;
+                    end
+                    else
+                    begin
+                        rd_fast = 1'b1;
+                        rd_slow = 1'b0;
+                        dual    = 1'b0;
+                        ddr     = 1'b0;
+                    end
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (falling_edge_write)
+                begin
+                    if ((Instruct == PP || Instruct == PP4) && WEL == 1)
+                    begin
+                        if ((PARAM_REGION &&
+                             SectorSuspend != Address/(SecSize+1)) ||
+                            (~PARAM_REGION &&
+                             SectorSuspend != Address/(SecSize+1)+30*b_act))
+                        begin
+                            ReturnSectorID(sect,Address);
+                            if (Sec_Prot[sect] == 0 &&
+                                PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                            begin
+                                PSTART = 1'b1;
+                                PSTART <= #5 1'b0;
+                                PGSUSP  = 0;
+                                PGRES   = 0;
+                                Status_reg1[0] = 1'b1;
+                                SA      = sect;
+                                Addr    = Address;
+                                Addr_tmp= Address;
+                                wr_cnt  = Byte_number;
+                                for (i=wr_cnt;i>=0;i=i-1)
+                                begin
+                                    if (Viol != 0)
+                                        WData[i] = -1;
+                                    else
+                                        WData[i] = WByte[i];
+                                end
+                            end
+                            else
+                            begin
+                                Status_reg1[1] = 1'b0;
+                                Status_reg1[6] = 1'b1;
+                            end
+                        end
+                        else
+                        begin
+                            Status_reg1[1] = 1'b0;
+                            Status_reg1[6] = 1'b1;
+                        end
+                    end
+                    else if ((Instruct == QPP || Instruct == QPP4) && WEL == 1)
+                    begin
+                        if ((PARAM_REGION &&
+                             SectorSuspend != Address/(SecSize+1)) ||
+                            (~PARAM_REGION &&
+                             SectorSuspend != Address/(SecSize+1)+30*b_act))
+                        begin
+                            ReturnSectorID(sect,Address);
+                            pgm_page = Address / (PageSize+1);
+
+                            if (Sec_Prot[sect] == 0 &&
+                                PPB_bits[sect]== 1 && DYB_bits[sect]== 1)
+                            begin
+                                PSTART = 1'b1;
+                                PSTART <= #5 1'b0;
+                                PGSUSP  = 0;
+                                PGRES   = 0;
+                                Status_reg1[0] = 1'b1;
+//                                QPP_page[pgm_page] = 1'b1;
+                                SA      = sect;
+                                Addr    = Address;
+                                Addr_tmp= Address;
+                                wr_cnt  = Byte_number;
+                                for (i=wr_cnt;i>=0;i=i-1)
+                                begin
+                                    if (Viol != 0)
+                                        WData[i] = -1;
+                                    else
+                                        WData[i] = WByte[i];
+                                end
+                            end
+                            else
+                            begin
+                                Status_reg1[1] = 1'b0;
+                                Status_reg1[6] = 1'b1;
+                            end
+                        end
+                        else
+                        begin
+                            Status_reg1[1] = 1'b0;
+                            Status_reg1[6] = 1'b1;
+                        end
+                    end
+                    else if (Instruct == WREN)
+                        Status_reg1[1] = 1'b1;
+                    else if (Instruct == CLSR)
+                    begin
+                    //The Clear Status Register Command resets bit SR1[5]
+                    //(Erase Fail Flag) and bit SR1[6] (Program Fail Flag)
+                        Status_reg1[5] = 0;
+                        Status_reg1[6] = 0;
+                    end
+                    else if (Instruct == BRWR)
+                    begin
+                        Bank_Addr_reg[7] = Bank_Addr_reg_in[7];
+                        Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                        if(Bank_Addr_reg_in[1] == 1)
+                        begin
+                            $display ("WARNING: Changing values of ");
+                            $display ("Bank Address Register");
+                            $display ("BA25 is not allowed!!!");
+                        end
+                    end
+                    else if (Instruct == WRR && BAR_ACC == 1)
+                    begin
+                    // Write to the lower address bits of the BAR
+                        if (P_ERR == 0 && E_ERR == 0)
+                        begin
+                            Bank_Addr_reg[0] = Bank_Addr_reg_in[0];
+                            if(Bank_Addr_reg_in[1] == 1)
+                            begin
+                                $display ("WARNING: Changing values of ");
+                                $display ("Bank Address Register");
+                                $display ("BA25 is not allowed!!!");
+                            end
+                        end
+                    end
+                    else if (Instruct == DYBWR  && WEL == 1)
+                    begin
+                        ReturnSectorID(sect,Address);
+                        pgm_page = Address / (PageSize+1);
+                        PSTART = 1'b1;
+                        PSTART <= #5 1'b0;
+                        Status_reg1[0]    = 1'b1;
+                    end
+                    else if (Instruct == ERRS)
+                    begin
+                        Status_reg2[1]  = 1'b0;
+                        Status_reg1[0] = 1'b1;
+                        if (BottomBoot)
+                        begin
+                            if (PARAM_REGION)
+                            begin
+                                Addr = SectorSuspend*(SecSize+1);
+                            end
+                            else
+                            begin
+                                Addr = (SectorSuspend-30)*(SecSize+1);
+                            end
+                        end
+                        else
+                        begin
+                            Addr = SectorSuspend*(SecSize+1);
+                        end
+                        ADDRHILO_SEC(AddrLo, AddrHi, Addr);
+                        ERES = 1'b1;
+                        ERES <= #5 1'b0;
+                        RES_TO_SUSP_TYP_TIME = 1'b1;
+                        RES_TO_SUSP_TYP_TIME <= #100000000 1'b0;//100us
+                    end
+
+                    if (Instruct == BRAC && P_ERR == 0 && E_ERR == 0 &&
+                        RdPswdProtMode == 0)
+                    begin
+                        BAR_ACC = 1;
+                    end
+                    else
+                    begin
+                        BAR_ACC = 0;
+                    end
+                end
+            end
+
+            ERS_SUSP_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if(current_state_event && current_state == ERS_SUSP_PG)
+                begin
+                    if (~PDONE)
+                    begin
+                        ADDRHILO_PG(AddrLo, AddrHi, Addr);
+                        cnt = 0;
+                        for (i=0;i<=wr_cnt;i=i+1)
+                        begin
+                            new_int = WData[i];
+                            old_int = Mem[Addr + i - cnt];
+                            if (new_int > -1)
+                            begin
+                                new_bit = new_int;
+                                if (old_int > -1)
+                                begin
+                                    old_bit = old_int;
+                                    for(j=0;j<=7;j=j+1)
+                                    begin
+                                        if (~old_bit[j])
+                                            new_bit[j] = 1'b0;
+                                    end
+                                    new_int = new_bit;
+                                end
+                                WData[i] = new_int;
+                            end
+                            else
+                            begin
+                                WData[i] = -1;
+                            end
+
+                            if ((Addr + i) == AddrHi)
+                            begin
+                                Addr = AddrLo;
+                                cnt = i + 1;
+                            end
+                        end
+                    end
+                    cnt =0;
+                end
+
+                if(PDONE == 1)
+                begin
+                    Status_reg1[0] = 1'b0;//WIP
+                    Status_reg1[1] = 1'b0;//WEL
+                    for (i=0;i<=wr_cnt;i=i+1)
+                    begin
+                        Mem[Addr_tmp + i - cnt] = WData[i];
+                        if ((Addr_tmp + i) == AddrHi )
+                        begin
+                            Addr_tmp = AddrLo;
+                            cnt = i + 1;
+                        end
+                    end
+                end
+
+                if (Instruct)
+                begin
+                    if (Instruct == PGSP && ~PRGSUSP_in)
+                    begin
+                        if (~RES_TO_SUSP_MIN_TIME)
+                        begin
+                            PGSUSP = 1'b1;
+                            PGSUSP <= #5 1'b0;
+                            PRGSUSP_in = 1'b1;
+                            if (RES_TO_SUSP_TYP_TIME)
+                            begin
+                                $display("Typical periods are needed for ",
+                                         "Program to progress to completion");
+                            end
+                        end
+                        else
+                        begin
+                            $display("Minimum for tPRS is not satisfied! ",
+                                     "PGSP command is ignored");
+                        end
+                    end
+                end
+            end
+
+            PASS_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                new_pass = Password_reg_in;
+                old_pass = Password_reg;
+                for (i=0;i<=63;i=i+1)
+                begin
+                    if (old_pass[j] == 0)
+                        new_pass[j] = 0;
+                end
+
+                if (PDONE == 1)
+                begin
+                    Password_reg = new_pass;
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                end
+            end
+
+            PASS_UNLOCK:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PASS_TEMP == Password_reg)
+                begin
+                    PASS_UNLOCKED = 1'b1;
+                end
+                else
+                begin
+                    PASS_UNLOCKED = 1'b0;
+                end
+                if (PASSULCK_out == 1'b1)
+                begin
+                    if ((PASS_UNLOCKED == 1'b1) && (~PWDMLB))
+                    begin
+                        PPBL[0] = 1'b1;
+                        Status_reg1[0] = 1'b0; //WIP
+                    end
+                    else
+                    begin
+                        Status_reg1[6] = 1'b1;
+                        $display ("Incorrect Password");
+                        PASSACC_in = 1'b1;
+                    end
+                    Status_reg1[1] = 1'b0;
+                    PASSULCK_in = 1'b0;
+                end
+            end
+
+            PPB_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+                    if (PPB_LOCK !== 0)
+                    begin
+                        PPB_bits[sect]= 1'b0;
+                        Status_reg1[0] = 1'b0;
+                        Status_reg1[1] = 1'b0;
+                    end
+                    else
+                    begin
+                        Status_reg1[5] = 1'b0;
+                        Status_reg1[0] = 1'b0;
+                        Status_reg1[1] = 1'b0;
+                    end
+                end
+            end
+
+            PPB_ERS:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PPBERASE_out == 1'b1)
+                begin
+                    if ((PPB_LOCK !== 0) && PPBOTP)
+                    begin
+                        PPB_bits = {542{1'b1}};
+                    end
+                    else
+                    begin
+                        Status_reg1[5] = 1'b1;
+                    end
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                    PPBERASE_in = 1'b0;
+                end
+            end
+
+            AUTOBOOT_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register 2
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+                    for(i=0;i<=3;i=i+1)
+                        for(j=0;j<=7;j=j+1)
+                            AutoBoot_reg[i*8+j] =
+                            AutoBoot_reg_in[(3-i)*8+j];
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                end
+            end
+
+            PLB_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+                    PPBL[0] = 1'b0;
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                end
+            end
+
+            DYB_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+                    DYBAR = DYBAR_in;
+                    if (DYBAR == 8'hFF)
+                    begin
+                        DYB_bits[sect]= 1'b1;
+                    end
+                    else if (DYBAR == 8'h00)
+                    begin
+                        DYB_bits[sect]= 1'b0;
+                    end
+                    else
+                    begin
+                        Status_reg1[6] = 1'b1;
+                    end
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                end
+            end
+
+            ASP_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+
+                    if (RPME == 1'b0 && ASP_reg_in[5] == 1'b1)
+                    begin
+                       Status_reg1[6] = 1'b1; //P_ERR
+                       $display("RPME bit is allready programmed");
+                    end
+                    else
+                    begin
+                        ASP_reg[5] = ASP_reg_in[5];//RPME
+                    end
+
+                    if (PPBOTP == 1'b0 && ASP_reg_in[3] == 1'b1)
+                    begin
+                       Status_reg1[6] = 1'b1; //P_ERR
+                       $display("PPBOTP bit is allready programmed");
+                    end
+                    else
+                    begin
+                        ASP_reg[3] = ASP_reg_in[3];//PPBOTP
+                    end
+
+                    if (PWDMLB == 1'b1 && PSTMLB == 1'b1)
+                    begin
+                        if (ASP_reg_in[2] == 1'b0 && ASP_reg_in[1] == 1'b0)
+                        begin
+                            $display("ASPR[2:1] = 00  Illegal condition");
+                            Status_reg1[6] = 1'b1; //P_ERR
+                        end
+                        else
+                        begin
+                            if (ASP_reg_in[2]!==1'b1 || ASP_reg_in[1]!==1'b1)
+                            begin
+                                ASPOTPFLAG = 1'b1;
+                            end
+                            ASP_reg[2] = ASP_reg_in[2];//PWDMLB
+                            ASP_reg[1] = ASP_reg_in[1];//PSTMLB
+                        end
+                    end
+
+                    Status_reg1[0] = 1'b0;
+                    Status_reg1[1] = 1'b0;
+                end
+            end
+
+            NVDLR_PG:
+            begin
+                rd_fast = 1'b1;
+                rd_slow = 1'b0;
+                dual    = 1'b0;
+                ddr     = 1'b0;
+                if (oe)
+                begin
+                    any_read = 1'b1;
+                    if (Instruct == RDSR)
+                    begin
+                    //Read Status Register 1
+                        SOut_zd = Status_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDSR2)
+                    begin
+                    //Read Status Register
+                        SOut_zd = Status_reg2[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                    else if (Instruct == RDCR)
+                    begin
+                        //Read Configuration Register 1
+                        SOut_zd = Config_reg1[7-read_cnt];
+                        read_cnt = read_cnt + 1;
+                        if (read_cnt == 8)
+                            read_cnt = 0;
+                    end
+                end
+                else if (oe_z)
+                begin
+                    HOLDNegOut_zd = 1'bZ;
+                    WPNegOut_zd   = 1'bZ;
+                    SOut_zd       = 1'bZ;
+                    SIOut_zd      = 1'bZ;
+                end
+
+                if (PDONE)
+                begin
+                    if (NVDLR_reg == 0)
+                    begin
+                        NVDLR_reg = NVDLR_reg_in;
+                        VDLR_reg = NVDLR_reg_in;
+                        Status_reg1[0] = 1'b0;
+                        Status_reg1[1] = 1'b0;
+                    end
+                    else
+                    begin
+                        Status_reg1[0] = 1'b0;
+                        Status_reg1[1] = 1'b0;
+                        Status_reg1[6] = 1'b1; //P_ERR
+                        $display("NVDLR bits allready programmed");
+                    end
+                end
+            end
+
+            RESET_STATE:
+            begin
+            //the default condition hardware reset
+            //The Bank Address Register is loaded to all zeroes
+                Bank_Addr_reg = 8'h0;
+                if (BPNV && ~FREEZE) //&& ~LOCK 
+                begin
+                    Status_reg1[2] = 1'b1;// BP0
+                    Status_reg1[3] = 1'b1;// BP1
+                    Status_reg1[4] = 1'b1;// BP2
+                    BP_bits = 3'b111;
+                    change_BP = 1'b1;
+                    #1000 change_BP = 1'b0;
+                end
+                //Resets the volatile bits in the Status register 1
+                Status_reg1[6] = 1'b0;
+                Status_reg1[5] = 1'b0;
+                Status_reg1[1] = 1'b0;
+                Status_reg1[0] = 1'b0;
+                //Resets the volatile bits in the Status register 2
+                Status_reg2[1] = 1'b0;
+                Status_reg2[0] = 1'b0;
+                //Resets the volatile bits in the Configuration register 1
+                Config_reg1[0] = 1'b0;
+                //On reset cycles the data pattern reverts back
+                //to what is in the NVDLR
+                VDLR_reg = NVDLR_reg;
+                start_dlp = 1'b0;
+                //Loads the Program Buffer with all ones
+                for(i=0;i<=511;i=i+1)
+                begin
+                    WData[i] = MaxData;
+                end
+                if (~PWDMLB)
+                    PPBL[0] = 1'b0;
+                else
+                    PPBL[0] = 1'b1;
+            end
+
+        endcase
+
+        //Output Disable Control
+        if (CSNeg_ipd )
+        begin
+            SOut_zd = 1'bZ;
+            SIOut_zd = 1'bZ;
+            HOLDNegOut_zd = 1'bZ;
+            WPNegOut_zd = 1'bZ;
+        end
+    end
+
+    assign fast_rd = rd_fast;
+    assign rd = rd_slow;
+    assign ddrd = ddr && ~ddr80;
+    assign ddrd80 = ddr && ddr80;
+    assign fast_ddr = ddr_fast;
+
+    always @(change_TBPARM, posedge PoweredUp)
+    begin
+        if (tmp_char2 == "0")
+        begin
+            if (TBPARM == 0)
+            begin
+                BottomBoot = 1;
+                b_act = 1;
+            end
+            else
+            begin
+                TopBoot     = 1;
+                BottomBoot  = 0;
+                b_act = 0;
+            end
+        end
+        else if (tmp_char2 == "1")
+        begin
+            UniformSec = 1;
+        end
+    end
+
+    always @(posedge change_BP)
+    begin
+        case (Status_reg1[4:2])
+
+            3'b000:
+            begin
+                Sec_Prot[541:0] = {542{1'b0}};
+            end
+            3'b001:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256:(SecNum256+1)*63/64] = 2'b11;
+                        Sec_Prot[(SecNum256+1)*63/64-1 : 0] = 126'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/64-1 : 0] = 2'b11;
+                        Sec_Prot[SecNum256 : (SecNum256+1)/64] = 126'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64:(SecNum64-29)*63/64] = {38{1'b1}};
+                        Sec_Prot[(SecNum64-29)*63/64-1 : 0]    = 504'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/64-1 : 0] = {8{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/64] = 534'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64:(SecNum64-29)*63/64+30] = {8{1'b1}};
+                        Sec_Prot[(SecNum64-29)*63/64+29 : 0] = 534'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/64+29 : 0]        = {38{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/64+30] = 504'h0;
+                    end
+                end
+            end
+
+            3'b010:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256 : (SecNum256+1)*31/32] = {4{1'b1}};
+                        Sec_Prot[(SecNum256+1)*31/32-1 : 0] = 124'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/32-1 : 0] = {4{1'b1}};
+                        Sec_Prot[SecNum256 : (SecNum256+1)/32] = 124'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*31/32] = {46{1'b1}};
+                        Sec_Prot[(SecNum64-29)*31/32-1 : 0] = 496'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/32-1 : 0] = {16{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/32] = 526'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64:(SecNum64-29)*31/32+30] = {16{1'b1}};
+                        Sec_Prot[(SecNum64-29)*31/32+29 : 0] = 526'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/32+29 : 0] = {46{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/32+30] = 496'h0;
+                    end
+                end
+            end
+
+            3'b011:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256 : (SecNum256+1)*15/16] = 8'hFF;
+                        Sec_Prot[(SecNum256+1)*15/16-1 : 0] = 120'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/16-1 : 0] = 8'hFF;
+                        Sec_Prot[SecNum256 : (SecNum256+1)/16] = 120'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*15/16] = {62{1'b1}};
+                        Sec_Prot[(SecNum64-29)*15/16-1 : 0] = 480'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/16-1 : 0] = {32{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/16] = 510'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*15/16+30]={32{1'b1}};
+                        Sec_Prot[(SecNum64-29)*15/16+29 : 0] = 510'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/16+29 : 0] ={62{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/16+30] = 480'h0;
+                    end
+                end
+            end
+
+            3'b100:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256 : (SecNum256+1)*7/8] = {16{1'b1}};
+                        Sec_Prot[(SecNum256+1)*7/8-1 : 0] = 112'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/8-1 : 0] = {16{1'b1}};
+                        Sec_Prot[SecNum256 : (SecNum256+1)/8] = 112'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*7/8] = {94{1'b1}};
+                        Sec_Prot[(SecNum64-29)*7/8-1 : 0] = 448'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/8-1 : 0] = {64{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/8] = 478'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*7/8+30] ={64{1'b1}};
+                        Sec_Prot[(SecNum64-29)*7/8+29 : 0] = 478'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/8+29 : 0] = {94{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/8+30] = 448'h0;
+                    end
+                end
+            end
+
+            3'b101:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256 : (SecNum256+1)*3/4] = {32{1'b1}};
+                        Sec_Prot[(SecNum256+1)*3/4-1 : 0] = 96'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/4-1 : 0] = {32{1'b1}};
+                        Sec_Prot[SecNum256 : (SecNum256+1)/4] = 96'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*3/4] = {158{1'b1}};
+                        Sec_Prot[(SecNum64-29)*3/4-1 : 0] = 384'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/4-1 : 0] = {128{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/4] = 414'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)*3/4+30] = {128{1'b1}};
+                        Sec_Prot[(SecNum64-29)*3/4+29 : 0] = 414'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/4+29 : 0] = {158{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/4+30] = 384'h0;
+                    end
+                end
+            end
+
+            3'b110:
+            begin
+                if (tmp_char2 == "1")
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum256 : (SecNum256+1)/2] = {64{1'b1}};
+                        Sec_Prot[(SecNum256+1)/2-1 : 0] = 64'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum256+1)/2-1 : 0] = {64{1'b1}};
+                        Sec_Prot[SecNum256 : (SecNum256+1)/2] = 64'h0;
+                    end
+                end
+                else if (tmp_char2 == "0" && TBPARM == 1)
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)/2] = {286{1'b1}};
+                        Sec_Prot[(SecNum64-29)/2-1 : 0] = 256'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/2-1 : 0] = {256{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/2] = 286'h0;
+                    end
+                end
+                else
+                begin
+                    if (~TBPROT)
+                    begin
+                        Sec_Prot[SecNum64 : (SecNum64-29)/2+30] = {256{1'b1}};
+                        Sec_Prot[(SecNum64-29)/2+29 : 0] = 286'h0;
+                    end
+                    else
+                    begin
+                        Sec_Prot[(SecNum64-29)/2+29 : 0] = {286{1'b1}};
+                        Sec_Prot[SecNum64 : (SecNum64-29)/2+30] = 256'h0;
+                    end
+                end
+            end
+
+            3'b111:
+            begin
+                Sec_Prot[SecNum64:0] =  {542{1'b1}};
+            end
+        endcase
+    end
+
+    always @(SOut_zd or HOLDNeg_in or SIOut_zd)
+    begin
+        if (HOLDNeg_in == 0 && ~QUAD)
+        begin
+            hold_mode = 1'b1;
+            SIOut_z   = 1'bZ;
+            SOut_z    = 1'bZ;
+        end
+        else
+        begin
+            if (hold_mode == 1)
+            begin
+                SIOut_z <= #(tpd_HOLDNeg_SO) SIOut_zd;
+                SOut_z  <= #(tpd_HOLDNeg_SO) SOut_zd;
+                hold_mode = #(tpd_HOLDNeg_SO) 1'b0;
+            end
+            else
+            begin
+                SIOut_z = SIOut_zd;
+                SOut_z  = SOut_zd;
+                hold_mode = 1'b0;
+            end
+        end
+    end
+
+    ////////////////////////////////////////////////////////////////////////
+    // autoboot control logic
+    ////////////////////////////////////////////////////////////////////////
+    always @(rising_edge_SCK_ipd or current_state_event)
+    begin
+        if(current_state == AUTOBOOT)
+        begin
+            if (rising_edge_SCK_ipd)
+            begin
+                if (start_delay > 0)
+                    start_delay = start_delay - 1;
+            end
+
+            if (start_delay == 0)
+            begin
+                start_autoboot = 1;
+            end
+        end
+    end
+
+    ////////////////////////////////////////////////////////////////////////
+    // functions & tasks
+    ////////////////////////////////////////////////////////////////////////
+    // Procedure FDDR_DPL
+task Return_DLP;
+    input integer Instruct;
+    input integer EHP;
+    input integer Latency_code;
+    input integer dummy_cnt;
+    inout start_dlp;
+    begin
+        if (Instruct == DDRFR || Instruct == DDRFR4)
+        begin
+            if (EHP)
+            begin
+                if (Latency_code == 1)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 2 && dummy_cnt >= 1)
+                    start_dlp = 1'b1;
+                else if(Latency_code == 3 || Latency_code == 0)
+                begin
+                    start_dlp = 1'b0;
+                    $display("Warning at", $time);
+                    $display("Inappropriate latency is set during DPL mode");
+                end
+            end
+            else
+            begin
+
+                if (Latency_code == 3)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 0 && dummy_cnt >= 1)
+                    start_dlp = 1'b1;
+                else if(Latency_code == 1 && dummy_cnt >= 2)
+                    start_dlp = 1'b1;
+                else if(Latency_code == 2 && dummy_cnt >= 3)
+                    start_dlp = 1'b1;
+                else
+                    start_dlp = 1'b0;
+            end
+        end
+        if (Instruct == DDRDIOR || Instruct == DDRDIOR4)
+        begin
+            if (EHP)
+            begin
+                if (Latency_code == 1 && dummy_cnt >= 1)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 2 && dummy_cnt >= 2)
+                    start_dlp = 1'b1;
+                else if( Latency_code == 3 || Latency_code == 0)
+                begin
+                    start_dlp = 1'b0;
+                    $display("Warning at", $time);
+                    $display("Inappropriate latency is set during DPL mode");
+                end
+                else
+                    start_dlp = 1'b0;
+            end
+            else
+            begin
+                if (Latency_code == 0 && dummy_cnt >= 2)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 1 && dummy_cnt >= 3)
+                    start_dlp = 1'b1;
+                else if(Latency_code == 2 && dummy_cnt >= 4)
+                    start_dlp = 1'b1;
+                else
+                    start_dlp = 1'b0;
+            end
+        end
+        if ((Instruct == DDRQIOR || Instruct == DDRQIOR4) && QUAD)
+        begin
+            if (EHP)
+            begin
+                if (Latency_code == 0 && dummy_cnt >= 2)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 1 && dummy_cnt >= 3)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 2 && dummy_cnt >= 4)
+                    start_dlp = 1'b1;
+                else if( Latency_code == 3)
+                begin
+                    start_dlp = 1'b0;
+                    $display("Warning at", $time);
+                    $display("Inappropriate latency is");
+                    $display("set during DPL mode");
+                end
+                else
+                    start_dlp  = 1'b0;
+
+            end
+            else
+            begin
+                if (Latency_code == 0 && dummy_cnt >= 2)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 1 && dummy_cnt >= 3)
+                    start_dlp = 1'b1;
+                else if (Latency_code == 2 && dummy_cnt >= 4)
+                    start_dlp = 1'b1;
+                else if( Latency_code == 3)
+                begin
+                    start_dlp = 1'b0;
+                    $display("Warning at", $time);
+                    $display("Inappropriate latency is");
+                    $display("set during DPL mode");
+                end
+                else
+                    start_dlp = 1'b0;
+            end
+        end
+    end
+    endtask
+
+    function integer ReturnSectorIDRdPswdMd;
+        input reg TBPROT;
+    begin
+        if(TBPROT == 0)
+        begin
+            ReturnSectorIDRdPswdMd = 0;
+        end
+        else
+        begin
+            if (UniformSec)
+            begin
+                ReturnSectorIDRdPswdMd = SecNum256;
+            end
+            else
+            begin
+                ReturnSectorIDRdPswdMd = 511;
+            end
+        end
+    end
+    endfunction
+
+    // Procedure ADDRHILO_SEC
+    task ADDRHILO_SEC;
+    inout  AddrLOW;
+    inout  AddrHIGH;
+    input   Addr;
+    integer AddrLOW;
+    integer AddrHIGH;
+    integer Addr;
+    integer sector;
+    begin
+        if (tmp_char2 == "0")
+        begin
+            if (TBPARM == 0)
+            begin
+                if (Addr/(SecSize64+1) <= 1 &&
+                   (Instruct == P4E || Instruct == P4E4))  //4KB Sectors
+                begin
+                    sector   = Addr/(SecSize4+1);
+                    AddrLOW  = sector*(SecSize4+1);
+                    AddrHIGH = sector*(SecSize4+1) + SecSize4;
+                end
+                else
+                begin
+                    sector   = Addr/(SecSize64+1);
+                    AddrLOW  = sector*(SecSize64+1);
+                    AddrHIGH = sector*(SecSize64+1) + SecSize64;
+                end
+            end
+            else
+            begin
+                if (Addr/(SecSize64+1) >= 510 &&
+                   (Instruct == P4E || Instruct == P4E4)) //4KB Sectors
+                begin
+                    sector   = 510 + (Addr-(SecSize64+1)*510)/(SecSize4+1);
+                    AddrLOW  = 510*(SecSize64+1)+(sector-510)*(SecSize4+1);
+                    AddrHIGH = 510*(SecSize64+1)+
+                                   (sector-510)*(SecSize4+1) + SecSize4;
+                end
+                else
+                begin
+                    sector   = Addr/(SecSize64+1);
+                    AddrLOW  = sector*(SecSize64+1);
+                    AddrHIGH = sector*(SecSize64+1) + SecSize64;
+                end
+            end
+        end
+        else if (tmp_char2 == "1")
+        begin
+            sector   = Addr/(SecSize256+1);
+            AddrLOW  = sector*(SecSize256+1);
+            AddrHIGH = sector*(SecSize256+1) + SecSize256;
+        end
+    end
+    endtask
+
+    // Procedure ADDRHILO_PG
+    task ADDRHILO_PG;
+    inout  AddrLOW;
+    inout  AddrHIGH;
+    input   Addr;
+    integer AddrLOW;
+    integer AddrHIGH;
+    integer Addr;
+    integer page;
+    begin
+        page = Addr / (PageSize + 1);
+        AddrLOW = page * (PageSize + 1);
+        AddrHIGH = page * (PageSize + 1) + PageSize ;
+    end
+    endtask
+
+    // Procedure ReturnSectorID
+    task ReturnSectorID;
+    inout   sect;
+    input   Address;
+    integer sect;
+    integer Address;
+    integer conv;
+    begin
+        if (tmp_char2 == "0")
+        begin
+            conv = Address / (SecSize64+1);
+            if (BottomBoot)
+            begin
+                if (conv <= 1)      //4KB Sectors
+                begin
+                    sect = Address/(SecSize4+1);
+                end
+                else
+                begin
+                    sect = conv + 30;
+                end
+            end
+            else if (TopBoot)
+            begin
+                if (conv >= 510)       //4KB Sectors
+                begin
+                    sect = 510 + (Address-(SecSize64+1)*510)/(SecSize4+1);
+                end
+                else
+                begin
+                    sect = conv;
+                end
+            end
+        end
+        else
+        begin
+            sect = Address/(SecSize256+1);
+        end
+    end
+    endtask
+
+    always @(PPBL[0], ASP_reg)
+    begin
+        if (PPBL[0] == 0 && PWDMLB == 0 && RPME == 0 && RdPswdProtEnable)
+        begin
+            RdPswdProtMode = 1;
+            AutoBoot_reg[0] = 0;//AUTOBOOT is disabled when Read Password
+        end                     //Protection is enabled
+        else
+        begin
+            RdPswdProtMode = 0;
+        end
+    end
+
+    ///////////////////////////////////////////////////////////////////////////
+    // edge controll processes
+    ///////////////////////////////////////////////////////////////////////////
+
+    always @(posedge PoweredUp)
+    begin
+        rising_edge_PoweredUp = 1;
+        #1000 rising_edge_PoweredUp = 0;
+    end
+
+    always @(posedge SCK_ipd)
+    begin
+       rising_edge_SCK_ipd = 1'b1;
+       #1000 rising_edge_SCK_ipd = 1'b0;
+    end
+
+    always @(negedge SCK_ipd)
+    begin
+       falling_edge_SCK_ipd = 1'b1;
+       #1000 falling_edge_SCK_ipd = 1'b0;
+    end
+
+    always @(posedge read_out)
+    begin
+        rising_edge_read_out = 1'b1;
+        #1000 rising_edge_read_out = 1'b0;
+    end
+
+    always @(negedge write)
+    begin
+        falling_edge_write = 1;
+        #1000 falling_edge_write = 0;
+    end
+
+    always @(posedge PRGSUSP_out)
+    begin
+        PRGSUSP_out_event = 1;
+        #1000 PRGSUSP_out_event = 0;
+    end
+
+    always @(posedge ERSSUSP_out)
+    begin
+        ERSSUSP_out_event = 1;
+        #1000 ERSSUSP_out_event = 0;
+    end
+
+    always @(posedge CSNeg_ipd)
+    begin
+        rising_edge_CSNeg_ipd = 1'b1;
+        #1000 rising_edge_CSNeg_ipd = 1'b0;
+    end
+
+    always @(negedge CSNeg_ipd)
+    begin
+        falling_edge_CSNeg_ipd = 1'b1;
+        #1000 falling_edge_CSNeg_ipd = 1'b0;
+    end
+
+    always @(negedge RSTNeg_in)
+    begin
+        falling_edge_RSTNeg = 1'b1;
+        #50000 falling_edge_RSTNeg = 1'b0;
+    end
+
+    always @(posedge RSTNeg_in)
+    begin
+        rising_edge_RSTNeg = 1'b1;
+        #10000 rising_edge_RSTNeg = 1'b0;
+    end
+
+    always @(negedge RST)
+    begin
+        falling_edge_RST = 1'b1;
+        #10000 falling_edge_RST = 1'b0;
+    end
+
+    always @(posedge RST)
+    begin
+        rising_edge_RST = 1'b1;
+        #1000 rising_edge_RST = 1'b0;
+    end
+
+    always @(posedge PDONE)
+    begin
+        rising_edge_PDONE = 1'b1;
+        #1000 rising_edge_PDONE = 1'b0;
+    end
+
+    always @(posedge WDONE)
+    begin
+        rising_edge_WDONE = 1'b1;
+        #1000 rising_edge_WDONE = 1'b0;
+    end
+
+    always @(posedge WSTART)
+    begin
+        rising_edge_WSTART = 1'b1;
+        #1000 rising_edge_WSTART = 1'b0;
+    end
+
+    always @(posedge EDONE)
+    begin
+        rising_edge_EDONE = 1'b1;
+        #1000 rising_edge_EDONE = 1'b0;
+    end
+
+    always @(posedge ESTART)
+    begin
+        rising_edge_ESTART = 1'b1;
+        #1000 rising_edge_ESTART = 1'b0;
+    end
+
+    always @(posedge PSTART)
+    begin
+        rising_edge_PSTART = 1'b1;
+        #1000 rising_edge_PSTART = 1'b0;
+    end
+
+    always @(posedge Reseted)
+    begin
+        rising_edge_Reseted = 1'b1;
+        #1000 rising_edge_Reseted = 1'b0;
+    end
+
+    always @(negedge PASSULCK_in)
+    begin
+        falling_edge_PASSULCK_in = 1'b1;
+        #1000 falling_edge_PASSULCK_in = 1'b0;
+    end
+
+    always @(negedge PPBERASE_in)
+    begin
+        falling_edge_PPBERASE_in = 1'b1;
+        #1000 falling_edge_PPBERASE_in = 1'b0;
+    end
+
+    always @(Instruct)
+    begin
+        Instruct_event = 1'b1;
+        #1000 Instruct_event = 1'b0;
+    end
+
+    always @(change_addr)
+    begin
+        change_addr_event = 1'b1;
+        #1000 change_addr_event = 1'b0;
+    end
+
+    always @(next_state)
+    begin
+        next_state_event = 1'b1;
+        #1000 next_state_event = 1'b0;
+    end
+
+    always @(current_state)
+    begin
+        current_state_event = 1'b1;
+        #1000 current_state_event = 1'b0;
+    end
+
+    always @(posedge RST_out)
+    begin
+        rising_edge_RST_out = 1'b1;
+        #1000 rising_edge_RST_out = 1'b0;
+    end
+
+endmodule
diff --git a/verilog/dv/risc_boot/risc_boot_tb.v b/verilog/dv/risc_boot/risc_boot_tb.v
index a90c7b1..457dcf7 100644
--- a/verilog/dv/risc_boot/risc_boot_tb.v
+++ b/verilog/dv/risc_boot/risc_boot_tb.v
@@ -71,6 +71,7 @@
 
 `timescale 1 ns / 1 ps
 
+`include "s25fl256s.sv"
 `include "uprj_netlists.v"
 `include "caravel_netlists.v"
 `include "spiflash.v"
@@ -130,8 +131,9 @@
         begin
            $dumpfile("simx.vcd");
            $dumpvars(1,risc_boot_tb);
+           $dumpvars(1,risc_boot_tb.u_spi_flash_256mb);
            //$dumpvars(2,risc_boot_tb.uut);
-           $dumpvars(4,risc_boot_tb.uut.mprj.u_core);
+           $dumpvars(4,risc_boot_tb.uut.mprj);
            //$dumpvars(0,risc_boot_tb.u_user_spiflash);
 	   $display("Waveform Dump started");
         end
@@ -302,18 +304,22 @@
    //tri  user_flash_io2 = mprj_io[35];
    //tri  user_flash_io3 = mprj_io[36];
 
-   // Quard flash
-	spiflash #(
-		.FILENAME("user_uart.hex")
-	) u_user_spiflash (
-		.csb(user_flash_csb),
-		.clk(user_flash_clk),
-		.io0(mprj_io[32]),
-		.io1(mprj_io[33]),
-		.io2(mprj_io[34]),
-		.io3(mprj_io[35])	
-	);
 
+   // Quard flash
+     s25fl256s #(.mem_file_name("user_uart.hex"),
+	         .otp_file_name("none")) 
+		 u_spi_flash_256mb (
+           // Data Inputs/Outputs
+       .SI      (mprj_io[32]),
+       .SO      (mprj_io[33]),
+       // Controls
+       .SCK     (user_flash_clk),
+       .CSNeg   (user_flash_csb),
+       .WPNeg   (mprj_io[34]),
+       .HOLDNeg (mprj_io[35]),
+       .RSTNeg  (RSTB)
+
+       );
 
 //------------------------------------------------
 // Integrate the SDRAM 8 BIT Memory
diff --git a/verilog/dv/risc_boot/run_iverilog b/verilog/dv/risc_boot/run_iverilog
index 30d8ffd..e2f2a4d 100755
--- a/verilog/dv/risc_boot/run_iverilog
+++ b/verilog/dv/risc_boot/run_iverilog
@@ -18,7 +18,7 @@
 #add -DWFDUMP to enable waveform dump
 iverilog -DWFDUMP -g2005-sv -DFUNCTIONAL -DSIM -I $PDK_PATH \
 -I $CARAVEL_ROOT/verilog/dv/caravel -I $CARAVEL_ROOT/verilog/rtl \
--I ../model  -I ../agents  -I ../../../verilog/rtl \
+-I ../model  -I ../agents  -I ../../../verilog/rtl -I ../../../verilog \
 -I ../../../verilog/rtl/syntacore/scr1/src/includes    -I ../../../verilog/rtl/sdram_ctrl/src/defs \
 risc_boot_tb.v -o risc_boot.vvp 
 
diff --git a/verilog/dv/user_risc_boot/Makefile b/verilog/dv/user_risc_boot/Makefile
index 8c55f5e..a3a1ac8 100644
--- a/verilog/dv/user_risc_boot/Makefile
+++ b/verilog/dv/user_risc_boot/Makefile
@@ -26,6 +26,7 @@
 ## User Project Pointers
 UPRJ_VERILOG_PATH ?= ../../../verilog
 UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
 UPRJ_BEHAVIOURAL_MODELS = ../model
 UPRJ_BEHAVIOURAL_AGENTS = ../agents
 UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
@@ -63,14 +64,14 @@
 ifeq ($(SIM),RTL)
 	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
 	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
-	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
 	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
 	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) \
 	$< -o $@ 
 else  
-	iverilog -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
 	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
-	-I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_RTL_PATH)   -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
 	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
 	$< -o $@ 
 endif
diff --git a/verilog/dv/user_risc_boot/uprj_netlists.v b/verilog/dv/user_risc_boot/uprj_netlists.v
index 24c1d6d..ae6502e 100644
--- a/verilog/dv/user_risc_boot/uprj_netlists.v
+++ b/verilog/dv/user_risc_boot/uprj_netlists.v
@@ -16,7 +16,7 @@
 // Include caravel global defines for the number of the user project IO pads 
 `include "defines.v"
 `define USE_POWER_PINS
-`define UNIT_DELAY #1
+`define UNIT_DELAY #0.1
 
 `ifdef GL
 
@@ -24,57 +24,29 @@
        `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
        `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
        `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+       `include "libs.ref//sky130_fd_sc_hd/verilog/sky130_ef_sc_hd__fakediode_2.v"
 
+        `include "glbl_cfg.v"
+        `include "sdram.v"
+        `include "spi_master.v"
+        `include "uart.v"
+        `include "wb_interconnect.v"
+        `include "user_project_wrapper.v"
+        `include "syntacore.v"
+        `include "wb_host.v"
+	`include "clk_skew_adjust.v"
 
-
-      `include "glbl_cfg.v"
-      `include "sdram.v"
-      `include "spi_master.v"
-      `include "uart.v"
-      `include "wb_interconnect.v"
-
-     `include "wb_host/src/wb_host.sv"
-     `include "lib/async_wb.sv"
-     `include "lib/registers.v"
-
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_hdu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_tdu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_ipic.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_csr.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_exu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_ialu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_idu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_ifu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_lsu.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_mprf.sv"
-     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_top.sv"
-     `include "syntacore/scr1/src/core/primitives/scr1_reset_cells.sv"
-     `include "syntacore/scr1/src/core/primitives/scr1_cg.sv"
-     `include "syntacore/scr1/src/core/scr1_clk_ctrl.sv"
-     `include "syntacore/scr1/src/core/scr1_tapc_shift_reg.sv"
-     `include "syntacore/scr1/src/core/scr1_tapc.sv"
-     `include "syntacore/scr1/src/core/scr1_tapc_synchronizer.sv"
-     `include "syntacore/scr1/src/core/scr1_core_top.sv"
-     `include "syntacore/scr1/src/core/scr1_dm.sv"
-     `include "syntacore/scr1/src/core/scr1_dmi.sv"
-     `include "syntacore/scr1/src/core/scr1_scu.sv"
-      
-     `include "syntacore/scr1/src/top/scr1_dmem_router.sv"
-     `include "syntacore/scr1/src/top/scr1_dp_memory.sv"
-     `include "syntacore/scr1/src/top/scr1_tcm.sv"
-     `include "syntacore/scr1/src/top/scr1_timer.sv"
-     `include "syntacore/scr1/src/top/scr1_dmem_wb.sv"
-     `include "syntacore/scr1/src/top/scr1_imem_wb.sv"
-     `include "syntacore/scr1/src/top/scr1_top_wb.sv"
-     `include "lib/sync_fifo.sv"
-     `include "lib/async_fifo.sv"  
 `else
+
      `include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
      `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
      `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
      `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
 
+
      `include "spi_master/src/spim_top.sv"
+     `include "spi_master/src/spim_if.sv"
+     `include "spi_master/src/spim_fifo.sv"
      `include "spi_master/src/spim_regs.sv"
      `include "spi_master/src/spim_clkgen.sv"
      `include "spi_master/src/spim_ctrl.sv"
@@ -102,7 +74,6 @@
      `include "lib/registers.v"
      `include "lib/clk_ctl.v"
      `include "digital_core/src/glbl_cfg.sv"
-     `include "digital_core/src/digital_core.sv"
 
      `include "wb_host/src/wb_host.sv"
      `include "lib/async_wb.sv"
diff --git a/verilog/dv/user_risc_boot/user_risc_boot_tb.v b/verilog/dv/user_risc_boot/user_risc_boot_tb.v
index 87bf24c..348ecd0 100644
--- a/verilog/dv/user_risc_boot/user_risc_boot_tb.v
+++ b/verilog/dv/user_risc_boot/user_risc_boot_tb.v
@@ -69,12 +69,12 @@
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
 
-`default_nettype none
+`default_nettype wire
 
 `timescale 1 ns / 1 ns
 
+`include "s25fl256s.sv"
 `include "uprj_netlists.v"
-`include "spiflash.v"
 `include "mt48lc8m8a2.v"
 
 module user_risc_boot_tb;
@@ -126,7 +126,7 @@
 	`ifdef WFDUMP
 	   initial begin
 	   	$dumpfile("risc_boot.vcd");
-	   	$dumpvars(0, user_risc_boot_tb);
+	   	$dumpvars(2, user_risc_boot_tb);
 	   end
        `endif
 
@@ -259,10 +259,15 @@
 
    wire flash_clk = io_out[30];
    wire flash_csb = io_out[31];
-   tri  flash_io0 = (io_oeb[32]== 1'b0) ? io_out[32] : 1'bz;
-   tri  flash_io1 = (io_oeb[33]== 1'b0) ? io_out[33] : 1'bz;
-   tri  flash_io2 = (io_oeb[34]== 1'b0) ? io_out[34] : 1'bz;
-   tri  flash_io3 = (io_oeb[35]== 1'b0) ? io_out[35] : 1'bz;
+   // Creating Pad Delay
+   wire #1 io_oeb_32 = io_oeb[32];
+   wire #1 io_oeb_33 = io_oeb[33];
+   wire #1 io_oeb_34 = io_oeb[34];
+   wire #1 io_oeb_35 = io_oeb[35];
+   tri  flash_io0 = (io_oeb_32== 1'b0) ? io_out[32] : 1'bz;
+   tri  flash_io1 = (io_oeb_33== 1'b0) ? io_out[33] : 1'bz;
+   tri  flash_io2 = (io_oeb_34== 1'b0) ? io_out[34] : 1'bz;
+   tri  flash_io3 = (io_oeb_35== 1'b0) ? io_out[35] : 1'bz;
 
    assign io_in[32] = flash_io0;
    assign io_in[33] = flash_io1;
@@ -271,16 +276,21 @@
 
 
    // Quard flash
-	spiflash #(
-		.FILENAME("user_risc_boot.hex")
-	) u_user_spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(flash_io2),
-		.io3(flash_io3)	
-	);
+     s25fl256s #(.mem_file_name("user_risc_boot.hex"),
+	         .otp_file_name("none")) 
+		 u_spi_flash_256mb (
+           // Data Inputs/Outputs
+       .SI      (flash_io0),
+       .SO      (flash_io1),
+       // Controls
+       .SCK     (flash_clk),
+       .CSNeg   (flash_csb),
+       .WPNeg   (flash_io2),
+       .HOLDNeg (flash_io3),
+       .RSTNeg  (!wb_rst_i)
+
+       );
+
 
 
 //------------------------------------------------
@@ -383,9 +393,35 @@
 end
 endtask
 
+`ifdef GL
 
+wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
 
+wire        wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
+wire        wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
+wire        wbd_sdram_we_i  = u_top.u_sdram_ctrl.wb_we_i;
+wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
+wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
+wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
+wire [3:0]  wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
 
+wire        wbd_uart_stb_i  = u_top.u_uart_core.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_core.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_core.reg_wr;
+wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_core.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_core.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_core.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_core.reg_be;
+
+`endif
+
+/**
 `ifdef GL
 //-----------------------------------------------------------------------------
 // RISC IMEM amd DMEM Monitoring TASK
@@ -403,5 +439,6 @@
 end
 
 `endif
+**/
 endmodule
 `default_nettype wire
diff --git a/verilog/dv/user_spi/Makefile b/verilog/dv/user_spi/Makefile
new file mode 100644
index 0000000..7fca4c3
--- /dev/null
+++ b/verilog/dv/user_spi/Makefile
@@ -0,0 +1,96 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH  = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+
+## SYNTACORE FIRMWARE
+SYNTACORE_FIRMWARE_PATH = $(UPRJ_VERILOG_PATH)/rtl/syntacore/scr1/sim/tests/common
+GCC64_PREFIX?=riscv64-unknown-elf
+
+## RISCV GCC 
+GCC_PATH?=/ef/apps/bin
+GCC_PREFIX?=riscv32-unknown-elf
+PDK_PATH?=/ef/tech/SW/sky130A
+
+## Simulation mode: RTL/GL
+SIM?=RTL
+
+.SUFFIXES:
+
+PATTERN = user_spi
+
+all:  ${PATTERN:=.vcd}
+
+hex:  ${PATTERN:=.hex}
+
+vvp:  ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v
+	riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common  user_risc_boot.c -o user_risc_boot.o
+	riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -D__ASSEMBLY__=1 -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common/  ../../rtl/syntacore/scr1/sim/tests/common/crt_tcm.S -o crt_tcm.o
+	riscv64-unknown-elf-gcc -o user_risc_boot.elf -T ../../rtl/syntacore/scr1/sim/tests/common/link_tcm.ld user_risc_boot.o crt_tcm.o -nostartfiles -nostdlib -lc -lgcc -march=rv32imc -mabi=ilp32
+	riscv64-unknown-elf-objcopy -O verilog user_risc_boot.elf user_risc_boot.hex
+	riscv64-unknown-elf-objdump -D user_risc_boot.elf > user_risc_boot.dump
+	rm crt_tcm.o user_risc_boot.o
+ifeq ($(SIM),RTL)
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) \
+	$< -o $@ 
+else  
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	$< -o $@ 
+endif
+
+%.vcd: %.vvp
+	vvp $<
+
+%.elf: %.c $(CARAVEL_FIRMWARE_PATH)/sections.lds $(CARAVEL_FIRMWARE_PATH)/start.s
+	${GCC_PREFIX}-gcc -I $(CARAVEL_PATH) -march=rv32imc -mabi=ilp32 -Wl,-Bstatic,-T,$(CARAVEL_FIRMWARE_PATH)/sections.lds,--strip-debug -ffreestanding -nostdlib -o $@ $(CARAVEL_FIRMWARE_PATH)/start.s $<
+
+%.hex: 
+	echo @"This is user boot test, noting to compile the mangment core code"
+
+%.bin: %.elf
+	${GCC_PREFIX}-objcopy -O binary $< /dev/stdout | tail -c +1048577 > $@
+
+# ---- Clean ----
+
+clean:
+	rm -f *.elf *.hex *.bin *.vvp *.vcd *.log *.dump
+
+.PHONY: clean hex all
diff --git a/verilog/dv/user_spi/run_iverilog b/verilog/dv/user_spi/run_iverilog
new file mode 100755
index 0000000..a88ab91
--- /dev/null
+++ b/verilog/dv/user_spi/run_iverilog
@@ -0,0 +1,42 @@
+# //////////////////////////////////////////////////////////////////////////////
+# // SPDX-FileCopyrightText: 2021, Dinesh Annayya
+# // 
+# // Licensed under the Apache License, Version 2.0 (the "License");
+# // you may not use this file except in compliance with the License.
+# // You may obtain a copy of the License at
+# //
+# //      http://www.apache.org/licenses/LICENSE-2.0
+# //
+# // Unless required by applicable law or agreed to in writing, software
+# // distributed under the License is distributed on an "AS IS" BASIS,
+# // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# // See the License for the specific language governing permissions and
+# // limitations under the License.
+# // SPDX-License-Identifier: Apache-2.0
+# // SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+# // //////////////////////////////////////////////////////////////////////////
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common  user_risc_boot.c -o user_risc_boot.o
+
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -D__ASSEMBLY__=1 -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common/  ../../rtl/syntacore/scr1/sim/tests/common/crt_tcm.S -o crt_tcm.o
+
+riscv64-unknown-elf-gcc -o user_risc_boot.elf -T ../../rtl/syntacore/scr1/sim/tests/common/link_tcm.ld user_risc_boot.o crt_tcm.o -nostartfiles -nostdlib -lc -lgcc -march=rv32imc -mabi=ilp32
+
+riscv64-unknown-elf-objcopy -O verilog user_risc_boot.elf user_risc_boot.hex
+
+riscv64-unknown-elf-objdump -D user_risc_boot.elf > user_risc_boot.dump
+
+rm crt_tcm.o user_risc_boot.o
+
+#iverilog with waveform dump
+#iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
+
+#iverilog without Dump
+iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
+
+# GLS 
+#iverilog -g2005-sv -D GL -D FUNCTIONAL -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/gl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
+#
+
+vvp user_spi_tb.vvp | tee test.log
+
+\rm -rf user_spi_tb.vvp
diff --git a/verilog/dv/user_spi/uprj_netlists.v b/verilog/dv/user_spi/uprj_netlists.v
new file mode 100644
index 0000000..ae6502e
--- /dev/null
+++ b/verilog/dv/user_spi/uprj_netlists.v
@@ -0,0 +1,121 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+// Include caravel global defines for the number of the user project IO pads 
+`include "defines.v"
+`define USE_POWER_PINS
+`define UNIT_DELAY #0.1
+
+`ifdef GL
+
+       `include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
+       `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
+       `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
+       `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+       `include "libs.ref//sky130_fd_sc_hd/verilog/sky130_ef_sc_hd__fakediode_2.v"
+
+        `include "glbl_cfg.v"
+        `include "sdram.v"
+        `include "spi_master.v"
+        `include "uart.v"
+        `include "wb_interconnect.v"
+        `include "user_project_wrapper.v"
+        `include "syntacore.v"
+        `include "wb_host.v"
+	`include "clk_skew_adjust.v"
+
+`else
+
+     `include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
+     `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
+     `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
+     `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+
+
+     `include "spi_master/src/spim_top.sv"
+     `include "spi_master/src/spim_if.sv"
+     `include "spi_master/src/spim_fifo.sv"
+     `include "spi_master/src/spim_regs.sv"
+     `include "spi_master/src/spim_clkgen.sv"
+     `include "spi_master/src/spim_ctrl.sv"
+     `include "spi_master/src/spim_rx.sv"
+     `include "spi_master/src/spim_tx.sv"
+
+     `include "uart/src/uart_core.sv"
+     `include "uart/src/uart_cfg.sv"
+     `include "uart/src/uart_rxfsm.sv"
+     `include "uart/src/uart_txfsm.sv"
+     `include "lib/async_fifo_th.sv"  
+     `include "lib/reset_sync.sv"  
+     `include "lib/double_sync_low.v"  
+
+     `include "sdram_ctrl/src/top/sdrc_top.v" 
+     `include "sdram_ctrl/src/wb2sdrc/wb2sdrc.v" 
+     `include "lib/async_fifo.sv"  
+     `include "sdram_ctrl/src/core/sdrc_core.v"
+     `include "sdram_ctrl/src/core/sdrc_bank_ctl.v"
+     `include "sdram_ctrl/src/core/sdrc_bank_fsm.v"
+     `include "sdram_ctrl/src/core/sdrc_bs_convert.v"
+     `include "sdram_ctrl/src/core/sdrc_req_gen.v"
+     `include "sdram_ctrl/src/core/sdrc_xfr_ctl.v"
+
+     `include "lib/registers.v"
+     `include "lib/clk_ctl.v"
+     `include "digital_core/src/glbl_cfg.sv"
+
+     `include "wb_host/src/wb_host.sv"
+     `include "lib/async_wb.sv"
+
+     `include "lib/wb_stagging.sv"
+     `include "wb_interconnect/src/wb_arb.sv"
+     `include "wb_interconnect/src/wb_interconnect.sv"
+
+
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_hdu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_tdu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_ipic.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_csr.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_exu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_ialu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_idu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_ifu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_lsu.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_mprf.sv"
+     `include "syntacore/scr1/src/core/pipeline/scr1_pipe_top.sv"
+     `include "syntacore/scr1/src/core/primitives/scr1_reset_cells.sv"
+     `include "syntacore/scr1/src/core/primitives/scr1_cg.sv"
+     `include "syntacore/scr1/src/core/scr1_clk_ctrl.sv"
+     `include "syntacore/scr1/src/core/scr1_tapc_shift_reg.sv"
+     `include "syntacore/scr1/src/core/scr1_tapc.sv"
+     `include "syntacore/scr1/src/core/scr1_tapc_synchronizer.sv"
+     `include "syntacore/scr1/src/core/scr1_core_top.sv"
+     `include "syntacore/scr1/src/core/scr1_dm.sv"
+     `include "syntacore/scr1/src/core/scr1_dmi.sv"
+     `include "syntacore/scr1/src/core/scr1_scu.sv"
+      
+     `include "syntacore/scr1/src/top/scr1_dmem_router.sv"
+     `include "syntacore/scr1/src/top/scr1_dp_memory.sv"
+     `include "syntacore/scr1/src/top/scr1_tcm.sv"
+     `include "syntacore/scr1/src/top/scr1_timer.sv"
+     `include "syntacore/scr1/src/top/scr1_dmem_wb.sv"
+     `include "syntacore/scr1/src/top/scr1_imem_wb.sv"
+     `include "syntacore/scr1/src/top/scr1_top_wb.sv"
+     `include "lib/sync_fifo.sv"
+
+     `include "user_project_wrapper.v"
+     // we are using netlist file for clk_skew_adjust as it has 
+     // standard cell + power pin
+     `include "gl/clk_skew_adjust.v"
+`endif
diff --git a/verilog/dv/user_spi/user_risc_boot.c b/verilog/dv/user_spi/user_risc_boot.c
new file mode 100644
index 0000000..af9339d
--- /dev/null
+++ b/verilog/dv/user_spi/user_risc_boot.c
@@ -0,0 +1,61 @@
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021, Dinesh Annayya
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+// //////////////////////////////////////////////////////////////////////////
+#define SC_SIM_OUTPORT (0xf0000000)
+#define uint32_t  long
+
+#define reg_mprj_globl_reg0  (*(volatile uint32_t*)0x30000000)
+#define reg_mprj_globl_reg1  (*(volatile uint32_t*)0x30000004)
+#define reg_mprj_globl_reg2  (*(volatile uint32_t*)0x30000008)
+#define reg_mprj_globl_reg3  (*(volatile uint32_t*)0x3000000C)
+#define reg_mprj_globl_reg4  (*(volatile uint32_t*)0x30000010)
+#define reg_mprj_globl_reg5  (*(volatile uint32_t*)0x30000014)
+#define reg_mprj_globl_reg6  (*(volatile uint32_t*)0x30000018)
+#define reg_mprj_globl_reg7  (*(volatile uint32_t*)0x3000001C)
+#define reg_mprj_globl_reg8  (*(volatile uint32_t*)0x30000020)
+#define reg_mprj_globl_reg9  (*(volatile uint32_t*)0x30000024)
+#define reg_mprj_globl_reg10 (*(volatile uint32_t*)0x30000028)
+#define reg_mprj_globl_reg11 (*(volatile uint32_t*)0x3000002C)
+#define reg_mprj_globl_reg12 (*(volatile uint32_t*)0x30000030)
+#define reg_mprj_globl_reg13 (*(volatile uint32_t*)0x30000034)
+#define reg_mprj_globl_reg14 (*(volatile uint32_t*)0x30000038)
+#define reg_mprj_globl_reg15 (*(volatile uint32_t*)0x3000003C)
+
+int main()
+{
+
+    //volatile long *out_ptr = (volatile long*)SC_SIM_OUTPORT;
+    //*out_ptr = 0xAABBCCDD;
+    //*out_ptr = 0xBBCCDDEE;
+    //*out_ptr = 0xCCDDEEFF;
+    //*out_ptr = 0xDDEEFF00;
+
+    // Write software Write & Read Register
+    reg_mprj_globl_reg6  = 0x11223344; 
+    reg_mprj_globl_reg7  = 0x22334455; 
+    reg_mprj_globl_reg8  = 0x33445566; 
+    reg_mprj_globl_reg9  = 0x44556677; 
+    reg_mprj_globl_reg10 = 0x55667788; 
+    reg_mprj_globl_reg11 = 0x66778899; 
+    //reg_mprj_globl_reg12 = 0x778899AA; 
+    //reg_mprj_globl_reg13 = 0x8899AABB; 
+    //reg_mprj_globl_reg14 = 0x99AABBCC; 
+    //reg_mprj_globl_reg15 = 0xAABBCCDD; 
+
+    while(1) {}
+    return 0;
+}
diff --git a/verilog/dv/user_spi/user_spi_tb.v b/verilog/dv/user_spi/user_spi_tb.v
new file mode 100644
index 0000000..de9fe38
--- /dev/null
+++ b/verilog/dv/user_spi/user_spi_tb.v
@@ -0,0 +1,612 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText:  2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  Standalone User validation Test bench                       ////
+////                                                              ////
+////  This file is part of the YIFive cores project               ////
+////  https://github.com/dineshannayya/yifive_r0.git              ////
+////  http://www.opencores.org/cores/yifive/                      ////
+////                                                              ////
+////  Description                                                 ////
+////   This is a standalone test bench to validate the            ////
+////   Digital core.                                              ////
+////   1. User Risc core is booted using  compiled code of        ////
+////      user_risc_boot.c                                        ////
+////   2. User Risc core uses Serial Flash and SDRAM to boot      ////
+////   3. After successful boot, Risc core will  write signature  ////
+////      in to  user register from 0x3000_0018 to 0x3000_002C    ////
+////   4. Through the External Wishbone Interface we read back    ////
+////       and validate the user register to declared pass fail   ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.1 - 16th Feb 2021, Dinesh A                             ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
+////                                                              ////
+//// This source file may be used and distributed without         ////
+//// restriction provided that this copyright statement is not    ////
+//// removed from the file and that any derivative work contains  ////
+//// the original copyright notice and the associated disclaimer. ////
+////                                                              ////
+//// This source file is free software; you can redistribute it   ////
+//// and/or modify it under the terms of the GNU Lesser General   ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any   ////
+//// later version.                                               ////
+////                                                              ////
+//// This source is distributed in the hope that it will be       ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
+//// PURPOSE.  See the GNU Lesser General Public License for more ////
+//// details.                                                     ////
+////                                                              ////
+//// You should have received a copy of the GNU Lesser General    ////
+//// Public License along with this source; if not, download it   ////
+//// from http://www.opencores.org/lgpl.shtml                     ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+
+`default_nettype wire
+
+`timescale 1 ns / 1 ns
+
+`include "s25fl256s.sv"
+`include "uprj_netlists.v"
+`include "mt48lc8m8a2.v"
+
+module user_spi_tb;
+	reg clock;
+	reg wb_rst_i;
+	reg power1, power2;
+	reg power3, power4;
+
+        reg        wbd_ext_cyc_i;  // strobe/request
+        reg        wbd_ext_stb_i;  // strobe/request
+        reg [31:0] wbd_ext_adr_i;  // address
+        reg        wbd_ext_we_i;  // write
+        reg [31:0] wbd_ext_dat_i;  // data output
+        reg [3:0]  wbd_ext_sel_i;  // byte enable
+
+        wire [31:0] wbd_ext_dat_o;  // data input
+        wire        wbd_ext_ack_o;  // acknowlegement
+        wire        wbd_ext_err_o;  // error
+
+	// User I/O
+	wire [37:0] io_oeb;
+	wire [37:0] io_out;
+	wire [37:0] io_in;
+
+	wire gpio;
+	wire [37:0] mprj_io;
+	wire [7:0] mprj_io_0;
+	reg        test_fail;
+	reg [31:0] read_data;
+
+
+
+	// External clock is used by default.  Make this artificially fast for the
+	// simulation.  Normally this would be a slow clock and the digital PLL
+	// would be the fast clock.
+
+	always #12.5 clock <= (clock === 1'b0);
+
+	initial begin
+		clock = 0;
+                wbd_ext_cyc_i ='h0;  // strobe/request
+                wbd_ext_stb_i ='h0;  // strobe/request
+                wbd_ext_adr_i ='h0;  // address
+                wbd_ext_we_i  ='h0;  // write
+                wbd_ext_dat_i ='h0;  // data output
+                wbd_ext_sel_i ='h0;  // byte enable
+	end
+
+	`ifdef WFDUMP
+	   initial begin
+	   	$dumpfile("user_spi.vcd");
+	   	$dumpvars(5, user_spi_tb);
+	   end
+       `endif
+
+	initial begin
+
+		#200; // Wait for reset removal
+	        repeat (10) @(posedge clock);
+		$display("Monitor: Standalone User Risc Boot Test Started");
+
+		// Remove Wb Reset
+		wb_user_core_write('h3080_0000,'h1);
+
+	        repeat (2) @(posedge clock);
+		#1;
+		// Remove WB and SPI Reset, Keep SDARM and CORE under Reset
+                wb_user_core_write('h3080_0000,'h5);
+
+                wb_user_core_write('h3080_0004,'h0); // Change the Bank Sel 0
+
+
+		test_fail = 0;
+	        repeat (200) @(posedge clock);
+		$display("#############################################");
+		$display("  Testing Direct SPI Memory Read             ");
+		$display("#############################################");
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("  Testing Single Word Indirect SPI Memory Read");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h4,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_write(32'h10000014,32'h00000204);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_write(32'h10000014,32'h00000208);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_write(32'h10000014,32'h0000020C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_write(32'h10000014,32'h00000210);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_write(32'h10000014,32'h00000214);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000313);
+		wb_user_core_write(32'h10000014,32'h00000218);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000393);
+		wb_user_core_write(32'h10000014,32'h0000021C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000413);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_write(32'h10000014,32'h00000404);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_write(32'h10000014,32'h00000408);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_write(32'h10000014,32'h0000040C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_write(32'h10000014,32'h00000410);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		wb_user_core_write(32'h10000014,32'h00000414);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
+		wb_user_core_write(32'h10000014,32'h00000418);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h33445537);
+		wb_user_core_write(32'h10000014,32'h0000041C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0077ae23);
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Testing Two Word Indirect SPI Memory Read");
+		$display("#############################################");
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h8,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_write(32'h10000014,32'h00000208);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_write(32'h10000014,32'h00000210);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000313);
+		wb_user_core_write(32'h10000014,32'h00000218);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000393);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000413);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_write(32'h10000014,32'h00000408);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_write(32'h10000014,32'h00000410);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
+		wb_user_core_write(32'h10000014,32'h00000418);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h33445537);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0077ae23);
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Testing Three Word Indirect SPI Memory Read");
+		$display("#############################################");
+		wb_user_core_write(32'h10000010,{8'hC,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_write(32'h10000014,32'h0000020C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000313);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_write(32'h10000014,32'h0000040C);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Testing Four Word Indirect SPI Memory Read");
+		$display("#############################################");
+		wb_user_core_write(32'h10000010,{8'h10,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_write(32'h10000014,32'h00000210);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000313);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000393);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000413);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_write(32'h10000014,32'h00000410);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h33445537);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0077ae23);
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Testing Five Word Indirect SPI Memory Read");
+		$display("#############################################");
+		wb_user_core_write(32'h10000010,{8'h14,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		$display("#############################################");
+		$display("  Testing Eight Word Indirect SPI Memory Read");
+		$display("#############################################");
+		wb_user_core_write(32'h10000010,{8'h20,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000113);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000193);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000313);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000393);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00000413);
+		wb_user_core_write(32'h10000014,32'h00000400);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h11223737);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h34470293);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h33445537);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h0077ae23);
+		repeat (100) @(posedge clock);
+			// $display("+1000 cycles");
+
+          	if(test_fail == 0) begin
+		   `ifdef GL
+	    	       $display("Monitor: SPI Master Mode (GL) Passed");
+		   `else
+		       $display("Monitor: SPI Master Mode (RTL) Passed");
+		   `endif
+	        end else begin
+		    `ifdef GL
+	    	        $display("Monitor: SPI Master Mode (GL) Failed");
+		    `else
+		        $display("Monitor: SPI Master Mode (RTL) Failed");
+		    `endif
+		 end
+	    	$display("###################################################");
+	        $finish;
+	end
+
+	initial begin
+		wb_rst_i <= 1'b1;
+		#100;
+		wb_rst_i <= 1'b0;	    	// Release reset
+	end
+wire USER_VDD1V8 = 1'b1;
+wire VSS = 1'b0;
+
+user_project_wrapper u_top(
+`ifdef USE_POWER_PINS
+    .vccd1(USER_VDD1V8),	// User area 1 1.8V supply
+    .vssd1(VSS),	// User area 1 digital ground
+`endif
+    .wb_clk_i        (clock),  // System clock
+    .user_clock2     (1'b1),  // Real-time clock
+    .wb_rst_i        (wb_rst_i),  // Regular Reset signal
+
+    .wbs_cyc_i   (wbd_ext_cyc_i),  // strobe/request
+    .wbs_stb_i   (wbd_ext_stb_i),  // strobe/request
+    .wbs_adr_i   (wbd_ext_adr_i),  // address
+    .wbs_we_i    (wbd_ext_we_i),  // write
+    .wbs_dat_i   (wbd_ext_dat_i),  // data output
+    .wbs_sel_i   (wbd_ext_sel_i),  // byte enable
+
+    .wbs_dat_o   (wbd_ext_dat_o),  // data input
+    .wbs_ack_o   (wbd_ext_ack_o),  // acknowlegement
+
+ 
+    // Logic Analyzer Signals
+    .la_data_in      ('0) ,
+    .la_data_out     (),
+    .la_oenb         ('0),
+ 
+
+    // IOs
+    .io_in          (io_in)  ,
+    .io_out         (io_out) ,
+    .io_oeb         (io_oeb) ,
+
+    .user_irq       () 
+
+);
+
+//------------------------------------------------------
+//  Integrate the Serial flash with qurd support to
+//  user core using the gpio pads
+//  ----------------------------------------------------
+
+   wire flash_clk = io_out[30];
+   wire flash_csb = io_out[31];
+   // Creating Pad Delay
+   wire #1 io_oeb_32 = io_oeb[32];
+   wire #1 io_oeb_33 = io_oeb[33];
+   wire #1 io_oeb_34 = io_oeb[34];
+   wire #1 io_oeb_35 = io_oeb[35];
+   tri  flash_io0 = (io_oeb_32== 1'b0) ? io_out[32] : 1'bz;
+   tri  flash_io1 = (io_oeb_33== 1'b0) ? io_out[33] : 1'bz;
+   tri  flash_io2 = (io_oeb_34== 1'b0) ? io_out[34] : 1'bz;
+   tri  flash_io3 = (io_oeb_35== 1'b0) ? io_out[35] : 1'bz;
+
+   assign io_in[32] = flash_io0;
+   assign io_in[33] = flash_io1;
+   assign io_in[34] = flash_io2;
+   assign io_in[35] = flash_io3;
+
+
+   // Quard flash
+     s25fl256s #(.mem_file_name("user_risc_boot.hex"),
+	         .otp_file_name("none")) 
+		 u_spi_flash_256mb (
+           // Data Inputs/Outputs
+       .SI      (flash_io0),
+       .SO      (flash_io1),
+       // Controls
+       .SCK     (flash_clk),
+       .CSNeg   (flash_csb),
+       .WPNeg   (flash_io2),
+       .HOLDNeg (flash_io3),
+       .RSTNeg  (!wb_rst_i)
+
+       );
+
+
+
+//------------------------------------------------
+// Integrate the SDRAM 8 BIT Memory
+// -----------------------------------------------
+
+wire [7:0]    Dq                 ; // SDRAM Read/Write Data Bus
+wire [0:0]    sdr_dqm            ; // SDRAM DATA Mask
+wire [1:0]    sdr_ba             ; // SDRAM Bank Select
+wire [12:0]   sdr_addr           ; // SDRAM ADRESS
+wire          sdr_cs_n           ; // chip select
+wire          sdr_cke            ; // clock gate
+wire          sdr_ras_n          ; // ras
+wire          sdr_cas_n          ; // cas
+wire          sdr_we_n           ; // write enable        
+wire          sdram_clk         ;      
+
+assign  Dq[7:0]           =  (io_oeb[7:0] == 8'h0) ? io_out [7:0] : 8'hZZ;
+assign  sdr_addr[12:0]    =    io_out [20:8]     ;
+assign  sdr_ba[1:0]       =    io_out [22:21]    ;
+assign  sdr_dqm[0]        =    io_out [23]       ;
+assign  sdr_we_n          =    io_out [24]       ;
+assign  sdr_cas_n         =    io_out [25]       ;
+assign  sdr_ras_n         =    io_out [26]       ;
+assign  sdr_cs_n          =    io_out [27]       ;
+assign  sdr_cke           =    io_out [28]       ;
+assign  sdram_clk         =    io_out [29]       ;
+assign  io_in[29]         =    sdram_clk;
+assign  #(1) io_in[7:0]   =    Dq;
+
+// to fix the sdram interface timing issue
+wire #(1) sdram_clk_d   = sdram_clk;
+
+	// SDRAM 8bit
+mt48lc8m8a2 #(.data_bits(8)) u_sdram8 (
+          .Dq                 (Dq                 ) , 
+          .Addr               (sdr_addr[11:0]     ), 
+          .Ba                 (sdr_ba             ), 
+          .Clk                (sdram_clk_d        ), 
+          .Cke                (sdr_cke            ), 
+          .Cs_n               (sdr_cs_n           ), 
+          .Ras_n              (sdr_ras_n          ), 
+          .Cas_n              (sdr_cas_n          ), 
+          .We_n               (sdr_we_n           ), 
+          .Dqm                (sdr_dqm            )
+     );
+
+task wb_user_core_write;
+input [31:0] address;
+input [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h1;  // write
+  wbd_ext_dat_i =data;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  $display("STATUS: WB USER ACCESS WRITE Address : 0x%x, Data : 0x%x",address,data);
+  repeat (2) @(posedge clock);
+end
+endtask
+
+task  wb_user_core_read;
+input [31:0] address;
+output [31:0] data;
+reg    [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='0;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  data  = wbd_ext_dat_o;  
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  $display("STATUS: WB USER ACCESS READ  Address : 0x%x, Data : 0x%x",address,data);
+  repeat (2) @(posedge clock);
+end
+endtask
+
+task  wb_user_core_read_check;
+input [31:0] address;
+output [31:0] data;
+input [31:0] cmp_data;
+reg    [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='0;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  data  = wbd_ext_dat_o;  
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  if(data !== cmp_data) begin
+     $display("ERROR : WB USER ACCESS READ  Address : 0x%x, Exd: 0x%x Rxd: 0x%x ",address,cmp_data,data);
+     user_spi_tb.test_fail = 1;
+  end else begin
+     $display("STATUS: WB USER ACCESS READ  Address : 0x%x, Data : 0x%x",address,data);
+  end
+  repeat (2) @(posedge clock);
+end
+endtask
+
+
+`ifdef GL
+
+wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+
+wire        wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
+wire        wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
+wire        wbd_sdram_we_i  = u_top.u_sdram_ctrl.wb_we_i;
+wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
+wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
+wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
+wire [3:0]  wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
+
+wire        wbd_uart_stb_i  = u_top.u_uart_core.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_core.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_core.reg_wr;
+wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_core.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_core.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_core.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_core.reg_be;
+
+`endif
+
+/**
+`ifdef GL
+//-----------------------------------------------------------------------------
+// RISC IMEM amd DMEM Monitoring TASK
+//-----------------------------------------------------------------------------
+
+`define RISC_CORE  user_uart_tb.u_top.u_core.u_riscv_top
+
+always@(posedge `RISC_CORE.wb_clk) begin
+    if(`RISC_CORE.wbd_imem_ack_i)
+          $display("RISCV-DEBUG => IMEM ADDRESS: %x Read Data : %x", `RISC_CORE.wbd_imem_adr_o,`RISC_CORE.wbd_imem_dat_i);
+    if(`RISC_CORE.wbd_dmem_ack_i && `RISC_CORE.wbd_dmem_we_o)
+          $display("RISCV-DEBUG => DMEM ADDRESS: %x Write Data: %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_o);
+    if(`RISC_CORE.wbd_dmem_ack_i && !`RISC_CORE.wbd_dmem_we_o)
+          $display("RISCV-DEBUG => DMEM ADDRESS: %x READ Data : %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_i);
+end
+
+`endif
+**/
+endmodule
+`default_nettype wire
diff --git a/verilog/dv/user_uart/Makefile b/verilog/dv/user_uart/Makefile
index c05ec68..1f5b0c3 100644
--- a/verilog/dv/user_uart/Makefile
+++ b/verilog/dv/user_uart/Makefile
@@ -26,6 +26,7 @@
 ## User Project Pointers
 UPRJ_VERILOG_PATH ?= ../../../verilog
 UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
 UPRJ_BEHAVIOURAL_MODELS = ../model
 UPRJ_BEHAVIOURAL_AGENTS = ../agents
 UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
@@ -68,9 +69,9 @@
 	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) \
 	$< -o $@ 
 else  
-	iverilog -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
 	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
-	-I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_RTL_PATH)   -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
 	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
 	$< -o $@ 
 endif
diff --git a/verilog/dv/user_uart/run_iverilog b/verilog/dv/user_uart/run_iverilog
index 6cac66f..15548a4 100755
--- a/verilog/dv/user_uart/run_iverilog
+++ b/verilog/dv/user_uart/run_iverilog
@@ -33,8 +33,9 @@
 
 #iverilog -g2005-sv -I $PDK_PATH -DFUNCTIONAL -DSIM -I  ../../../caravel/verilog/rtl -I ../ -I ../../../verilog/rtl -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
 
-# GLS
-#iverilog -g2005-sv -DGL -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+# GLS 
+#iverilog -g2005-sv -D GL -D FUNCTIONAL -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/gl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+#
 
 vvp user_uart_tb.vvp | tee test.log
 
diff --git a/verilog/dv/user_uart/uprj_netlists.v b/verilog/dv/user_uart/uprj_netlists.v
index d140c85..ae6502e 100644
--- a/verilog/dv/user_uart/uprj_netlists.v
+++ b/verilog/dv/user_uart/uprj_netlists.v
@@ -16,7 +16,7 @@
 // Include caravel global defines for the number of the user project IO pads 
 `include "defines.v"
 `define USE_POWER_PINS
-`define UNIT_DELAY #1
+`define UNIT_DELAY #0.1
 
 `ifdef GL
 
@@ -24,18 +24,17 @@
        `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
        `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
        `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+       `include "libs.ref//sky130_fd_sc_hd/verilog/sky130_ef_sc_hd__fakediode_2.v"
 
-
-
-      `include "glbl_cfg.v"
-      `include "sdram.v"
-      `include "spi_master.v"
-      `include "uart.v"
-      `include "wb_interconnect.v"
-      `include "user_project_wrapper.v"
-
-     `include "syntacore.v"
-     `include "wb_host.v"
+        `include "glbl_cfg.v"
+        `include "sdram.v"
+        `include "spi_master.v"
+        `include "uart.v"
+        `include "wb_interconnect.v"
+        `include "user_project_wrapper.v"
+        `include "syntacore.v"
+        `include "wb_host.v"
+	`include "clk_skew_adjust.v"
 
 `else
 
@@ -46,6 +45,8 @@
 
 
      `include "spi_master/src/spim_top.sv"
+     `include "spi_master/src/spim_if.sv"
+     `include "spi_master/src/spim_fifo.sv"
      `include "spi_master/src/spim_regs.sv"
      `include "spi_master/src/spim_clkgen.sv"
      `include "spi_master/src/spim_ctrl.sv"
@@ -73,7 +74,6 @@
      `include "lib/registers.v"
      `include "lib/clk_ctl.v"
      `include "digital_core/src/glbl_cfg.sv"
-     `include "digital_core/src/digital_core.sv"
 
      `include "wb_host/src/wb_host.sv"
      `include "lib/async_wb.sv"
diff --git a/verilog/dv/user_uart/user_uart_tb.v b/verilog/dv/user_uart/user_uart_tb.v
index cfe4819..4852c4b 100644
--- a/verilog/dv/user_uart/user_uart_tb.v
+++ b/verilog/dv/user_uart/user_uart_tb.v
@@ -70,12 +70,12 @@
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
 
-`default_nettype none
+`default_nettype wire
 
 `timescale 1 ns / 1 ns
 
+`include "s25fl256s.sv"
 `include "uprj_netlists.v"
-`include "spiflash.v"
 `include "mt48lc8m8a2.v"
 `include "uart_agent.v"
 
@@ -149,7 +149,7 @@
 	`ifdef WFDUMP
 	   initial begin
 	   	$dumpfile("risc_boot.vcd");
-	   	$dumpvars(4, user_uart_tb);
+	   	$dumpvars(2, user_uart_tb);
 	   end
        `endif
 
@@ -298,10 +298,15 @@
 
    wire flash_clk = io_out[30];
    wire flash_csb = io_out[31];
-   tri  flash_io0 = (io_oeb[32]== 1'b0) ? io_out[32] : 1'bz;
-   tri  flash_io1 = (io_oeb[33]== 1'b0) ? io_out[33] : 1'bz;
-   tri  flash_io2 = (io_oeb[34]== 1'b0) ? io_out[34] : 1'bz;
-   tri  flash_io3 = (io_oeb[35]== 1'b0) ? io_out[35] : 1'bz;
+   // Creating Pad Delay
+   wire #1 io_oeb_32 = io_oeb[32];
+   wire #1 io_oeb_33 = io_oeb[33];
+   wire #1 io_oeb_34 = io_oeb[34];
+   wire #1 io_oeb_35 = io_oeb[35];
+   tri  flash_io0 = (io_oeb_32== 1'b0) ? io_out[32] : 1'bz;
+   tri  flash_io1 = (io_oeb_33== 1'b0) ? io_out[33] : 1'bz;
+   tri  flash_io2 = (io_oeb_34== 1'b0) ? io_out[34] : 1'bz;
+   tri  flash_io3 = (io_oeb_35== 1'b0) ? io_out[35] : 1'bz;
 
    assign io_in[32] = flash_io0;
    assign io_in[33] = flash_io1;
@@ -310,16 +315,20 @@
 
 
    // Quard flash
-	spiflash #(
-		.FILENAME("user_uart.hex")
-	) u_user_spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(flash_io2),
-		.io3(flash_io3)	
-	);
+     s25fl256s #(.mem_file_name("user_uart.hex"),.otp_file_name("none")) u_spi_flash_256mb
+       (
+           // Data Inputs/Outputs
+       .SI      (flash_io0),
+       .SO      (flash_io1),
+       // Controls
+       .SCK     (flash_clk),
+       .CSNeg   (flash_csb),
+       .WPNeg   (flash_io2),
+       .HOLDNeg (flash_io3),
+       .RSTNeg  (!wb_rst_i)
+
+       );
+
 
 
 //------------------------------------------------
@@ -437,7 +446,33 @@
 end
 endtask
 
+`ifdef GL
 
+wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+
+wire        wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
+wire        wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
+wire        wbd_sdram_we_i  = u_top.u_sdram_ctrl.wb_we_i;
+wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
+wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
+wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
+wire [3:0]  wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
+
+wire        wbd_uart_stb_i  = u_top.u_uart_core.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_core.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_core.reg_wr;
+wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_core.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_core.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_core.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_core.reg_be;
+
+`endif
 
 /**
 `ifdef GL
diff --git a/verilog/gl/clk_skew_adjust.v b/verilog/gl/clk_skew_adjust.v
index f9d1967..bebf26e 100644
--- a/verilog/gl/clk_skew_adjust.v
+++ b/verilog/gl/clk_skew_adjust.v
@@ -283,131 +283,16 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_16 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_17 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_18 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_19 (.VGND(vssd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_20 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_21 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_22 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_23 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_24 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_25 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_26 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_27 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_28 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_29 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_30 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_31 (.VGND(vssd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_00_A0 (.DIODE(clk_in),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_A (.DIODE(clk_in),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_07_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_06_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_05_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_04_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_03_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_02_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_01_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_00_S (.DIODE(sel[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_13_S (.DIODE(sel[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_12_S (.DIODE(sel[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_11_S (.DIODE(sel[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_10_S (.DIODE(sel[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_21_S (.DIODE(sel[2]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_20_S (.DIODE(sel[2]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_30_S (.DIODE(sel[3]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -416,195 +301,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -612,87 +445,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/gl/spi_master.v b/verilog/gl/spi_master.v
index 96f0413..4da8197 100644
--- a/verilog/gl/spi_master.v
+++ b/verilog/gl/spi_master.v
@@ -6,10 +6,10 @@
     wbd_we_i,
     vccd1,
     vssd1,
-    events_o,
     io_in,
     io_oeb,
     io_out,
+    spi_debug,
     wbd_adr_i,
     wbd_dat_i,
     wbd_dat_o,
@@ -22,13494 +22,8358 @@
  input wbd_we_i;
  input vccd1;
  input vssd1;
- output [1:0] events_o;
  input [5:0] io_in;
  output [5:0] io_oeb;
  output [5:0] io_out;
+ output [31:0] spi_debug;
  input [31:0] wbd_adr_i;
  input [31:0] wbd_dat_i;
  output [31:0] wbd_dat_o;
  input [3:0] wbd_sel_i;
 
- sky130_fd_sc_hd__buf_2 _2583_ (.A(\u_spim_regs.reg_addr[1] ),
-    .X(_2569_),
+ sky130_fd_sc_hd__inv_2 _4498_ (.A(\u_spim_regs.spim_reg_rdata[30] ),
+    .Y(_0908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2584_ (.A(\u_spim_regs.reg_addr[0] ),
-    .X(_2570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2585_ (.A(_2570_),
-    .X(_2571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2586_ (.A(\u_spim_regs.reg_addr[3] ),
-    .X(_2572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2587_ (.A(\u_spim_regs.reg_addr[2] ),
-    .X(_2573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2588_ (.A(_2569_),
-    .B(_2571_),
-    .C(_2572_),
-    .D(_2573_),
-    .X(_2574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2589_ (.A(_2574_),
-    .Y(_2575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2590_ (.A(_2575_),
-    .X(_2576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2591_ (.A(\u_spim_regs.spim_wb_we ),
-    .Y(_2577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2592_ (.A(\u_spim_regs.spim_wb_req ),
-    .Y(_2578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2593_ (.A(_2578_),
-    .X(_2579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2594_ (.A(\u_spim_regs.spim_wb_addr[28] ),
-    .Y(_2580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2595_ (.A(\u_spim_regs.spim_wb_addr[30] ),
-    .B(\u_spim_regs.spim_wb_addr[31] ),
-    .X(_2581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2596_ (.A(_2579_),
-    .B(psn_net_37),
-    .C(_2580_),
-    .D(psn_net_23),
-    .X(_2582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2597_ (.A(\u_spim_regs.spi_init_done ),
-    .Y(_0455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2598_ (.A(_2577_),
-    .B(_2582_),
-    .C(_0455_),
-    .X(_0456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2599_ (.A(_0456_),
-    .X(_0457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2600_ (.A(\u_spim_regs.spi_init_state[1] ),
-    .B(\u_spim_regs.spi_init_state[0] ),
-    .C(\u_spim_regs.spi_init_state[2] ),
-    .X(_0458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2601_ (.A(_0458_),
-    .Y(_0459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2602_ (.A(\u_spim_regs.spi_init_done ),
-    .B(_0459_),
-    .X(_0460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2603_ (.A(_0460_),
-    .Y(_0461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2604_ (.A(\u_spim_regs.spim_wb_we ),
-    .X(_0462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2605_ (.A(_2582_),
-    .Y(_0463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2606_ (.A(_0462_),
-    .B(_0463_),
-    .C(\u_spim_regs.spi_init_done ),
-    .D(\u_spim_regs.spim_wb_be[1] ),
-    .X(_0464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2607_ (.A(_0461_),
-    .B(_0464_),
-    .X(_0465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2608_ (.A1(_2576_),
-    .A2(_0457_),
-    .B1(_0465_),
-    .X(_0466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2609_ (.A(_0466_),
-    .Y(_0467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2610_ (.A(\u_spim_regs.spi_init_done ),
-    .X(_0468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2611_ (.A(_0468_),
-    .X(_0469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2612_ (.A(_0469_),
-    .X(_0470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2613_ (.A(_0470_),
-    .X(_0471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2614_ (.A(\u_spim_regs.spim_wb_wdata[10] ),
-    .B(_0471_),
-    .X(_0472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2615_ (.A(_0466_),
-    .X(_0473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2616_ (.A1(_0467_),
-    .A2(_0472_),
-    .B1(\u_spim_regs.reg2spi_csreg[2] ),
-    .B2(_0473_),
-    .X(_0453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2617_ (.A(\u_spim_regs.spim_wb_wdata[9] ),
-    .B(_0471_),
-    .X(_0474_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2618_ (.A1(_0467_),
-    .A2(_0474_),
-    .B1(\u_spim_regs.reg2spi_csreg[1] ),
-    .B2(_0473_),
-    .X(_0452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2619_ (.A(_0455_),
-    .X(_0475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2620_ (.A(_0475_),
-    .X(_0476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _2621_ (.A1(\u_spim_regs.spim_wb_wdata[8] ),
-    .A2(_0476_),
-    .A3(_0467_),
-    .B1(\u_spim_regs.reg2spi_csreg[0] ),
-    .B2(_0473_),
-    .X(_0451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2622_ (.A(\u_spim_regs.spim_wb_be[0] ),
-    .Y(_0477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2623_ (.A(_2577_),
-    .B(_2582_),
-    .C(_0477_),
-    .D(_2574_),
-    .X(_0478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2624_ (.A1(_0455_),
-    .A2(_0478_),
-    .B1(_0460_),
-    .X(_0479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2625_ (.A(_0479_),
-    .X(_0480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2626_ (.A(\u_spim_regs.spim_wb_wdata[0] ),
-    .B(_0470_),
-    .X(_0481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2627_ (.A(_0479_),
-    .Y(_0482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2628_ (.A(_0482_),
-    .X(_0483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2629_ (.A1(_0480_),
-    .A2(_0481_),
-    .B1(\u_spim_regs.reg2spi_rd ),
-    .B2(_0483_),
-    .X(_0450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2630_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[15] ),
-    .Y(_0484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2631_ (.A(_0464_),
-    .Y(_0485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2632_ (.A(\u_spim_regs.reg_addr[3] ),
-    .X(_0486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2633_ (.A(\u_spim_regs.reg_addr[2] ),
-    .Y(_0487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2634_ (.A(\u_spim_regs.reg_addr[0] ),
-    .Y(_0488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2635_ (.A(_0486_),
-    .B(_0487_),
-    .C(_2569_),
-    .D(_0488_),
-    .X(_0489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2636_ (.A(_0489_),
-    .X(_0490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2637_ (.A(_0490_),
-    .X(_0491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2638_ (.A(_0491_),
-    .X(_0492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2639_ (.A(_0485_),
-    .B(_0492_),
-    .X(_0493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2640_ (.A(_0493_),
-    .Y(_0494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2641_ (.A(_0494_),
-    .X(_0495_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2642_ (.A(\u_spim_regs.spim_wb_wdata[15] ),
-    .X(_0496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2643_ (.A1_N(_0484_),
-    .A2_N(_0495_),
-    .B1(_0496_),
-    .B2(_0495_),
-    .X(_0449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2644_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[14] ),
-    .Y(_0497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2645_ (.A(\u_spim_regs.spim_wb_wdata[14] ),
-    .X(_0498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2646_ (.A1_N(_0497_),
-    .A2_N(_0495_),
-    .B1(_0498_),
-    .B2(_0495_),
-    .X(_0448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2647_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[13] ),
-    .Y(_0499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2648_ (.A(_0494_),
-    .X(_0500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2649_ (.A(\u_spim_regs.spim_wb_wdata[13] ),
-    .X(_0501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2650_ (.A1_N(_0499_),
-    .A2_N(_0500_),
-    .B1(_0501_),
-    .B2(_0500_),
-    .X(_0447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2651_ (.A(_0489_),
-    .X(_0502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2652_ (.A(_0502_),
-    .Y(_0503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2653_ (.A(_0503_),
-    .X(_0504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2654_ (.A(_0504_),
-    .X(_0505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2655_ (.A(\u_spim_regs.spim_wb_wdata[12] ),
-    .X(_0506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2656_ (.A1(_0464_),
-    .A2(_0505_),
-    .A3(_0506_),
-    .B1(\u_spim_regs.reg2spi_dummy_rd_len[12] ),
-    .B2(_0493_),
-    .X(_0446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2657_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[11] ),
-    .Y(_0507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2658_ (.A(\u_spim_regs.spim_wb_wdata[11] ),
-    .X(_0508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2659_ (.A1_N(_0507_),
-    .A2_N(_0500_),
-    .B1(_0508_),
-    .B2(_0500_),
-    .X(_0445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2660_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[10] ),
-    .Y(_0509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2661_ (.A(_0494_),
-    .X(_0510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2662_ (.A(\u_spim_regs.spim_wb_wdata[10] ),
-    .X(_0511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2663_ (.A1_N(_0509_),
-    .A2_N(_0510_),
-    .B1(_0511_),
-    .B2(_0510_),
-    .X(_0444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2664_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[9] ),
-    .Y(_0512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2665_ (.A(\u_spim_regs.spim_wb_wdata[9] ),
-    .X(_0513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2666_ (.A1_N(_0512_),
-    .A2_N(_0510_),
-    .B1(_0513_),
-    .B2(_0510_),
-    .X(_0443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2667_ (.A(\u_spim_regs.spim_wb_wdata[8] ),
-    .X(_0514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2668_ (.A1(_0464_),
-    .A2(_0504_),
-    .A3(_0514_),
-    .B1(\u_spim_regs.reg2spi_dummy_rd_len[8] ),
-    .B2(_0493_),
-    .X(_0442_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2669_ (.A(_0469_),
-    .X(_0515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2670_ (.A(_0515_),
-    .X(_0516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2671_ (.A(\u_spim_regs.spim_wb_wdata[2] ),
-    .B(_0516_),
-    .X(_0517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2672_ (.A(\u_spim_regs.reg2spi_qrd ),
-    .X(_0518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2673_ (.A1(_0480_),
-    .A2(_0517_),
-    .B1(_0518_),
-    .B2(_0483_),
-    .X(_0441_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2674_ (.A(_0516_),
-    .X(_0519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2675_ (.A(_0463_),
-    .X(_0520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2676_ (.A(_0462_),
-    .B(_0520_),
-    .C(_0468_),
-    .D(\u_spim_regs.spim_wb_be[2] ),
-    .X(_0521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2677_ (.A(_0521_),
-    .X(_0522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2678_ (.A(_2569_),
-    .B(_2570_),
-    .C(_0486_),
-    .D(_0487_),
-    .X(_0523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2679_ (.A(_0523_),
-    .Y(_0524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2680_ (.A(_0524_),
-    .X(_0525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2681_ (.A(_0457_),
-    .B(_0525_),
-    .X(_0526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2682_ (.A1(_0461_),
-    .A2(_0522_),
-    .B1(_0526_),
-    .X(_0527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2683_ (.A(_0527_),
-    .X(_0528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2684_ (.A(_0527_),
-    .Y(_0529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2685_ (.A(_0529_),
-    .X(_0530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2686_ (.A1(\u_spim_regs.spim_wb_wdata[23] ),
-    .A2(_0519_),
-    .A3(_0528_),
-    .B1(\u_spim_regs.reg2spi_data_len[7] ),
-    .B2(_0530_),
-    .X(_0440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2687_ (.A(\u_spim_regs.reg2spi_data_len[6] ),
-    .X(_0531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2688_ (.A1(\u_spim_regs.spim_wb_wdata[22] ),
-    .A2(_0519_),
-    .A3(_0528_),
-    .B1(_0531_),
-    .B2(_0530_),
-    .X(_0439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2689_ (.A1(\u_spim_regs.spim_wb_wdata[21] ),
-    .A2(_0519_),
-    .A3(_0528_),
-    .B1(\u_spim_regs.reg2spi_data_len[5] ),
-    .B2(_0530_),
-    .X(_0438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2690_ (.A(_0469_),
-    .B(\u_spim_regs.spi_init_state[1] ),
-    .X(_0532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2691_ (.A(_0455_),
-    .X(_0533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2692_ (.A(_0533_),
-    .X(_0534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2693_ (.A(\u_spim_regs.spim_wb_wdata[20] ),
-    .B(_0534_),
-    .X(_0535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2694_ (.A(_0527_),
-    .X(_0536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2695_ (.A(_0529_),
-    .X(_0537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2696_ (.A1(_0532_),
-    .A2(_0535_),
-    .A3(_0536_),
-    .B1(\u_spim_regs.reg2spi_data_len[4] ),
-    .B2(_0537_),
-    .X(_0437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2697_ (.A(\u_spim_regs.reg2spi_data_len[3] ),
-    .X(_0538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2698_ (.A1(\u_spim_regs.spim_wb_wdata[19] ),
-    .A2(_0519_),
-    .A3(_0536_),
-    .B1(_0538_),
-    .B2(_0537_),
-    .X(_0436_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2699_ (.A(_0516_),
-    .X(_0539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2700_ (.A(\u_spim_regs.reg2spi_data_len[2] ),
-    .X(_0540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2701_ (.A1(\u_spim_regs.spim_wb_wdata[18] ),
-    .A2(_0539_),
-    .A3(_0536_),
-    .B1(_0540_),
-    .B2(_0537_),
-    .X(_0435_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _2702_ (.A(\u_spim_regs.spim_wb_wdata[17] ),
-    .B(_0516_),
-    .Y(_0541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2703_ (.A(\u_spim_regs.reg2spi_data_len[1] ),
-    .Y(_0542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2704_ (.A(_0542_),
-    .X(_0543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _2705_ (.A1(_0528_),
-    .A2(_0541_),
-    .B1(_0543_),
-    .B2(_0530_),
-    .Y(_0434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2706_ (.A1(\u_spim_regs.spim_wb_wdata[16] ),
-    .A2(_0539_),
-    .A3(_0536_),
-    .B1(\u_spim_regs.reg2spi_data_len[0] ),
-    .B2(_0537_),
-    .X(_0433_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2707_ (.A(\u_spim_regs.spim_wb_wdata[1] ),
-    .X(_0544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _2708_ (.A1(_0544_),
-    .A2(_0476_),
-    .A3(_0478_),
-    .B1(\u_spim_regs.reg2spi_wr ),
-    .B2(_0482_),
-    .X(_0432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2709_ (.A(\u_spim_regs.reg2spi_data_len[15] ),
-    .Y(_0545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2710_ (.A(_0523_),
-    .X(_0546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2711_ (.A(_0546_),
-    .X(_0547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2712_ (.A(\u_spim_regs.spim_wb_be[3] ),
-    .Y(_0548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2713_ (.A(_0548_),
-    .B(_0457_),
-    .X(_0549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2714_ (.A(_0549_),
-    .X(_0550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2715_ (.A(_0547_),
-    .B(_0550_),
-    .Y(_0551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2716_ (.A(_0461_),
-    .B(_0551_),
-    .X(_0552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2717_ (.A(_0552_),
-    .X(_0553_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2718_ (.A(\u_spim_regs.spim_wb_wdata[31] ),
-    .X(_0554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2719_ (.A(_0551_),
-    .X(_0555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2720_ (.A1_N(_0545_),
-    .A2_N(_0553_),
-    .B1(_0554_),
-    .B2(_0555_),
-    .X(_0431_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2721_ (.A(\u_spim_regs.reg2spi_data_len[14] ),
-    .Y(_0556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2722_ (.A(\u_spim_regs.spim_wb_wdata[30] ),
-    .X(_0557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2723_ (.A1_N(_0556_),
-    .A2_N(_0553_),
-    .B1(_0557_),
-    .B2(_0555_),
-    .X(_0430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2724_ (.A(\u_spim_regs.reg2spi_data_len[13] ),
-    .Y(_0558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2725_ (.A(\u_spim_regs.spim_wb_wdata[29] ),
-    .X(_0559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2726_ (.A1_N(_0558_),
-    .A2_N(_0553_),
-    .B1(_0559_),
-    .B2(_0555_),
-    .X(_0429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2727_ (.A(\u_spim_regs.reg2spi_data_len[12] ),
-    .Y(_0560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2728_ (.A(_0560_),
-    .X(_0561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2729_ (.A(\u_spim_regs.spim_wb_wdata[28] ),
-    .X(_0562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2730_ (.A1_N(_0561_),
-    .A2_N(_0553_),
-    .B1(_0562_),
-    .B2(_0555_),
-    .X(_0428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2731_ (.A(\u_spim_regs.reg2spi_data_len[11] ),
-    .Y(_0563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2732_ (.A(_0552_),
-    .X(_0564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2733_ (.A(\u_spim_regs.spim_wb_wdata[27] ),
-    .X(_0565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2734_ (.A(_0551_),
-    .X(_0566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2735_ (.A1_N(_0563_),
-    .A2_N(_0564_),
-    .B1(_0565_),
-    .B2(_0566_),
-    .X(_0427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2736_ (.A(\u_spim_regs.reg2spi_data_len[10] ),
-    .Y(_0567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2737_ (.A(\u_spim_regs.spim_wb_wdata[26] ),
-    .X(_0568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2738_ (.A1_N(_0567_),
-    .A2_N(_0564_),
-    .B1(_0568_),
-    .B2(_0566_),
-    .X(_0426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2739_ (.A(\u_spim_regs.reg2spi_data_len[9] ),
-    .Y(_0569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2740_ (.A(\u_spim_regs.spim_wb_wdata[25] ),
-    .X(_0570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2741_ (.A1_N(_0569_),
-    .A2_N(_0564_),
-    .B1(_0570_),
-    .B2(_0566_),
-    .X(_0425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2742_ (.A(\u_spim_regs.reg2spi_data_len[8] ),
-    .Y(_0571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2743_ (.A(\u_spim_regs.spim_wb_wdata[24] ),
-    .X(_0572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2744_ (.A1_N(_0571_),
-    .A2_N(_0564_),
-    .B1(_0572_),
-    .B2(_0566_),
-    .X(_0424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2745_ (.A(_0465_),
-    .Y(_0573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2746_ (.A(_0526_),
-    .Y(_0574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2747_ (.A(_0573_),
-    .B(_0574_),
-    .X(_0575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2748_ (.A(_0575_),
-    .X(_0576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2749_ (.A(_0470_),
-    .X(_0577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2750_ (.A(\u_spim_regs.spim_wb_wdata[13] ),
-    .B(_0577_),
-    .X(_0578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2751_ (.A(_0575_),
-    .Y(_0579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2752_ (.A(_0579_),
-    .X(_0580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2753_ (.A1(_0576_),
-    .A2(_0578_),
-    .B1(\u_spim_regs.reg2spi_addr_len[5] ),
-    .B2(_0580_),
-    .X(_0423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2754_ (.A(\u_spim_regs.spim_wb_wdata[12] ),
-    .B(_0577_),
-    .X(_0581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2755_ (.A1(_0576_),
-    .A2(_0581_),
-    .B1(\u_spim_regs.reg2spi_addr_len[4] ),
-    .B2(_0580_),
-    .X(_0422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2756_ (.A(\u_spim_regs.spim_wb_wdata[11] ),
-    .B(_0471_),
-    .X(_0582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2757_ (.A(\u_spim_regs.reg2spi_addr_len[3] ),
-    .X(_0583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2758_ (.A1(_0575_),
-    .A2(_0582_),
-    .B1(_0583_),
-    .B2(_0580_),
-    .X(_0421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2759_ (.A1(_0472_),
-    .A2(_0576_),
-    .B1(\u_spim_regs.reg2spi_addr_len[2] ),
-    .B2(_0580_),
-    .X(_0420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2760_ (.A1(_0474_),
-    .A2(_0576_),
-    .B1(\u_spim_regs.reg2spi_addr_len[1] ),
-    .B2(_0579_),
-    .X(_0419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2761_ (.A(\u_spim_regs.spim_wb_wdata[8] ),
-    .B(_0577_),
-    .X(_0584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2762_ (.A1(_0575_),
-    .A2(_0584_),
-    .B1(\u_spim_regs.reg2spi_addr_len[0] ),
-    .B2(_0579_),
-    .X(_0418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2763_ (.A(\u_spim_regs.spim_wb_wdata[4] ),
-    .B(_0471_),
-    .X(_0585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2764_ (.A1(_0480_),
-    .A2(_0585_),
-    .B1(\u_spim_regs.reg2spi_swrst ),
-    .B2(_0483_),
-    .X(_0417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2765_ (.A(_0477_),
-    .B(_0456_),
-    .X(_0586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2766_ (.A(_0460_),
-    .B(_0586_),
-    .X(_0587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2767_ (.A(_0574_),
-    .B(_0587_),
-    .X(_0588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2768_ (.A(_0588_),
-    .Y(_0589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2769_ (.A(_0589_),
-    .X(_0590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2770_ (.A(_0515_),
-    .X(_0591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _2771_ (.A(\u_spim_regs.spim_wb_wdata[5] ),
-    .B(_0591_),
-    .Y(_0592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2772_ (.A(\u_spim_regs.reg2spi_cmd_len[5] ),
-    .Y(_0593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2773_ (.A(_0588_),
-    .X(_0594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _2774_ (.A1(_0590_),
-    .A2(_0592_),
-    .B1(_0593_),
-    .B2(_0594_),
-    .Y(_0416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2775_ (.A1(_0585_),
-    .A2(_0594_),
-    .B1(\u_spim_regs.reg2spi_cmd_len[4] ),
-    .B2(_0590_),
-    .X(_0415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _2776_ (.A1(\u_spim_regs.spim_wb_wdata[3] ),
-    .A2(_0476_),
-    .A3(_0588_),
-    .B1(\u_spim_regs.reg2spi_cmd_len[3] ),
-    .B2(_0589_),
-    .X(_0414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2777_ (.A1(_0517_),
-    .A2(_0594_),
-    .B1(\u_spim_regs.reg2spi_cmd_len[2] ),
-    .B2(_0590_),
-    .X(_0413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2778_ (.A1(_0544_),
-    .A2(_0539_),
-    .A3(_0589_),
-    .B1(\u_spim_regs.reg2spi_cmd_len[1] ),
-    .B2(_0588_),
-    .X(_0412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2779_ (.A1(_0481_),
-    .A2(_0594_),
-    .B1(\u_spim_regs.reg2spi_cmd_len[0] ),
-    .B2(_0590_),
-    .X(_0411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2780_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[7] ),
-    .Y(_0595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2781_ (.A(_0521_),
-    .Y(_0596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2782_ (.A(_0492_),
-    .B(_0596_),
-    .X(_0597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2783_ (.A(_0597_),
-    .Y(_0598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2784_ (.A(_0598_),
-    .X(_0599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2785_ (.A(\u_spim_regs.spim_wb_wdata[23] ),
-    .X(_0600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2786_ (.A1_N(_0595_),
-    .A2_N(_0599_),
-    .B1(_0600_),
-    .B2(_0599_),
-    .X(_0410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2787_ (.A(\u_spim_regs.spim_wb_wdata[22] ),
-    .X(_0601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2788_ (.A1(_0505_),
-    .A2(_0522_),
-    .A3(_0601_),
-    .B1(\u_spim_regs.reg2spi_dummy_wr_len[6] ),
-    .B2(_0597_),
-    .X(_0409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2789_ (.A(\u_spim_regs.spim_wb_wdata[21] ),
-    .X(_0602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2790_ (.A1(_0505_),
-    .A2(_0522_),
-    .A3(_0602_),
-    .B1(\u_spim_regs.reg2spi_dummy_wr_len[5] ),
-    .B2(_0597_),
-    .X(_0408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2791_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[4] ),
-    .Y(_0603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2792_ (.A(\u_spim_regs.spim_wb_wdata[20] ),
-    .X(_0604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2793_ (.A1_N(_0603_),
-    .A2_N(_0599_),
-    .B1(_0604_),
-    .B2(_0599_),
-    .X(_0407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2794_ (.A(\u_spim_regs.spim_wb_wdata[19] ),
-    .X(_0605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2795_ (.A1(_0505_),
-    .A2(_0522_),
-    .A3(_0605_),
-    .B1(\u_spim_regs.reg2spi_dummy_wr_len[3] ),
-    .B2(_0597_),
-    .X(_0406_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2796_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[2] ),
-    .Y(_0606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2797_ (.A(_0598_),
-    .X(_0607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2798_ (.A(\u_spim_regs.spim_wb_wdata[18] ),
-    .X(_0608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2799_ (.A1_N(_0606_),
-    .A2_N(_0607_),
-    .B1(_0608_),
-    .B2(_0607_),
-    .X(_0405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2800_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[1] ),
-    .Y(_0609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2801_ (.A1_N(_0609_),
-    .A2_N(_0607_),
-    .B1(\u_spim_regs.spim_wb_wdata[17] ),
-    .B2(_0607_),
-    .X(_0404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2802_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[0] ),
-    .Y(_0610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2803_ (.A(\u_spim_regs.spim_wb_wdata[16] ),
-    .X(_0611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2804_ (.A1_N(_0610_),
-    .A2_N(_0598_),
-    .B1(_0611_),
-    .B2(_0598_),
-    .X(_0403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2805_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[15] ),
-    .Y(_0612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2806_ (.A(_0492_),
-    .B(_0550_),
-    .Y(_0613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2807_ (.A(_0613_),
-    .X(_0614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2808_ (.A1_N(_0612_),
-    .A2_N(_0614_),
-    .B1(_0554_),
-    .B2(_0614_),
-    .X(_0402_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2809_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[14] ),
-    .Y(_0615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2810_ (.A1_N(_0615_),
-    .A2_N(_0614_),
-    .B1(_0557_),
-    .B2(_0614_),
-    .X(_0401_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2811_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[13] ),
-    .Y(_0616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2812_ (.A(_0613_),
-    .X(_0617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2813_ (.A1_N(_0616_),
-    .A2_N(_0617_),
-    .B1(_0559_),
-    .B2(_0617_),
-    .X(_0400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2814_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[12] ),
-    .Y(_0618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2815_ (.A1_N(_0618_),
-    .A2_N(_0617_),
-    .B1(_0562_),
-    .B2(_0617_),
-    .X(_0399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2816_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[11] ),
-    .Y(_0619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2817_ (.A(_0613_),
-    .X(_0620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2818_ (.A1_N(_0619_),
-    .A2_N(_0620_),
-    .B1(_0565_),
-    .B2(_0620_),
-    .X(_0398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2819_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[10] ),
-    .Y(_0621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2820_ (.A1_N(_0621_),
-    .A2_N(_0620_),
-    .B1(_0568_),
-    .B2(_0620_),
-    .X(_0397_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2821_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[9] ),
-    .Y(_0622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2822_ (.A(_0613_),
-    .X(_0623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2823_ (.A1_N(_0622_),
-    .A2_N(_0623_),
-    .B1(_0570_),
-    .B2(_0623_),
-    .X(_0396_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2824_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[8] ),
-    .Y(_0624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2825_ (.A1_N(_0624_),
-    .A2_N(_0623_),
-    .B1(_0572_),
-    .B2(_0623_),
-    .X(_0395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2826_ (.A(\u_spim_regs.reg2spi_cmd[7] ),
-    .Y(_0625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2827_ (.A(\u_spim_regs.reg_addr[1] ),
-    .Y(_0626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2828_ (.A(_2572_),
-    .B(_2573_),
-    .C(_0626_),
-    .D(_2570_),
-    .X(_0627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2829_ (.A(_0462_),
-    .B(_0520_),
-    .C(_0468_),
-    .D(_0627_),
-    .X(_0628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2830_ (.A(_0587_),
-    .B(_0628_),
-    .X(_0629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2831_ (.A(_0629_),
-    .Y(_0630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2832_ (.A(_0630_),
-    .X(_0631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2833_ (.A(_0629_),
-    .X(_0632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2834_ (.A(\u_spim_regs.spim_wb_wdata[7] ),
-    .Y(_0633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2835_ (.A(\u_spim_regs.spi_init_state[2] ),
-    .B(_0532_),
-    .X(_0634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2836_ (.A1(_0633_),
-    .A2(_0475_),
-    .B1(_0634_),
-    .X(_0635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2837_ (.A1(_0625_),
-    .A2(_0631_),
-    .B1(_0632_),
-    .B2(_0635_),
-    .X(_0636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2838_ (.A(_0636_),
-    .Y(_0394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2839_ (.A1(\u_spim_regs.spim_wb_wdata[6] ),
-    .A2(_0539_),
-    .A3(_0631_),
-    .B1(\u_spim_regs.reg2spi_cmd[6] ),
-    .B2(_0632_),
-    .X(_0393_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2840_ (.A(\u_spim_regs.reg2spi_cmd[5] ),
-    .Y(_0637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2841_ (.A1(_0634_),
-    .A2(_0592_),
-    .A3(_0630_),
-    .B1(_0637_),
-    .B2(_0629_),
-    .X(_0638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2842_ (.A(_0638_),
-    .Y(_0392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2843_ (.A1(_0585_),
-    .A2(_0632_),
-    .B1(\u_spim_regs.reg2spi_cmd[4] ),
-    .B2(_0631_),
-    .X(_0391_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _2844_ (.A(\u_spim_regs.spim_wb_wdata[3] ),
-    .B(_0591_),
-    .Y(_0639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2845_ (.A(\u_spim_regs.reg2spi_cmd[3] ),
-    .Y(_0640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2846_ (.A1(_0634_),
-    .A2(_0639_),
-    .A3(_0630_),
-    .B1(_0640_),
-    .B2(_0629_),
-    .X(_0641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2847_ (.A(_0641_),
-    .Y(_0390_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2848_ (.A(_0475_),
-    .B(\u_spim_regs.spi_init_state[2] ),
-    .X(_0642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _2849_ (.A1(_0517_),
-    .A2(_0642_),
-    .A3(_0632_),
-    .B1(\u_spim_regs.reg2spi_cmd[2] ),
-    .B2(_0631_),
-    .X(_0389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2850_ (.A(\u_spim_regs.reg2spi_cmd[1] ),
-    .Y(_0643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2851_ (.A(_0630_),
-    .X(_0644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2852_ (.A(_0532_),
-    .Y(_0645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _2853_ (.A1(\u_spim_regs.spim_wb_wdata[1] ),
-    .A2(_0577_),
-    .B1(_0645_),
-    .C1(_0642_),
-    .X(_0646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2854_ (.A1_N(_0643_),
-    .A2_N(_0644_),
-    .B1(_0644_),
-    .B2(_0646_),
-    .X(_0388_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2855_ (.A(\u_spim_regs.reg2spi_cmd[0] ),
-    .Y(_0647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2856_ (.A(_0533_),
-    .X(_0648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2857_ (.A(\u_spim_regs.spi_init_state[2] ),
-    .Y(_0649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2858_ (.A(\u_spim_regs.spi_init_state[1] ),
-    .Y(_0650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2859_ (.A(_0515_),
-    .B(_0650_),
-    .X(_0651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2860_ (.A(_0651_),
-    .Y(_0652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _2861_ (.A1(_0648_),
-    .A2(_0649_),
-    .B1(_0481_),
-    .C1(_0652_),
-    .X(_0653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2862_ (.A1_N(_0647_),
-    .A2_N(_0644_),
-    .B1(_0644_),
-    .B2(_0653_),
-    .X(_0387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2863_ (.A(\u_spictrl.spi_status[8] ),
-    .Y(_0654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2864_ (.A(\u_spim_regs.reg2spi_req ),
-    .Y(_0655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2865_ (.A(\u_spim_regs.spim_wb_addr[29] ),
-    .B(\u_spim_regs.spim_wb_addr[28] ),
-    .X(_0656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2866_ (.A(_2578_),
-    .B(\u_spim_regs.spim_wb_we ),
-    .C(_2581_),
-    .D(_0656_),
-    .X(_0657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2867_ (.A(_0657_),
-    .X(_0658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2868_ (.A(_0655_),
-    .B(psn_net_34),
-    .X(_0659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2869_ (.A(_0654_),
-    .B(_0659_),
-    .X(_0660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2870_ (.A(_2579_),
-    .B(\u_spim_regs.spim_wb_we ),
-    .C(_0660_),
-    .X(_0661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2871_ (.A(_0661_),
-    .Y(_0662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2872_ (.A1(_0520_),
-    .A2(_0662_),
-    .B1(_0469_),
-    .X(_0663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2873_ (.A(_0663_),
-    .X(_0664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2874_ (.A(_0664_),
-    .X(_0665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2875_ (.A(_0662_),
-    .X(_0666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2876_ (.A(_0666_),
-    .X(_0667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2877_ (.A(_0502_),
-    .X(_0668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2878_ (.A(_0612_),
-    .B(_0668_),
-    .X(_0669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2879_ (.A(\u_spim_regs.reg2spi_addr[31] ),
-    .Y(_0670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2880_ (.A(_0486_),
-    .B(_2573_),
-    .C(_0626_),
-    .D(_0488_),
-    .X(_0671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2881_ (.A(_0671_),
-    .X(_0672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2882_ (.A(_0672_),
-    .X(_0673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2883_ (.A(\u_spim_regs.reg2spi_wdata[31] ),
-    .Y(_0674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2884_ (.A(_2572_),
-    .B(_0487_),
-    .C(_0626_),
-    .D(_2570_),
-    .X(_0675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2885_ (.A(_0675_),
-    .X(_0676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2886_ (.A(_0676_),
-    .X(_0677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2887_ (.A1(_0670_),
-    .A2(_0673_),
-    .B1(_0674_),
-    .B2(_0677_),
-    .X(_0678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2888_ (.A(_0525_),
-    .X(_0679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2889_ (.A(_0486_),
-    .B(_0487_),
-    .C(_0626_),
-    .D(_0488_),
-    .X(_0680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2890_ (.A(_0680_),
-    .Y(_0681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2891_ (.A(_0681_),
-    .X(_0682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2892_ (.A(_0682_),
-    .X(_0683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _2893_ (.A1(\u_spim_regs.reg2spi_data_len[15] ),
-    .A2(_0679_),
-    .B1(\u_spim_regs.spim_reg_rdata[31] ),
-    .B2(_0683_),
-    .Y(_0684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2894_ (.A(_0669_),
-    .B(_0678_),
-    .C(_0684_),
-    .X(_0685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2895_ (.A(_0667_),
-    .B(_0685_),
-    .X(_0686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2896_ (.A(_0662_),
-    .X(_0687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2897_ (.A(_0687_),
-    .X(_0688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2898_ (.A(\u_spictrl.spi_rise ),
-    .Y(_0689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2899_ (.A(_0689_),
-    .X(_0690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2900_ (.A(_0690_),
-    .B(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .X(_0691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2901_ (.A(_0691_),
-    .X(_0692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2902_ (.A(_0692_),
-    .X(_0693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2903_ (.A(_0693_),
-    .X(_0694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2904_ (.A(_0694_),
-    .X(_0695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2905_ (.A(_0695_),
-    .X(_0696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2906_ (.A(\u_spim_regs.reg2spi_addr_len[2] ),
-    .B(\u_spim_regs.reg2spi_addr_len[1] ),
-    .C(\u_spim_regs.reg2spi_addr_len[0] ),
-    .X(_0697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2907_ (.A(psn_net_21),
-    .Y(_0698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2908_ (.A(_0698_),
-    .X(_0699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2909_ (.A(psn_net_19),
-    .X(_0700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2910_ (.A(\u_spim_regs.reg2spi_addr_len[4] ),
-    .B(_0700_),
-    .X(_0701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2911_ (.A(\u_spim_regs.reg2spi_addr_len[5] ),
-    .B(\u_spim_regs.reg2spi_addr_len[3] ),
-    .C(_0697_),
-    .D(_0701_),
-    .X(_0702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2912_ (.A(_0702_),
-    .X(_0703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2913_ (.A(_0700_),
-    .X(_0704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2914_ (.A(_0704_),
-    .X(_0705_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2915_ (.A(\u_spim_regs.reg2spi_mode_enb ),
-    .B(_0705_),
-    .X(_0706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2916_ (.A(_0706_),
-    .X(_0707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2917_ (.A(_0703_),
-    .B(_0707_),
-    .X(_0708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2918_ (.A(_0708_),
-    .X(_0709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2919_ (.A(\u_spim_regs.reg2spi_cmd_len[5] ),
-    .B(\u_spim_regs.reg2spi_cmd_len[4] ),
-    .C(\u_spim_regs.reg2spi_cmd_len[2] ),
-    .D(\u_spim_regs.reg2spi_cmd_len[0] ),
-    .X(_0710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2920_ (.A(psn_net_35),
-    .X(_0711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2921_ (.A(\u_spim_regs.reg2spi_cmd_len[1] ),
-    .B(_0711_),
-    .X(_0712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2922_ (.A(\u_spim_regs.reg2spi_cmd_len[3] ),
-    .B(_0700_),
-    .C(_0710_),
-    .D(_0712_),
-    .X(_0713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2923_ (.A(_0713_),
-    .X(_0714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2924_ (.A(_0709_),
-    .B(_0714_),
-    .X(_0715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2925_ (.A(_0715_),
-    .Y(_0716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2926_ (.A(_0705_),
-    .X(_0717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2927_ (.A(psn_net_33),
-    .B(\u_spim_regs.reg2spi_data_len[10] ),
-    .X(_0718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2928_ (.A(_0563_),
-    .B(psn_net_20),
-    .X(_0719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2929_ (.A(_0719_),
-    .Y(_0720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2930_ (.A(\u_spim_regs.reg2spi_data_len[5] ),
-    .B(_0700_),
-    .X(_0721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2931_ (.A(\u_spim_regs.reg2spi_data_len[6] ),
-    .B(\u_spim_regs.reg2spi_data_len[4] ),
-    .C(\u_spim_regs.reg2spi_data_len[3] ),
-    .D(\u_spim_regs.reg2spi_data_len[2] ),
-    .X(_0722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2932_ (.A(\u_spim_regs.reg2spi_data_len[0] ),
-    .B(\u_spim_regs.reg2spi_data_len[14] ),
-    .C(\u_spim_regs.reg2spi_data_len[12] ),
-    .D(\u_spim_regs.reg2spi_data_len[9] ),
-    .X(_0723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2933_ (.A(\u_spim_regs.reg2spi_data_len[7] ),
-    .B(psn_net_22),
-    .X(_0724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2934_ (.A(_0699_),
-    .B(_0542_),
-    .X(_0725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2935_ (.A(_0699_),
-    .B(_0558_),
-    .X(_0726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2936_ (.A(_0545_),
-    .B(psn_net_226),
-    .X(_0727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2937_ (.A(_0571_),
-    .B(psn_net_225),
-    .X(_0728_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2938_ (.A(_0726_),
-    .B(_0725_),
-    .C(_0727_),
-    .D(_0728_),
-    .X(_0729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2939_ (.A(_0729_),
-    .Y(_0730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2940_ (.A(_0722_),
-    .B(_0723_),
-    .C(_0724_),
-    .D(_0730_),
-    .X(_0731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2941_ (.A(_0721_),
-    .B(_0720_),
-    .C(_0731_),
-    .D(_0718_),
-    .X(_0732_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2942_ (.A(_0732_),
-    .Y(_0733_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2943_ (.A(_0733_),
-    .X(_0734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2944_ (.A(_0734_),
-    .X(_0735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2945_ (.A(_0518_),
-    .B(_0717_),
-    .C(_0735_),
-    .X(_0736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2946_ (.A(\u_spictrl.spi_fall ),
-    .Y(_0737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2947_ (.A(_0737_),
-    .B(_0659_),
-    .X(_0738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2948_ (.A(\u_spim_regs.reg2spi_qrd ),
-    .B(_0705_),
-    .C(\u_spim_regs.reg2spi_qwr ),
-    .X(_0739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2949_ (.A(_0739_),
-    .X(_0740_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2950_ (.A(_0714_),
-    .Y(_0741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2951_ (.A(\u_spim_regs.reg2spi_qrd ),
-    .B(_0704_),
-    .C(\u_spim_regs.reg2spi_rd ),
-    .X(_0742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2952_ (.A(_0742_),
-    .B(_0734_),
-    .X(_0743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2953_ (.A(_0743_),
-    .Y(_0744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2954_ (.A(_0708_),
-    .B(psn_net_12),
-    .X(_0745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2955_ (.A(_0740_),
-    .B(_0741_),
-    .C(_0745_),
-    .X(_0746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _2956_ (.A1(_0716_),
-    .A2(_0736_),
-    .B1(_0738_),
-    .C1(_0746_),
-    .X(_0747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2957_ (.A(\u_spictrl.spi_status[3] ),
-    .X(_0748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2958_ (.A(\u_spictrl.spi_status[4] ),
-    .X(_0749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2959_ (.A(\u_spictrl.spi_status[6] ),
-    .X(_0750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2960_ (.A(_0748_),
-    .B(_0749_),
-    .C(_0750_),
-    .D(\u_spictrl.spi_status[2] ),
-    .X(_0751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2961_ (.A(\u_spictrl.spi_status[1] ),
-    .X(_0752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2962_ (.A(\u_spictrl.spi_status[5] ),
-    .X(_0753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2963_ (.A(_0752_),
-    .B(\u_spictrl.spi_status[8] ),
-    .C(_0753_),
-    .D(\u_spictrl.spi_status[7] ),
-    .X(_0754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2964_ (.A(_0751_),
-    .B(_0754_),
-    .Y(_0755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2965_ (.A(\u_spictrl.spi_status[0] ),
-    .Y(_0756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2966_ (.A(_0756_),
-    .B(_0738_),
-    .X(_0757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2967_ (.A1(\u_spictrl.spi_status[0] ),
-    .A2(_0755_),
-    .B1(_0757_),
-    .X(_0758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2968_ (.A(\u_spictrl.do_rx ),
-    .B(psn_net_121),
-    .X(_0759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2969_ (.A(psn_net_134),
-    .Y(_0760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2970_ (.A(_0760_),
-    .X(_0761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2971_ (.A(_0737_),
-    .X(_0762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2972_ (.A(\u_spictrl.tx_done ),
-    .Y(_0763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2973_ (.A(_0762_),
-    .B(_0763_),
-    .X(_0764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2974_ (.A(_0764_),
-    .X(_0765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2975_ (.A(_0765_),
-    .Y(_0766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2976_ (.A(\u_spictrl.rx_done ),
-    .Y(_0767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2977_ (.A(_0689_),
-    .B(_0767_),
-    .X(_0768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2978_ (.A(_0768_),
-    .Y(_0769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2979_ (.A(\u_spictrl.spi_status[5] ),
-    .B(_0769_),
-    .X(_0770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _2980_ (.A1(\u_spictrl.spi_status[4] ),
-    .A2(_0766_),
-    .B1(_0770_),
-    .X(_0771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2981_ (.A(_0761_),
-    .B(_0771_),
-    .X(_0772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2982_ (.A(\u_spictrl.spi_status[8] ),
-    .B(\u_spictrl.spi_status[3] ),
-    .C(\u_spictrl.spi_status[7] ),
-    .D(\u_spictrl.spi_status[6] ),
-    .X(_0773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2983_ (.A(\u_spictrl.spi_status[1] ),
-    .Y(_0774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2984_ (.A(\u_spictrl.spi_status[2] ),
-    .Y(_0775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2985_ (.A1(_0774_),
-    .A2(_0702_),
-    .B1(_0775_),
-    .X(_0776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2986_ (.A(\u_spictrl.do_rx ),
-    .X(_0777_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2987_ (.A(_0777_),
-    .Y(_0778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2988_ (.A(_0778_),
-    .B(_0764_),
-    .X(_0779_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2989_ (.A(_0779_),
-    .B(psn_net_120),
-    .X(_0780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2990_ (.A(\u_spim_regs.reg2spi_mode_enb ),
-    .B(_0717_),
-    .C(_0780_),
-    .D(_0776_),
-    .X(_0781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2991_ (.A(_0781_),
-    .Y(_0782_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2992_ (.A(_0782_),
-    .B(_0773_),
-    .C(_0772_),
-    .X(_0783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2993_ (.A(\u_spictrl.spi_status[5] ),
-    .Y(_0784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2994_ (.A(psn_net_132),
-    .B(_0769_),
-    .X(_0785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2995_ (.A(_0749_),
-    .Y(_0786_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2996_ (.A(_0761_),
-    .B(_0766_),
-    .X(_0787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2997_ (.A1(_0784_),
-    .A2(_0785_),
-    .B1(_0786_),
-    .B2(_0787_),
-    .X(_0788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2998_ (.A(_0788_),
-    .Y(_0789_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2999_ (.A(_0703_),
-    .X(_0790_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3000_ (.A(\u_spim_regs.reg2spi_mode_enb ),
-    .Y(_0791_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3001_ (.A1(_0791_),
-    .A2(_0739_),
-    .B1(_0707_),
-    .B2(_0761_),
-    .X(_0792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3002_ (.A(_0774_),
-    .B(_0764_),
-    .X(_0793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3003_ (.A(_0793_),
-    .Y(_0794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3004_ (.A1(_0790_),
-    .A2(_0792_),
-    .B1(_0794_),
-    .X(_0795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3005_ (.A(_0765_),
-    .X(_0796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3006_ (.A(_0707_),
-    .B(_0735_),
-    .X(_0797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3007_ (.A(_0797_),
-    .X(_0798_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3008_ (.A1(_0791_),
-    .A2(_0740_),
-    .B1(_0778_),
-    .B2(_0798_),
-    .X(_0799_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3009_ (.A(\u_spictrl.spi_status[2] ),
-    .X(_0800_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3010_ (.A1(_0796_),
-    .A2(_0799_),
-    .B1(_0800_),
-    .X(_0801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3011_ (.A(\u_spictrl.en_quad_int ),
-    .B(_0740_),
-    .X(_0802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_4 _3012_ (.A1(_0801_),
-    .A2(_0789_),
-    .A3(_0795_),
-    .A4(_0783_),
-    .B1(_0802_),
-    .X(_0803_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3013_ (.A1(\u_spictrl.spi_status[0] ),
-    .A2(_0747_),
-    .B1(_0758_),
-    .C1(_0803_),
-    .X(_0804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3014_ (.A(_0804_),
-    .Y(_0805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3015_ (.A(_0805_),
-    .X(_0806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3016_ (.A(_0806_),
-    .X(_0807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3017_ (.A(_0804_),
-    .X(_0808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3018_ (.A(psn_net_148),
-    .X(_0809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3019_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
-    .A2(_0807_),
-    .B1(\u_spictrl.u_rxreg.data_int[6] ),
-    .B2(_0809_),
-    .X(_0810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3020_ (.A(_0692_),
-    .Y(_0811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3021_ (.A(_0811_),
-    .X(_0812_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3022_ (.A(_0812_),
-    .X(_0813_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3023_ (.A1(_0696_),
-    .A2(_0810_),
-    .B1(\u_spictrl.u_rxreg.data_int[7] ),
-    .B2(_0813_),
-    .X(_0066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3024_ (.A(_0066_),
-    .B(_0688_),
-    .Y(_0814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3025_ (.A(wbd_dat_o[31]),
-    .Y(_0815_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3026_ (.A(_0663_),
-    .Y(_0816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3027_ (.A(_0816_),
-    .X(_0817_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3028_ (.A(_0817_),
-    .X(_0818_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3029_ (.A1(_0814_),
-    .A2(_0665_),
-    .A3(_0686_),
-    .B1(_0815_),
-    .B2(_0818_),
-    .X(_0819_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3030_ (.A(_0819_),
-    .Y(_0386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3031_ (.A(_0662_),
-    .X(_0820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3032_ (.A(_0820_),
-    .X(_0821_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3033_ (.A(_0615_),
-    .B(_0668_),
-    .X(_0822_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3034_ (.A(\u_spim_regs.reg2spi_addr[30] ),
-    .Y(_0823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3035_ (.A(_0672_),
-    .X(_0824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3036_ (.A(\u_spim_regs.reg2spi_wdata[30] ),
-    .Y(_0825_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3037_ (.A(_0676_),
-    .X(_0826_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3038_ (.A1(_0823_),
-    .A2(_0824_),
-    .B1(_0825_),
-    .B2(_0826_),
-    .X(_0827_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3039_ (.A(_0525_),
-    .X(_0828_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3040_ (.A1(\u_spim_regs.reg2spi_data_len[14] ),
-    .A2(_0828_),
-    .B1(\u_spim_regs.spim_reg_rdata[30] ),
-    .B2(_0683_),
-    .Y(_0829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3041_ (.A(_0822_),
-    .B(_0827_),
-    .C(_0829_),
-    .X(_0830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3042_ (.A(_0821_),
-    .B(_0830_),
-    .X(_0831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3043_ (.A1(\u_spictrl.u_rxreg.data_int[2] ),
-    .A2(_0807_),
-    .B1(\u_spictrl.u_rxreg.data_int[5] ),
-    .B2(_0809_),
-    .X(_0832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3044_ (.A1(_0696_),
-    .A2(_0832_),
-    .B1(\u_spictrl.u_rxreg.data_int[6] ),
-    .B2(_0813_),
-    .X(_0065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3045_ (.A(_0065_),
-    .B(_0688_),
-    .Y(_0833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3046_ (.A(wbd_dat_o[30]),
-    .Y(_0834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3047_ (.A1(_0833_),
-    .A2(_0665_),
-    .A3(_0831_),
-    .B1(_0834_),
-    .B2(_0818_),
-    .X(_0835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3048_ (.A(_0835_),
-    .Y(_0385_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3049_ (.A(_0663_),
-    .X(_0836_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3050_ (.A(_0836_),
-    .X(_0837_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3051_ (.A(_0616_),
-    .B(_0668_),
-    .X(_0838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3052_ (.A(\u_spim_regs.reg2spi_addr[29] ),
-    .Y(_0839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3053_ (.A(\u_spim_regs.reg2spi_wdata[29] ),
-    .Y(_0840_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3054_ (.A1(_0839_),
-    .A2(_0824_),
-    .B1(_0840_),
-    .B2(_0826_),
-    .X(_0841_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3055_ (.A1(\u_spim_regs.reg2spi_data_len[13] ),
-    .A2(_0828_),
-    .B1(\u_spim_regs.spim_reg_rdata[29] ),
-    .B2(_0683_),
-    .Y(_0842_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3056_ (.A(_0838_),
-    .B(_0841_),
-    .C(_0842_),
-    .X(_0843_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3057_ (.A(_0821_),
-    .B(_0843_),
-    .X(_0844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3058_ (.A(_0694_),
-    .X(_0845_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3059_ (.A(_0845_),
-    .X(_0846_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3060_ (.A1(\u_spictrl.u_rxreg.data_int[1] ),
-    .A2(_0807_),
-    .B1(\u_spictrl.u_rxreg.data_int[4] ),
-    .B2(_0809_),
-    .X(_0847_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3061_ (.A1(_0846_),
-    .A2(_0847_),
-    .B1(\u_spictrl.u_rxreg.data_int[5] ),
-    .B2(_0813_),
-    .X(_0064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3062_ (.A(_0064_),
-    .B(_0688_),
-    .Y(_0848_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3063_ (.A(wbd_dat_o[29]),
-    .Y(_0849_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3064_ (.A(_0816_),
-    .X(_0850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3065_ (.A(_0850_),
-    .X(_0851_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3066_ (.A1(_0848_),
-    .A2(_0837_),
-    .A3(_0844_),
-    .B1(_0849_),
-    .B2(_0851_),
-    .X(_0852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3067_ (.A(_0852_),
-    .Y(_0384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3068_ (.A(_0490_),
-    .X(_0853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3069_ (.A(_0618_),
-    .B(_0853_),
-    .X(_0854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3070_ (.A(\u_spim_regs.reg2spi_addr[28] ),
-    .Y(_0855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3071_ (.A(\u_spim_regs.reg2spi_wdata[28] ),
-    .Y(_0856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3072_ (.A1(_0855_),
-    .A2(_0824_),
-    .B1(_0856_),
-    .B2(_0826_),
-    .X(_0857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3073_ (.A1(\u_spim_regs.reg2spi_data_len[12] ),
-    .A2(_0828_),
-    .B1(\u_spim_regs.spim_reg_rdata[28] ),
-    .B2(_0683_),
-    .Y(_0858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3074_ (.A(_0854_),
-    .B(_0857_),
-    .C(_0858_),
-    .X(_0859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3075_ (.A(_0821_),
-    .B(_0859_),
-    .X(_0860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3076_ (.A(_0666_),
-    .X(_0861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3077_ (.A(_0805_),
-    .X(_0862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3078_ (.A(psn_net_161),
-    .X(_0863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3079_ (.A1(\u_spictrl.u_rxreg.data_int[0] ),
-    .A2(_0863_),
-    .B1(\u_spictrl.u_rxreg.data_int[3] ),
-    .B2(_0809_),
-    .X(_0864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3080_ (.A(_0811_),
-    .X(_0865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3081_ (.A(_0865_),
-    .X(_0866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3082_ (.A1(_0846_),
-    .A2(_0864_),
-    .B1(\u_spictrl.u_rxreg.data_int[4] ),
-    .B2(_0866_),
-    .X(_0063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3083_ (.A(_0063_),
-    .B(_0861_),
-    .Y(_0867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3084_ (.A(wbd_dat_o[28]),
-    .Y(_0868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3085_ (.A1(_0867_),
-    .A2(_0837_),
-    .A3(_0860_),
-    .B1(_0868_),
-    .B2(_0851_),
-    .X(_0869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3086_ (.A(_0869_),
-    .Y(_0383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3087_ (.A(_0619_),
-    .B(_0853_),
-    .X(_0870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3088_ (.A(\u_spim_regs.reg2spi_addr[27] ),
-    .Y(_0871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3089_ (.A(\u_spim_regs.reg2spi_wdata[27] ),
-    .Y(_0872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3090_ (.A1(_0871_),
-    .A2(_0824_),
-    .B1(_0872_),
-    .B2(_0826_),
-    .X(_0873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3091_ (.A(_0682_),
-    .X(_0874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3092_ (.A1(\u_spim_regs.reg2spi_data_len[11] ),
-    .A2(_0828_),
-    .B1(\u_spim_regs.spim_reg_rdata[27] ),
-    .B2(_0874_),
-    .Y(_0875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3093_ (.A(_0870_),
-    .B(_0873_),
-    .C(_0875_),
-    .X(_0876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3094_ (.A(_0821_),
-    .B(_0876_),
-    .X(_0877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3095_ (.A(_0804_),
-    .X(_0878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3096_ (.A(psn_net_115),
-    .X(_0879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3097_ (.A1(io_in[5]),
-    .A2(_0863_),
-    .B1(\u_spictrl.u_rxreg.data_int[2] ),
-    .B2(_0879_),
-    .X(_0880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3098_ (.A1(_0846_),
-    .A2(_0880_),
-    .B1(\u_spictrl.u_rxreg.data_int[3] ),
-    .B2(_0866_),
-    .X(_0062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3099_ (.A(_0062_),
-    .B(_0861_),
-    .Y(_0881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3100_ (.A(wbd_dat_o[27]),
-    .Y(_0882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3101_ (.A1(_0881_),
-    .A2(_0837_),
-    .A3(_0877_),
-    .B1(_0882_),
-    .B2(_0851_),
-    .X(_0883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3102_ (.A(_0883_),
-    .Y(_0382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3103_ (.A(_0820_),
-    .X(_0884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3104_ (.A(_0621_),
-    .B(_0853_),
-    .X(_0885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3105_ (.A(\u_spim_regs.reg2spi_addr[26] ),
-    .Y(_0886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3106_ (.A(_0672_),
-    .X(_0887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3107_ (.A(\u_spim_regs.reg2spi_wdata[26] ),
-    .Y(_0888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3108_ (.A(_0676_),
-    .X(_0889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3109_ (.A1(_0886_),
-    .A2(_0887_),
-    .B1(_0888_),
-    .B2(_0889_),
-    .X(_0890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3110_ (.A(_0524_),
-    .X(_0891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3111_ (.A1(\u_spim_regs.reg2spi_data_len[10] ),
-    .A2(_0891_),
-    .B1(\u_spim_regs.spim_reg_rdata[26] ),
-    .B2(_0874_),
-    .Y(_0892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3112_ (.A(_0885_),
-    .B(_0890_),
-    .C(_0892_),
-    .X(_0893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3113_ (.A(_0884_),
-    .B(_0893_),
-    .X(_0894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3114_ (.A1(io_in[4]),
-    .A2(_0863_),
-    .B1(\u_spictrl.u_rxreg.data_int[1] ),
-    .B2(_0879_),
-    .X(_0895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3115_ (.A1(_0846_),
-    .A2(_0895_),
-    .B1(\u_spictrl.u_rxreg.data_int[2] ),
-    .B2(_0866_),
-    .X(_0061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3116_ (.A(_0061_),
-    .B(_0861_),
-    .Y(_0896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3117_ (.A(wbd_dat_o[26]),
-    .Y(_0897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3118_ (.A1(_0896_),
-    .A2(_0837_),
-    .A3(_0894_),
-    .B1(_0897_),
-    .B2(_0851_),
-    .X(_0898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3119_ (.A(_0898_),
-    .Y(_0381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3120_ (.A(_0836_),
-    .X(_0899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3121_ (.A(_0622_),
-    .B(_0853_),
-    .X(_0900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3122_ (.A(\u_spim_regs.reg2spi_addr[25] ),
-    .Y(_0901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3123_ (.A(\u_spim_regs.reg2spi_wdata[25] ),
-    .Y(_0902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3124_ (.A1(_0901_),
-    .A2(_0887_),
-    .B1(_0902_),
-    .B2(_0889_),
-    .X(_0903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3125_ (.A1(\u_spim_regs.reg2spi_data_len[9] ),
-    .A2(_0891_),
-    .B1(\u_spim_regs.spim_reg_rdata[25] ),
-    .B2(_0874_),
-    .Y(_0904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3126_ (.A(_0900_),
-    .B(_0903_),
-    .C(_0904_),
-    .X(_0905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3127_ (.A(_0884_),
-    .B(_0905_),
-    .X(_0906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3128_ (.A(_0845_),
-    .X(_0907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3129_ (.A1(io_in[3]),
-    .A2(_0863_),
-    .B1(\u_spictrl.u_rxreg.data_int[0] ),
-    .B2(_0879_),
-    .X(_0908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3130_ (.A1(_0907_),
-    .A2(_0908_),
-    .B1(\u_spictrl.u_rxreg.data_int[1] ),
-    .B2(_0866_),
-    .X(_0060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3131_ (.A(_0060_),
-    .B(_0861_),
+ sky130_fd_sc_hd__inv_2 _4499_ (.A(\u_spim_regs.spi_init_done ),
     .Y(_0909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3132_ (.A(wbd_dat_o[25]),
-    .Y(_0910_),
+ sky130_fd_sc_hd__buf_2 _4500_ (.A(_0909_),
+    .X(_0910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3133_ (.A(_0850_),
-    .X(_0911_),
+ sky130_fd_sc_hd__inv_2 _4501_ (.A(spi_debug[10]),
+    .Y(_0911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3134_ (.A1(_0909_),
-    .A2(_0899_),
-    .A3(_0906_),
-    .B1(_0910_),
-    .B2(_0911_),
-    .X(_0912_),
+ sky130_fd_sc_hd__inv_2 _4502_ (.A(spi_debug[9]),
+    .Y(_0912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3135_ (.A(_0912_),
-    .Y(_0380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3136_ (.A(_0490_),
+ sky130_fd_sc_hd__or3_4 _4503_ (.A(_0911_),
+    .B(_0912_),
+    .C(spi_debug[11]),
     .X(_0913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3137_ (.A(_0624_),
-    .B(_0913_),
-    .X(_0914_),
+ sky130_fd_sc_hd__inv_2 _4504_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
+    .Y(_0914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3138_ (.A(\u_spim_regs.reg2spi_addr[24] ),
+ sky130_fd_sc_hd__inv_2 _4505_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
     .Y(_0915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3139_ (.A(\u_spim_regs.reg2spi_wdata[24] ),
-    .Y(_0916_),
+ sky130_fd_sc_hd__o22a_4 _4506_ (.A1(\u_m1_res_fifo.wr_ptr[0] ),
+    .A2(\u_m1_res_fifo.rd_ptr[0] ),
+    .B1(_0914_),
+    .B2(_0915_),
+    .X(_0916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3140_ (.A1(_0915_),
-    .A2(_0887_),
-    .B1(_0916_),
-    .B2(_0889_),
-    .X(_0917_),
+ sky130_fd_sc_hd__inv_2 _4507_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+    .Y(_0917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3141_ (.A1(\u_spim_regs.reg2spi_data_len[8] ),
-    .A2(_0891_),
-    .B1(\u_spim_regs.spim_reg_rdata[24] ),
-    .B2(_0874_),
+ sky130_fd_sc_hd__inv_2 _4508_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
     .Y(_0918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3142_ (.A(_0914_),
-    .B(_0917_),
-    .C(_0918_),
+ sky130_fd_sc_hd__o22a_4 _4509_ (.A1(_0917_),
+    .A2(\u_m1_res_fifo.rd_ptr[1] ),
+    .B1(\u_m1_res_fifo.wr_ptr[1] ),
+    .B2(_0918_),
     .X(_0919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3143_ (.A(_0884_),
-    .B(_0919_),
-    .X(_0920_),
+ sky130_fd_sc_hd__inv_2 _4510_ (.A(_0919_),
+    .Y(_0920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3144_ (.A(_0666_),
+ sky130_fd_sc_hd__or2_4 _4511_ (.A(_0916_),
+    .B(_0920_),
     .X(_0921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3145_ (.A(psn_net_161),
-    .X(_0922_),
+ sky130_fd_sc_hd__inv_2 _4512_ (.A(_0921_),
+    .Y(spi_debug[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3146_ (.A1(io_in[2]),
-    .A2(_0922_),
-    .B1(io_in[3]),
-    .B2(_0879_),
-    .X(_0923_),
+ sky130_fd_sc_hd__inv_2 _4513_ (.A(\u_wb_if.spim_wb_req ),
+    .Y(_0922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3147_ (.A(_0865_),
-    .X(_0924_),
+ sky130_fd_sc_hd__inv_2 _4514_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .Y(_0923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3148_ (.A1(_0907_),
-    .A2(_0923_),
-    .B1(\u_spictrl.u_rxreg.data_int[0] ),
-    .B2(_0924_),
-    .X(_0059_),
+ sky130_fd_sc_hd__inv_2 _4515_ (.A(\u_spim_regs.spim_reg_addr[1] ),
+    .Y(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3149_ (.A(_0059_),
-    .B(_0921_),
+ sky130_fd_sc_hd__inv_2 _4516_ (.A(\u_spim_regs.spim_reg_addr[0] ),
     .Y(_0925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3150_ (.A(wbd_dat_o[24]),
-    .Y(_0926_),
+ sky130_fd_sc_hd__buf_2 _4517_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .X(_0926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3151_ (.A1(_0925_),
-    .A2(_0899_),
-    .A3(_0920_),
-    .B1(_0926_),
-    .B2(_0911_),
-    .X(_0927_),
+ sky130_fd_sc_hd__inv_2 _4518_ (.A(\u_spim_regs.spim_reg_addr[2] ),
+    .Y(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3152_ (.A(_0927_),
-    .Y(_0379_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3153_ (.A(_0595_),
-    .B(_0913_),
+ sky130_fd_sc_hd__or4_4 _4519_ (.A(_0924_),
+    .B(_0925_),
+    .C(_0926_),
+    .D(_0927_),
     .X(_0928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3154_ (.A(\u_spim_regs.reg2spi_addr[23] ),
-    .Y(_0929_),
+ sky130_fd_sc_hd__or4_4 _4520_ (.A(_0922_),
+    .B(_0923_),
+    .C(\u_spim_regs.spim_reg_we ),
+    .D(_0928_),
+    .X(_0929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3155_ (.A(\u_spim_regs.reg2spi_wdata[23] ),
-    .Y(_0930_),
+ sky130_fd_sc_hd__or2_4 _4521_ (.A(spi_debug[21]),
+    .B(_0929_),
+    .X(_0930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3156_ (.A1(_0929_),
-    .A2(_0887_),
-    .B1(_0930_),
-    .B2(_0889_),
+ sky130_fd_sc_hd__or2_4 _4522_ (.A(_0913_),
+    .B(_0930_),
     .X(_0931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3157_ (.A(_0682_),
-    .X(_0932_),
+ sky130_fd_sc_hd__inv_2 _4523_ (.A(_0931_),
+    .Y(_0932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3158_ (.A1(\u_spim_regs.reg2spi_data_len[7] ),
-    .A2(_0891_),
-    .B1(\u_spim_regs.spim_reg_rdata[23] ),
-    .B2(_0932_),
-    .Y(_0933_),
+ sky130_fd_sc_hd__buf_2 _4524_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .X(_0933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3159_ (.A(_0928_),
-    .B(_0931_),
-    .C(_0933_),
-    .X(_0934_),
+ sky130_fd_sc_hd__inv_2 _4525_ (.A(\u_spim_regs.spim_reg_we ),
+    .Y(_0934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3160_ (.A(_0884_),
-    .B(_0934_),
+ sky130_fd_sc_hd__buf_2 _4526_ (.A(_0934_),
     .X(_0935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3161_ (.A(psn_net_115),
+ sky130_fd_sc_hd__and4_4 _4527_ (.A(\u_wb_if.spim_wb_req ),
+    .B(_0933_),
+    .C(_0935_),
+    .D(_0928_),
     .X(_0936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3162_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
-    .A2(_0922_),
-    .B1(\u_spictrl.u_rxreg.data_int[14] ),
-    .B2(_0936_),
-    .X(_0937_),
+ sky130_fd_sc_hd__nor2_4 _4528_ (.A(_0932_),
+    .B(_0936_),
+    .Y(_0937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3163_ (.A1(_0907_),
-    .A2(_0937_),
-    .B1(\u_spictrl.u_rxreg.data_int[15] ),
-    .B2(_0924_),
-    .X(_0074_),
+ sky130_fd_sc_hd__or3_4 _4529_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_0910_),
+    .C(_0937_),
+    .X(_0938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3164_ (.A(_0074_),
-    .B(_0921_),
-    .Y(_0938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3165_ (.A(wbd_dat_o[23]),
+ sky130_fd_sc_hd__inv_2 _4530_ (.A(_0938_),
     .Y(_0939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3166_ (.A1(_0938_),
-    .A2(_0899_),
-    .A3(_0935_),
-    .B1(_0939_),
-    .B2(_0911_),
+ sky130_fd_sc_hd__buf_2 _4531_ (.A(_0939_),
     .X(_0940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3167_ (.A(_0940_),
-    .Y(_0378_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3168_ (.A(_0820_),
+ sky130_fd_sc_hd__buf_2 _4532_ (.A(_0940_),
     .X(_0941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3169_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[6] ),
-    .B(_0504_),
+ sky130_fd_sc_hd__inv_2 _4533_ (.A(_0930_),
     .Y(_0942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3170_ (.A(\u_spim_regs.reg2spi_addr[22] ),
-    .Y(_0943_),
+ sky130_fd_sc_hd__buf_2 _4534_ (.A(_0942_),
+    .X(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3171_ (.A(_0672_),
+ sky130_fd_sc_hd__buf_2 _4535_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
     .X(_0944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3172_ (.A(\u_spim_regs.reg2spi_wdata[22] ),
-    .Y(_0945_),
+ sky130_fd_sc_hd__buf_2 _4536_ (.A(_0944_),
+    .X(_0945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3173_ (.A(_0676_),
+ sky130_fd_sc_hd__buf_2 _4537_ (.A(_0915_),
     .X(_0946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3174_ (.A1(_0943_),
-    .A2(_0944_),
-    .B1(_0945_),
-    .B2(_0946_),
+ sky130_fd_sc_hd__buf_2 _4538_ (.A(_0946_),
     .X(_0947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3175_ (.A(_0524_),
+ sky130_fd_sc_hd__buf_2 _4539_ (.A(_0947_),
     .X(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3176_ (.A1(_0531_),
-    .A2(_0948_),
-    .B1(\u_spim_regs.spim_reg_rdata[22] ),
-    .B2(_0932_),
-    .Y(_0949_),
+ sky130_fd_sc_hd__o22a_4 _4540_ (.A1(_0945_),
+    .A2(\u_m1_res_fifo.mem[0][30] ),
+    .B1(_0948_),
+    .B2(\u_m1_res_fifo.mem[1][30] ),
+    .X(_0949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3177_ (.A(_0942_),
-    .B(_0947_),
-    .C(_0949_),
+ sky130_fd_sc_hd__buf_2 _4541_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
     .X(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3178_ (.A(_0941_),
-    .B(_0950_),
+ sky130_fd_sc_hd__buf_2 _4542_ (.A(\u_spim_regs.spim_reg_addr[1] ),
     .X(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3179_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
-    .A2(_0922_),
-    .B1(\u_spictrl.u_rxreg.data_int[13] ),
-    .B2(_0936_),
+ sky130_fd_sc_hd__buf_2 _4543_ (.A(\u_spim_regs.spim_reg_addr[0] ),
     .X(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3180_ (.A1(_0907_),
-    .A2(_0952_),
-    .B1(\u_spictrl.u_rxreg.data_int[14] ),
-    .B2(_0924_),
-    .X(_0073_),
+ sky130_fd_sc_hd__or4_4 _4544_ (.A(_0926_),
+    .B(_0927_),
+    .C(_0951_),
+    .D(_0952_),
+    .X(_0953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3181_ (.A(_0073_),
-    .B(_0921_),
-    .Y(_0953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3182_ (.A(wbd_dat_o[22]),
+ sky130_fd_sc_hd__inv_2 _4545_ (.A(_0953_),
     .Y(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3183_ (.A1(_0953_),
-    .A2(_0899_),
-    .A3(_0951_),
-    .B1(_0954_),
-    .B2(_0911_),
+ sky130_fd_sc_hd__buf_2 _4546_ (.A(_0954_),
     .X(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3184_ (.A(_0955_),
-    .Y(_0377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3185_ (.A(_0836_),
+ sky130_fd_sc_hd__buf_2 _4547_ (.A(_0955_),
     .X(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3186_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[5] ),
-    .B(_0503_),
-    .Y(_0957_),
+ sky130_fd_sc_hd__and2_4 _4548_ (.A(_0950_),
+    .B(_0956_),
+    .X(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3187_ (.A(\u_spim_regs.reg2spi_addr[21] ),
-    .Y(_0958_),
+ sky130_fd_sc_hd__buf_2 _4549_ (.A(_0926_),
+    .X(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3188_ (.A(\u_spim_regs.reg2spi_wdata[21] ),
-    .Y(_0959_),
+ sky130_fd_sc_hd__or4_4 _4550_ (.A(_0958_),
+    .B(_0927_),
+    .C(_0951_),
+    .D(_0925_),
+    .X(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3189_ (.A1(_0958_),
-    .A2(_0944_),
-    .B1(_0959_),
-    .B2(_0946_),
-    .X(_0960_),
+ sky130_fd_sc_hd__inv_2 _4551_ (.A(_0959_),
+    .Y(_0960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3190_ (.A1(\u_spim_regs.reg2spi_data_len[5] ),
-    .A2(_0948_),
-    .B1(\u_spim_regs.spim_reg_rdata[21] ),
-    .B2(_0932_),
-    .Y(_0961_),
+ sky130_fd_sc_hd__buf_2 _4552_ (.A(_0960_),
+    .X(_0961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3191_ (.A(_0957_),
-    .B(_0960_),
-    .C(_0961_),
+ sky130_fd_sc_hd__buf_2 _4553_ (.A(_0961_),
     .X(_0962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3192_ (.A(_0941_),
+ sky130_fd_sc_hd__and2_4 _4554_ (.A(\u_spim_regs.cfg_m1_addr[30] ),
     .B(_0962_),
     .X(_0963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3193_ (.A(_0845_),
+ sky130_fd_sc_hd__buf_2 _4555_ (.A(\u_spim_regs.spim_reg_addr[2] ),
     .X(_0964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3194_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
-    .A2(_0922_),
-    .B1(\u_spictrl.u_rxreg.data_int[12] ),
-    .B2(_0936_),
+ sky130_fd_sc_hd__or4_4 _4556_ (.A(_0924_),
+    .B(_0952_),
+    .C(_0958_),
+    .D(_0964_),
     .X(_0965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3195_ (.A1(_0965_),
-    .A2(_0964_),
-    .B1(\u_spictrl.u_rxreg.data_int[13] ),
-    .B2(_0924_),
-    .X(_0072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3196_ (.A(_0072_),
-    .B(_0921_),
+ sky130_fd_sc_hd__inv_2 _4557_ (.A(_0965_),
     .Y(_0966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3197_ (.A(wbd_dat_o[21]),
-    .Y(_0967_),
+ sky130_fd_sc_hd__buf_2 _4558_ (.A(_0966_),
+    .X(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3198_ (.A(_0850_),
+ sky130_fd_sc_hd__and2_4 _4559_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .B(_0967_),
     .X(_0968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3199_ (.A1(_0966_),
-    .A2(_0956_),
-    .A3(_0963_),
-    .B1(_0967_),
-    .B2(_0968_),
+ sky130_fd_sc_hd__a2111o_4 _4560_ (.A1(_0943_),
+    .A2(_0949_),
+    .B1(_0957_),
+    .C1(_0963_),
+    .D1(_0968_),
     .X(_0969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3200_ (.A(_0969_),
-    .Y(_0376_),
+ sky130_fd_sc_hd__a2bb2o_4 _4561_ (.A1_N(_0908_),
+    .A2_N(_0941_),
+    .B1(_0941_),
+    .B2(_0969_),
+    .X(_0906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3201_ (.A(_0603_),
-    .B(_0913_),
-    .X(_0970_),
+ sky130_fd_sc_hd__inv_2 _4562_ (.A(\u_spim_regs.spim_reg_rdata[29] ),
+    .Y(_0970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3202_ (.A(\u_spim_regs.reg2spi_addr[20] ),
-    .Y(_0971_),
+ sky130_fd_sc_hd__buf_2 _4563_ (.A(_0946_),
+    .X(_0971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3203_ (.A(\u_spim_regs.reg2spi_wdata[20] ),
-    .Y(_0972_),
+ sky130_fd_sc_hd__buf_2 _4564_ (.A(_0971_),
+    .X(_0972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3204_ (.A1(_0971_),
-    .A2(_0944_),
+ sky130_fd_sc_hd__o22a_4 _4565_ (.A1(_0945_),
+    .A2(\u_m1_res_fifo.mem[0][29] ),
     .B1(_0972_),
-    .B2(_0946_),
+    .B2(\u_m1_res_fifo.mem[1][29] ),
     .X(_0973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3205_ (.A1(\u_spim_regs.reg2spi_data_len[4] ),
-    .A2(_0948_),
-    .B1(\u_spim_regs.spim_reg_rdata[20] ),
-    .B2(_0932_),
-    .Y(_0974_),
+ sky130_fd_sc_hd__buf_2 _4566_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .X(_0974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3206_ (.A(_0970_),
-    .B(_0973_),
-    .C(_0974_),
+ sky130_fd_sc_hd__and2_4 _4567_ (.A(_0974_),
+    .B(_0956_),
     .X(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3207_ (.A(_0941_),
-    .B(_0975_),
+ sky130_fd_sc_hd__and2_4 _4568_ (.A(\u_spim_regs.cfg_m1_addr[29] ),
+    .B(_0962_),
     .X(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3208_ (.A(_0666_),
+ sky130_fd_sc_hd__and2_4 _4569_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .B(_0967_),
     .X(_0977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3209_ (.A(psn_net_161),
+ sky130_fd_sc_hd__a2111o_4 _4570_ (.A1(_0943_),
+    .A2(_0973_),
+    .B1(_0975_),
+    .C1(_0976_),
+    .D1(_0977_),
     .X(_0978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3210_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
-    .A2(_0978_),
-    .B1(\u_spictrl.u_rxreg.data_int[11] ),
-    .B2(_0936_),
-    .X(_0979_),
+ sky130_fd_sc_hd__a2bb2o_4 _4571_ (.A1_N(_0970_),
+    .A2_N(_0941_),
+    .B1(_0941_),
+    .B2(_0978_),
+    .X(_0905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3211_ (.A(_0865_),
+ sky130_fd_sc_hd__inv_2 _4572_ (.A(\u_spim_regs.spim_reg_rdata[28] ),
+    .Y(_0979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4573_ (.A(_0939_),
     .X(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3212_ (.A1(_0979_),
-    .A2(_0964_),
-    .B1(\u_spictrl.u_rxreg.data_int[12] ),
-    .B2(_0980_),
-    .X(_0071_),
+ sky130_fd_sc_hd__buf_2 _4574_ (.A(_0938_),
+    .X(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3213_ (.A(_0071_),
-    .B(_0977_),
-    .Y(_0981_),
+ sky130_fd_sc_hd__buf_2 _4575_ (.A(_0961_),
+    .X(_0982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3214_ (.A(wbd_dat_o[20]),
-    .Y(_0982_),
+ sky130_fd_sc_hd__nand2_4 _4576_ (.A(\u_spim_regs.cfg_m1_addr[28] ),
+    .B(_0982_),
+    .Y(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3215_ (.A1(_0981_),
-    .A2(_0956_),
-    .A3(_0976_),
-    .B1(_0982_),
-    .B2(_0968_),
-    .X(_0983_),
+ sky130_fd_sc_hd__buf_2 _4577_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .X(_0984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3216_ (.A(_0983_),
-    .Y(_0375_),
+ sky130_fd_sc_hd__buf_2 _4578_ (.A(_0955_),
+    .X(_0985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3217_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[3] ),
-    .B(_0503_),
-    .Y(_0984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3218_ (.A(\u_spim_regs.reg2spi_addr[19] ),
-    .Y(_0985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3219_ (.A(\u_spim_regs.reg2spi_wdata[19] ),
+ sky130_fd_sc_hd__a22oi_4 _4579_ (.A1(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .A2(_0966_),
+    .B1(_0984_),
+    .B2(_0985_),
     .Y(_0986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3220_ (.A1(_0985_),
-    .A2(_0944_),
-    .B1(_0986_),
-    .B2(_0946_),
+ sky130_fd_sc_hd__buf_2 _4580_ (.A(_0951_),
     .X(_0987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3221_ (.A(_0682_),
+ sky130_fd_sc_hd__buf_2 _4581_ (.A(_0952_),
     .X(_0988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3222_ (.A1(_0538_),
-    .A2(_0948_),
-    .B1(\u_spim_regs.spim_reg_rdata[19] ),
-    .B2(_0988_),
+ sky130_fd_sc_hd__inv_2 _4582_ (.A(_0958_),
     .Y(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3223_ (.A(_0984_),
-    .B(_0987_),
-    .C(_0989_),
+ sky130_fd_sc_hd__buf_2 _4583_ (.A(_0964_),
     .X(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3224_ (.A(_0941_),
-    .B(_0990_),
+ sky130_fd_sc_hd__or4_4 _4584_ (.A(_0987_),
+    .B(_0988_),
+    .C(_0989_),
+    .D(_0990_),
     .X(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3225_ (.A(psn_net_115),
+ sky130_fd_sc_hd__buf_2 _4585_ (.A(_0991_),
     .X(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3226_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
-    .A2(_0978_),
-    .B1(\u_spictrl.u_rxreg.data_int[10] ),
-    .B2(_0992_),
-    .X(_0993_),
+ sky130_fd_sc_hd__inv_2 _4586_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .Y(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3227_ (.A1(_0993_),
-    .A2(_0964_),
-    .B1(\u_spictrl.u_rxreg.data_int[11] ),
-    .B2(_0980_),
-    .X(_0070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3228_ (.A(_0070_),
-    .B(_0977_),
+ sky130_fd_sc_hd__inv_2 _4587_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
     .Y(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3229_ (.A(wbd_dat_o[19]),
-    .Y(_0995_),
+ sky130_fd_sc_hd__o22a_4 _4588_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .A2(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .B1(_0993_),
+    .B2(_0994_),
+    .X(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3230_ (.A1(_0994_),
-    .A2(_0956_),
-    .A3(_0991_),
-    .B1(_0995_),
-    .B2(_0968_),
-    .X(_0996_),
+ sky130_fd_sc_hd__inv_2 _4589_ (.A(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .Y(_0996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3231_ (.A(_0996_),
-    .Y(_0374_),
+ sky130_fd_sc_hd__inv_2 _4590_ (.A(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .Y(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3232_ (.A(_0820_),
-    .X(_0997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3233_ (.A(_0606_),
-    .B(_0913_),
+ sky130_fd_sc_hd__o22a_4 _4591_ (.A1(_0996_),
+    .A2(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .B1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .B2(_0997_),
     .X(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3234_ (.A(\u_spim_regs.reg2spi_addr[18] ),
-    .Y(_0999_),
+ sky130_fd_sc_hd__or2_4 _4592_ (.A(_0995_),
+    .B(_0998_),
+    .X(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3235_ (.A(_0671_),
+ sky130_fd_sc_hd__or2_4 _4593_ (.A(_0992_),
+    .B(_0999_),
     .X(_1000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3236_ (.A(\u_spim_regs.reg2spi_wdata[18] ),
-    .Y(_1001_),
+ sky130_fd_sc_hd__buf_2 _4594_ (.A(_0944_),
+    .X(_1001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3237_ (.A(_0675_),
-    .X(_1002_),
+ sky130_fd_sc_hd__inv_2 _4595_ (.A(\u_m1_res_fifo.mem[1][28] ),
+    .Y(_1002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3238_ (.A1(_0999_),
-    .A2(_1000_),
-    .B1(_1001_),
-    .B2(_1002_),
+ sky130_fd_sc_hd__buf_2 _4596_ (.A(_0946_),
     .X(_1003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3239_ (.A(_0524_),
-    .X(_1004_),
+ sky130_fd_sc_hd__inv_2 _4597_ (.A(\u_m1_res_fifo.mem[0][28] ),
+    .Y(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3240_ (.A1(_0540_),
-    .A2(_1004_),
-    .B1(\u_spim_regs.spim_reg_rdata[18] ),
-    .B2(_0988_),
-    .Y(_1005_),
+ sky130_fd_sc_hd__and2_4 _4598_ (.A(_1003_),
+    .B(_1004_),
+    .X(_1005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3241_ (.A(_0998_),
-    .B(_1003_),
-    .C(_1005_),
+ sky130_fd_sc_hd__buf_2 _4599_ (.A(_0931_),
     .X(_1006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3242_ (.A(_0997_),
-    .B(_1006_),
+ sky130_fd_sc_hd__buf_2 _4600_ (.A(_1006_),
     .X(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3243_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
-    .A2(_0978_),
-    .B1(\u_spictrl.u_rxreg.data_int[9] ),
-    .B2(_0992_),
+ sky130_fd_sc_hd__a211o_4 _4601_ (.A1(_1001_),
+    .A2(_1002_),
+    .B1(_1005_),
+    .C1(_1007_),
     .X(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3244_ (.A1(_1008_),
-    .A2(_0964_),
-    .B1(\u_spictrl.u_rxreg.data_int[10] ),
-    .B2(_0980_),
-    .X(_0069_),
+ sky130_fd_sc_hd__and4_4 _4602_ (.A(_0983_),
+    .B(_0986_),
+    .C(_1000_),
+    .D(_1008_),
+    .X(_1009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3245_ (.A(_0069_),
-    .B(_0977_),
-    .Y(_1009_),
+ sky130_fd_sc_hd__o22a_4 _4603_ (.A1(_0979_),
+    .A2(_0980_),
+    .B1(_0981_),
+    .B2(_1009_),
+    .X(_1010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3246_ (.A(wbd_dat_o[18]),
-    .Y(_1010_),
+ sky130_fd_sc_hd__inv_2 _4604_ (.A(_1010_),
+    .Y(_0904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3247_ (.A1(_1009_),
-    .A2(_0956_),
-    .A3(_1007_),
-    .B1(_1010_),
-    .B2(_0968_),
-    .X(_1011_),
+ sky130_fd_sc_hd__inv_2 _4605_ (.A(\u_spim_regs.spim_reg_rdata[27] ),
+    .Y(_1011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3248_ (.A(_1011_),
-    .Y(_0373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3249_ (.A(_0836_),
+ sky130_fd_sc_hd__buf_2 _4606_ (.A(_0940_),
     .X(_1012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3250_ (.A(_0502_),
+ sky130_fd_sc_hd__buf_2 _4607_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
     .X(_1013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3251_ (.A(_0609_),
-    .B(_1013_),
+ sky130_fd_sc_hd__and2_4 _4608_ (.A(_1013_),
+    .B(_0985_),
     .X(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3252_ (.A(\u_spim_regs.reg2spi_addr[17] ),
+ sky130_fd_sc_hd__inv_2 _4609_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
     .Y(_1015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3253_ (.A(\u_spim_regs.reg2spi_wdata[17] ),
-    .Y(_1016_),
+ sky130_fd_sc_hd__buf_2 _4610_ (.A(_0965_),
+    .X(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3254_ (.A1(_1015_),
-    .A2(_1000_),
-    .B1(_1016_),
-    .B2(_1002_),
+ sky130_fd_sc_hd__buf_2 _4611_ (.A(_1016_),
     .X(_1017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3255_ (.A1(\u_spim_regs.reg2spi_data_len[1] ),
-    .A2(_1004_),
-    .B1(\u_spim_regs.spim_reg_rdata[17] ),
-    .B2(_0988_),
-    .Y(_1018_),
+ sky130_fd_sc_hd__a2bb2o_4 _4612_ (.A1_N(_1015_),
+    .A2_N(_1017_),
+    .B1(\u_spim_regs.cfg_m1_addr[27] ),
+    .B2(_0962_),
+    .X(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3256_ (.A(_1014_),
-    .B(_1017_),
-    .C(_1018_),
+ sky130_fd_sc_hd__buf_2 _4613_ (.A(_0992_),
     .X(_1019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3257_ (.A(_0997_),
-    .B(_1019_),
-    .X(_1020_),
+ sky130_fd_sc_hd__inv_2 _4614_ (.A(_0998_),
+    .Y(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3258_ (.A(_0845_),
+ sky130_fd_sc_hd__or2_4 _4615_ (.A(_0995_),
+    .B(_1020_),
     .X(_1021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3259_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
-    .A2(_0978_),
-    .B1(\u_spictrl.u_rxreg.data_int[8] ),
-    .B2(_0992_),
+ sky130_fd_sc_hd__buf_2 _4616_ (.A(_1021_),
     .X(_1022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3260_ (.A1(_1022_),
-    .A2(_1021_),
-    .B1(\u_spictrl.u_rxreg.data_int[9] ),
-    .B2(_0980_),
-    .X(_0068_),
+ sky130_fd_sc_hd__buf_2 _4617_ (.A(_1022_),
+    .X(_1023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3261_ (.A(_0068_),
-    .B(_0977_),
-    .Y(_1023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3262_ (.A(wbd_dat_o[17]),
+ sky130_fd_sc_hd__nor2_4 _4618_ (.A(_1019_),
+    .B(_1023_),
     .Y(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3263_ (.A(_0850_),
+ sky130_fd_sc_hd__buf_2 _4619_ (.A(_0944_),
     .X(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3264_ (.A1(_1023_),
-    .A2(_1012_),
-    .A3(_1020_),
-    .B1(_1024_),
-    .B2(_1025_),
-    .X(_1026_),
+ sky130_fd_sc_hd__inv_2 _4620_ (.A(\u_m1_res_fifo.mem[1][27] ),
+    .Y(_1026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3265_ (.A(_1026_),
-    .Y(_0372_),
+ sky130_fd_sc_hd__inv_2 _4621_ (.A(\u_m1_res_fifo.mem[0][27] ),
+    .Y(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3266_ (.A(_0610_),
-    .B(_1013_),
-    .X(_1027_),
+ sky130_fd_sc_hd__and2_4 _4622_ (.A(_0971_),
+    .B(_1027_),
+    .X(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3267_ (.A(\u_spim_regs.reg2spi_addr[16] ),
-    .Y(_1028_),
+ sky130_fd_sc_hd__buf_2 _4623_ (.A(_1006_),
+    .X(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3268_ (.A(\u_spim_regs.reg2spi_wdata[16] ),
-    .Y(_1029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3269_ (.A1(_1028_),
-    .A2(_1000_),
-    .B1(_1029_),
-    .B2(_1002_),
+ sky130_fd_sc_hd__a211o_4 _4624_ (.A1(_1025_),
+    .A2(_1026_),
+    .B1(_1028_),
+    .C1(_1029_),
     .X(_1030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3270_ (.A1(\u_spim_regs.reg2spi_data_len[0] ),
-    .A2(_1004_),
-    .B1(\u_spim_regs.spim_reg_rdata[16] ),
-    .B2(_0988_),
+ sky130_fd_sc_hd__inv_2 _4625_ (.A(_1030_),
     .Y(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3271_ (.A(_1027_),
-    .B(_1030_),
-    .C(_1031_),
+ sky130_fd_sc_hd__or4_4 _4626_ (.A(_1014_),
+    .B(_1018_),
+    .C(_1024_),
+    .D(_1031_),
     .X(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3272_ (.A(_0997_),
-    .B(_1032_),
-    .X(_1033_),
+ sky130_fd_sc_hd__a2bb2o_4 _4627_ (.A1_N(_1011_),
+    .A2_N(_1012_),
+    .B1(_1012_),
+    .B2(_1032_),
+    .X(_0903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3273_ (.A(_0862_),
+ sky130_fd_sc_hd__inv_2 _4628_ (.A(\u_spim_regs.spim_reg_rdata[26] ),
+    .Y(_1033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4629_ (.A(_0932_),
     .X(_1034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3274_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
-    .A2(psn_net_158),
-    .B1(\u_spictrl.u_rxreg.data_int[7] ),
-    .B2(_0992_),
+ sky130_fd_sc_hd__buf_2 _4630_ (.A(_1034_),
     .X(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3275_ (.A(_0865_),
+ sky130_fd_sc_hd__o22a_4 _4631_ (.A1(_0945_),
+    .A2(\u_m1_res_fifo.mem[0][26] ),
+    .B1(_0972_),
+    .B2(\u_m1_res_fifo.mem[1][26] ),
     .X(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3276_ (.A1(_1035_),
-    .A2(_1021_),
-    .B1(\u_spictrl.u_rxreg.data_int[8] ),
-    .B2(_1036_),
-    .X(_0067_),
+ sky130_fd_sc_hd__buf_2 _4632_ (.A(_0966_),
+    .X(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3277_ (.A(_0067_),
-    .B(_0667_),
-    .Y(_1037_),
+ sky130_fd_sc_hd__and2_4 _4633_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .B(_1037_),
+    .X(_1038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3278_ (.A(wbd_dat_o[16]),
-    .Y(_1038_),
+ sky130_fd_sc_hd__inv_2 _4634_ (.A(\u_spim_regs.cfg_m1_addr[26] ),
+    .Y(_1039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3279_ (.A1(_1037_),
-    .A2(_1012_),
-    .A3(_1033_),
-    .B1(_1038_),
-    .B2(_1025_),
-    .X(_1039_),
+ sky130_fd_sc_hd__buf_2 _4635_ (.A(_0959_),
+    .X(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3280_ (.A(_1039_),
-    .Y(_0371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3281_ (.A(\u_spim_regs.spim_reg_rdata[15] ),
-    .Y(_1040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3282_ (.A(_0680_),
+ sky130_fd_sc_hd__buf_2 _4636_ (.A(_1040_),
     .X(_1041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3283_ (.A(\u_spim_regs.reg2spi_addr[15] ),
-    .Y(_1042_),
+ sky130_fd_sc_hd__buf_2 _4637_ (.A(\u_spim_regs.cfg_m1_data_cnt[2] ),
+    .X(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3284_ (.A(_0671_),
+ sky130_fd_sc_hd__buf_2 _4638_ (.A(_0955_),
     .X(_1043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3285_ (.A(_1043_),
+ sky130_fd_sc_hd__a2bb2o_4 _4639_ (.A1_N(_1039_),
+    .A2_N(_1041_),
+    .B1(_1042_),
+    .B2(_1043_),
     .X(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3286_ (.A1(_1040_),
-    .A2(_1041_),
-    .B1(_1042_),
-    .B2(_1044_),
+ sky130_fd_sc_hd__buf_2 _4640_ (.A(_0992_),
     .X(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3287_ (.A(\u_spim_regs.reg2spi_wdata[15] ),
-    .Y(_1046_),
+ sky130_fd_sc_hd__a2bb2o_4 _4641_ (.A1_N(\u_m0_res_fifo.wr_ptr[2] ),
+    .A2_N(\u_m0_res_fifo.rd_ptr[2] ),
+    .B1(\u_m0_res_fifo.wr_ptr[2] ),
+    .B2(\u_m0_res_fifo.rd_ptr[2] ),
+    .X(_1046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3288_ (.A(_0675_),
+ sky130_fd_sc_hd__buf_2 _4642_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
     .X(_1047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3289_ (.A(_1047_),
-    .X(_1048_),
+ sky130_fd_sc_hd__inv_2 _4643_ (.A(_1047_),
+    .Y(_1048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3290_ (.A(_1013_),
-    .X(_1049_),
+ sky130_fd_sc_hd__inv_2 _4644_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
+    .Y(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3291_ (.A1(_1046_),
-    .A2(_1048_),
-    .B1(_0484_),
-    .B2(_1049_),
+ sky130_fd_sc_hd__and2_4 _4645_ (.A(_1049_),
+    .B(\u_m0_res_fifo.rd_ptr[0] ),
     .X(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3292_ (.A(_0661_),
+ sky130_fd_sc_hd__buf_2 _4646_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
     .X(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3293_ (.A(_1051_),
-    .X(_1052_),
+ sky130_fd_sc_hd__inv_2 _4647_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .Y(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3294_ (.A(_1045_),
-    .B(_1050_),
-    .C(_1052_),
-    .X(_1053_),
+ sky130_fd_sc_hd__inv_2 _4648_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
+    .Y(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3295_ (.A(_1053_),
-    .Y(_1054_),
+ sky130_fd_sc_hd__o22a_4 _4649_ (.A1(\u_m0_res_fifo.wr_ptr[1] ),
+    .A2(_1051_),
+    .B1(_1052_),
+    .B2(_1053_),
+    .X(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3296_ (.A(_0806_),
+ sky130_fd_sc_hd__a211o_4 _4650_ (.A1(\u_m0_res_fifo.wr_ptr[0] ),
+    .A2(_1048_),
+    .B1(_1050_),
+    .C1(_1054_),
     .X(_1055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3297_ (.A(_0808_),
+ sky130_fd_sc_hd__or2_4 _4651_ (.A(_1046_),
+    .B(_1055_),
     .X(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3298_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
-    .A2(_1055_),
-    .B1(\u_spictrl.u_rxreg.data_int[22] ),
-    .B2(_1056_),
-    .X(_1057_),
+ sky130_fd_sc_hd__nor2_4 _4652_ (.A(_1045_),
+    .B(_1056_),
+    .Y(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3299_ (.A(_0812_),
+ sky130_fd_sc_hd__a2111o_4 _4653_ (.A1(_1035_),
+    .A2(_1036_),
+    .B1(_1038_),
+    .C1(_1044_),
+    .D1(_1057_),
     .X(_1058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3300_ (.A1(_0696_),
-    .A2(_1057_),
-    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+ sky130_fd_sc_hd__a2bb2o_4 _4654_ (.A1_N(_1033_),
+    .A2_N(_1012_),
+    .B1(_1012_),
     .B2(_1058_),
-    .X(_0082_),
+    .X(_0902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3301_ (.A(_1052_),
-    .B(_0082_),
-    .X(_1059_),
+ sky130_fd_sc_hd__inv_2 _4655_ (.A(\u_spim_regs.spim_reg_rdata[25] ),
+    .Y(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3302_ (.A1(_1059_),
-    .A2(_0665_),
-    .A3(_1054_),
-    .B1(wbd_dat_o[15]),
-    .B2(_0818_),
-    .X(_0370_),
+ sky130_fd_sc_hd__buf_2 _4656_ (.A(_0939_),
+    .X(_1060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3303_ (.A(\u_spim_regs.spim_reg_rdata[14] ),
-    .Y(_1060_),
+ sky130_fd_sc_hd__buf_2 _4657_ (.A(_1060_),
+    .X(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3304_ (.A(\u_spim_regs.reg2spi_addr[14] ),
-    .Y(_1061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3305_ (.A1(_1060_),
-    .A2(_1041_),
-    .B1(_1061_),
-    .B2(_1044_),
+ sky130_fd_sc_hd__o22a_4 _4658_ (.A1(_0945_),
+    .A2(\u_m1_res_fifo.mem[0][25] ),
+    .B1(_0972_),
+    .B2(\u_m1_res_fifo.mem[1][25] ),
     .X(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3306_ (.A(\u_spim_regs.reg2spi_wdata[14] ),
-    .Y(_1063_),
+ sky130_fd_sc_hd__and2_4 _4659_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .B(_1037_),
+    .X(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3307_ (.A1(_1063_),
-    .A2(_1048_),
-    .B1(_0497_),
-    .B2(_1049_),
-    .X(_1064_),
+ sky130_fd_sc_hd__inv_2 _4660_ (.A(\u_spim_regs.cfg_m1_addr[25] ),
+    .Y(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3308_ (.A(_1062_),
-    .B(_1064_),
-    .C(_1052_),
+ sky130_fd_sc_hd__buf_2 _4661_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
     .X(_1065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3309_ (.A(_1065_),
-    .Y(_1066_),
+ sky130_fd_sc_hd__a2bb2o_4 _4662_ (.A1_N(_1064_),
+    .A2_N(_1041_),
+    .B1(_1065_),
+    .B2(_1043_),
+    .X(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3310_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
-    .A2(_0807_),
-    .B1(\u_spictrl.u_rxreg.data_int[21] ),
-    .B2(_1056_),
-    .X(_1067_),
+ sky130_fd_sc_hd__inv_2 _4663_ (.A(_1046_),
+    .Y(_1067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3311_ (.A1(_0696_),
-    .A2(_1067_),
-    .B1(\u_spictrl.u_rxreg.data_int[22] ),
-    .B2(_0813_),
-    .X(_0081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3312_ (.A(_1052_),
-    .B(_0081_),
+ sky130_fd_sc_hd__or2_4 _4664_ (.A(_1067_),
+    .B(_1055_),
     .X(_1068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3313_ (.A1(_1068_),
-    .A2(_0665_),
-    .A3(_1066_),
-    .B1(wbd_dat_o[14]),
-    .B2(_0818_),
-    .X(_0369_),
+ sky130_fd_sc_hd__nor2_4 _4665_ (.A(_1045_),
+    .B(_1068_),
+    .Y(_1069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3314_ (.A(_0499_),
-    .B(_1013_),
-    .X(_1069_),
+ sky130_fd_sc_hd__a2111o_4 _4666_ (.A1(_1035_),
+    .A2(_1062_),
+    .B1(_1063_),
+    .C1(_1066_),
+    .D1(_1069_),
+    .X(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3315_ (.A(\u_spim_regs.reg2spi_addr[13] ),
-    .Y(_1070_),
+ sky130_fd_sc_hd__a2bb2o_4 _4667_ (.A1_N(_1059_),
+    .A2_N(_1061_),
+    .B1(_1061_),
+    .B2(_1070_),
+    .X(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3316_ (.A(\u_spim_regs.reg2spi_wdata[13] ),
+ sky130_fd_sc_hd__inv_2 _4668_ (.A(\u_spim_regs.spim_reg_rdata[24] ),
     .Y(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3317_ (.A1(_1070_),
-    .A2(_1000_),
-    .B1(_1071_),
-    .B2(_1002_),
+ sky130_fd_sc_hd__o22a_4 _4669_ (.A1(_1025_),
+    .A2(\u_m1_res_fifo.mem[0][24] ),
+    .B1(_0972_),
+    .B2(\u_m1_res_fifo.mem[1][24] ),
     .X(_1072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3318_ (.A(_0681_),
+ sky130_fd_sc_hd__and2_4 _4670_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .B(_1037_),
     .X(_1073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3319_ (.A1(\u_spim_regs.reg2spi_addr_len[5] ),
-    .A2(_1004_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_1073_),
+ sky130_fd_sc_hd__inv_2 _4671_ (.A(\u_spim_regs.cfg_m1_addr[24] ),
     .Y(_1074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3320_ (.A(_1069_),
-    .B(_1072_),
-    .C(_1074_),
+ sky130_fd_sc_hd__buf_2 _4672_ (.A(\u_spim_regs.cfg_m1_data_cnt[0] ),
     .X(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3321_ (.A(_0997_),
-    .B(_1075_),
+ sky130_fd_sc_hd__a2bb2o_4 _4673_ (.A1_N(_1074_),
+    .A2_N(_1041_),
+    .B1(_1075_),
+    .B2(_1043_),
     .X(_1076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3322_ (.A(_0878_),
-    .X(_1077_),
+ sky130_fd_sc_hd__inv_2 _4674_ (.A(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .Y(_1077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3323_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
-    .A2(psn_net_159),
-    .B1(\u_spictrl.u_rxreg.data_int[20] ),
-    .B2(psn_net_113),
-    .X(_1078_),
+ sky130_fd_sc_hd__inv_2 _4675_ (.A(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .Y(_1078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3324_ (.A1(_1078_),
-    .A2(_1021_),
-    .B1(\u_spictrl.u_rxreg.data_int[21] ),
-    .B2(_1036_),
-    .X(_0080_),
+ sky130_fd_sc_hd__o22a_4 _4676_ (.A1(_1077_),
+    .A2(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .B1(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .B2(_1078_),
+    .X(_1079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3325_ (.A(_0080_),
-    .B(_0667_),
-    .Y(_1079_),
+ sky130_fd_sc_hd__buf_2 _4677_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
+    .X(_1080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3326_ (.A(wbd_dat_o[13]),
-    .Y(_1080_),
+ sky130_fd_sc_hd__inv_2 _4678_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
+    .Y(_1081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3327_ (.A1(_1079_),
-    .A2(_1012_),
-    .A3(_1076_),
-    .B1(_1080_),
-    .B2(_1025_),
-    .X(_1081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3328_ (.A(_1081_),
-    .Y(_0368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3329_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[12] ),
-    .B(_0503_),
+ sky130_fd_sc_hd__inv_2 _4679_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
     .Y(_1082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3330_ (.A(\u_spim_regs.reg2spi_addr[12] ),
-    .Y(_1083_),
+ sky130_fd_sc_hd__and2_4 _4680_ (.A(_1082_),
+    .B(\u_m1_cmd_fifo.rd_ptr[0] ),
+    .X(_1083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3331_ (.A(\u_spim_regs.reg2spi_wdata[12] ),
+ sky130_fd_sc_hd__inv_2 _4681_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
     .Y(_1084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3332_ (.A1(_1083_),
-    .A2(_1043_),
+ sky130_fd_sc_hd__inv_2 _4682_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .Y(_1085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _4683_ (.A1(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .A2(\u_m1_cmd_fifo.rd_ptr[1] ),
     .B1(_1084_),
-    .B2(_1047_),
-    .X(_1085_),
+    .B2(_1085_),
+    .X(_1086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3333_ (.A1(\u_spim_regs.reg2spi_addr_len[4] ),
-    .A2(_0525_),
-    .B1(\u_spim_regs.spim_reg_rdata[12] ),
-    .B2(_1073_),
-    .Y(_1086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3334_ (.A(_1082_),
-    .B(_1085_),
-    .C(_1086_),
+ sky130_fd_sc_hd__a211o_4 _4684_ (.A1(_1080_),
+    .A2(_1081_),
+    .B1(_1083_),
+    .C1(_1086_),
     .X(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3335_ (.A(_0687_),
+ sky130_fd_sc_hd__or2_4 _4685_ (.A(_1079_),
     .B(_1087_),
     .X(_1088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3336_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
-    .A2(psn_net_160),
-    .B1(\u_spictrl.u_rxreg.data_int[19] ),
-    .B2(psn_net_114),
-    .X(_1089_),
+ sky130_fd_sc_hd__nor2_4 _4686_ (.A(_1045_),
+    .B(_1088_),
+    .Y(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3337_ (.A1(_1089_),
-    .A2(_1021_),
-    .B1(\u_spictrl.u_rxreg.data_int[20] ),
-    .B2(_1036_),
-    .X(_0079_),
+ sky130_fd_sc_hd__a2111o_4 _4687_ (.A1(_1035_),
+    .A2(_1072_),
+    .B1(_1073_),
+    .C1(_1076_),
+    .D1(_1089_),
+    .X(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3338_ (.A(_0079_),
-    .B(_0667_),
-    .Y(_1090_),
+ sky130_fd_sc_hd__a2bb2o_4 _4688_ (.A1_N(_1071_),
+    .A2_N(_1061_),
+    .B1(_1061_),
+    .B2(_1090_),
+    .X(_0900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3339_ (.A(wbd_dat_o[12]),
+ sky130_fd_sc_hd__inv_2 _4689_ (.A(\u_spim_regs.spim_reg_rdata[23] ),
     .Y(_1091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3340_ (.A1(_1090_),
-    .A2(_1012_),
-    .A3(_1088_),
-    .B1(_1091_),
-    .B2(_1025_),
+ sky130_fd_sc_hd__buf_2 _4690_ (.A(_1060_),
     .X(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3341_ (.A(_1092_),
-    .Y(_0367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3342_ (.A(_0664_),
+ sky130_fd_sc_hd__buf_2 _4691_ (.A(_1003_),
     .X(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3343_ (.A(_0817_),
+ sky130_fd_sc_hd__o22a_4 _4692_ (.A1(_1025_),
+    .A2(\u_m1_res_fifo.mem[0][23] ),
+    .B1(_1093_),
+    .B2(\u_m1_res_fifo.mem[1][23] ),
     .X(_1094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3344_ (.A(_1051_),
+ sky130_fd_sc_hd__and2_4 _4693_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .B(_1037_),
     .X(_1095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3345_ (.A(_1095_),
-    .X(_1096_),
+ sky130_fd_sc_hd__inv_2 _4694_ (.A(\u_spim_regs.cfg_m1_addr[23] ),
+    .Y(_1096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3346_ (.A(_0695_),
+ sky130_fd_sc_hd__buf_2 _4695_ (.A(_1040_),
     .X(_1097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3347_ (.A(_1034_),
+ sky130_fd_sc_hd__a2bb2o_4 _4696_ (.A1_N(_1096_),
+    .A2_N(_1097_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B2(_1043_),
     .X(_1098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3348_ (.A(_1077_),
-    .X(_1099_),
+ sky130_fd_sc_hd__inv_2 _4697_ (.A(_1079_),
+    .Y(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3349_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
-    .A2(_1098_),
-    .B1(\u_spictrl.u_rxreg.data_int[18] ),
-    .B2(_1099_),
+ sky130_fd_sc_hd__or2_4 _4698_ (.A(_1099_),
+    .B(_1087_),
     .X(_1100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3350_ (.A(_0812_),
+ sky130_fd_sc_hd__buf_2 _4699_ (.A(_1100_),
     .X(_1101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3351_ (.A1(_1097_),
-    .A2(_1100_),
-    .B1(\u_spictrl.u_rxreg.data_int[19] ),
-    .B2(_1101_),
-    .X(_0078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3352_ (.A(\u_spim_regs.reg2spi_wdata[11] ),
+ sky130_fd_sc_hd__nor2_4 _4700_ (.A(_1045_),
+    .B(_1101_),
     .Y(_1102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3353_ (.A(\u_spim_regs.reg2spi_csreg[3] ),
-    .Y(_1103_),
+ sky130_fd_sc_hd__a2111o_4 _4701_ (.A1(_1035_),
+    .A2(_1094_),
+    .B1(_1095_),
+    .C1(_1098_),
+    .D1(_1102_),
+    .X(_1103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3354_ (.A(_2574_),
-    .X(_1104_),
+ sky130_fd_sc_hd__a2bb2o_4 _4702_ (.A1_N(_1091_),
+    .A2_N(_1092_),
+    .B1(_1092_),
+    .B2(_1103_),
+    .X(_0899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3355_ (.A1(_1102_),
-    .A2(_1048_),
-    .B1(_1103_),
-    .B2(_1104_),
+ sky130_fd_sc_hd__inv_2 _4703_ (.A(\u_spim_regs.spim_reg_rdata[22] ),
+    .Y(_1104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _4704_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B(_0985_),
     .X(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3356_ (.A(_1073_),
-    .X(_1106_),
+ sky130_fd_sc_hd__inv_2 _4705_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .Y(_1106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3357_ (.A1(\u_spim_regs.spim_reg_rdata[11] ),
-    .A2(_1106_),
-    .B1(_0583_),
-    .B2(_0679_),
-    .Y(_1107_),
+ sky130_fd_sc_hd__a2bb2o_4 _4706_ (.A1_N(_1106_),
+    .A2_N(_1017_),
+    .B1(\u_spim_regs.cfg_m1_addr[22] ),
+    .B2(_0962_),
+    .X(_1107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3358_ (.A(\u_spim_regs.reg2spi_addr[11] ),
-    .Y(_1108_),
+ sky130_fd_sc_hd__or2_4 _4707_ (.A(_0916_),
+    .B(_0919_),
+    .X(_1108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3359_ (.A1(_1108_),
-    .A2(_1044_),
-    .B1(_0507_),
-    .B2(_1049_),
-    .X(_1109_),
+ sky130_fd_sc_hd__nor2_4 _4708_ (.A(_1019_),
+    .B(_1108_),
+    .Y(_1109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3360_ (.A(_1105_),
-    .B(_1107_),
-    .C(_1109_),
-    .D(_1095_),
-    .X(_1110_),
+ sky130_fd_sc_hd__inv_2 _4709_ (.A(\u_m1_res_fifo.mem[1][22] ),
+    .Y(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3361_ (.A(_1110_),
+ sky130_fd_sc_hd__inv_2 _4710_ (.A(\u_m1_res_fifo.mem[0][22] ),
     .Y(_1111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3362_ (.A1(_1096_),
-    .A2(_0078_),
-    .B1(_1111_),
+ sky130_fd_sc_hd__and2_4 _4711_ (.A(_0971_),
+    .B(_1111_),
     .X(_1112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3363_ (.A1(wbd_dat_o[11]),
-    .A2(_1093_),
-    .B1(_1094_),
-    .B2(_1112_),
-    .X(_0366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3364_ (.A(_0806_),
+ sky130_fd_sc_hd__a211o_4 _4712_ (.A1(_1025_),
+    .A2(_1110_),
+    .B1(_1112_),
+    .C1(_1007_),
     .X(_1113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3365_ (.A(_0808_),
-    .X(_1114_),
+ sky130_fd_sc_hd__inv_2 _4713_ (.A(_1113_),
+    .Y(_1114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3366_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
-    .A2(_1113_),
-    .B1(\u_spictrl.u_rxreg.data_int[17] ),
-    .B2(_1114_),
+ sky130_fd_sc_hd__or4_4 _4714_ (.A(_1105_),
+    .B(_1107_),
+    .C(_1109_),
+    .D(_1114_),
     .X(_1115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3367_ (.A1(_1097_),
-    .A2(_1115_),
-    .B1(\u_spictrl.u_rxreg.data_int[18] ),
-    .B2(_1101_),
-    .X(_0077_),
+ sky130_fd_sc_hd__a2bb2o_4 _4715_ (.A1_N(_1104_),
+    .A2_N(_1092_),
+    .B1(_1092_),
+    .B2(_1115_),
+    .X(_0898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3368_ (.A(\u_spim_regs.reg2spi_wdata[10] ),
+ sky130_fd_sc_hd__inv_2 _4716_ (.A(\u_spim_regs.spim_reg_rdata[21] ),
     .Y(_1116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3369_ (.A(\u_spim_regs.reg2spi_csreg[2] ),
-    .Y(_1117_),
+ sky130_fd_sc_hd__buf_2 _4717_ (.A(_1060_),
+    .X(_1117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3370_ (.A1(_1116_),
-    .A2(_1048_),
-    .B1(_1117_),
-    .B2(_1104_),
+ sky130_fd_sc_hd__and2_4 _4718_ (.A(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B(_0985_),
     .X(_1118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3371_ (.A1(\u_spim_regs.spim_reg_rdata[10] ),
-    .A2(_1106_),
-    .B1(\u_spim_regs.reg2spi_addr_len[2] ),
-    .B2(_0679_),
+ sky130_fd_sc_hd__inv_2 _4719_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
     .Y(_1119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3372_ (.A(\u_spim_regs.reg2spi_addr[10] ),
-    .Y(_1120_),
+ sky130_fd_sc_hd__buf_2 _4720_ (.A(_0960_),
+    .X(_1120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3373_ (.A(_1043_),
+ sky130_fd_sc_hd__a2bb2o_4 _4721_ (.A1_N(_1119_),
+    .A2_N(_1017_),
+    .B1(\u_spim_regs.cfg_m1_addr[21] ),
+    .B2(_1120_),
     .X(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3374_ (.A1(_1120_),
-    .A2(_1121_),
-    .B1(_0509_),
-    .B2(_1049_),
-    .X(_1122_),
+ sky130_fd_sc_hd__nor2_4 _4722_ (.A(_0921_),
+    .B(_1019_),
+    .Y(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3375_ (.A(_1118_),
-    .B(_1119_),
-    .C(_1122_),
-    .D(_1051_),
+ sky130_fd_sc_hd__buf_2 _4723_ (.A(_0944_),
     .X(_1123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3376_ (.A(_1123_),
+ sky130_fd_sc_hd__inv_2 _4724_ (.A(\u_m1_res_fifo.mem[1][21] ),
     .Y(_1124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3377_ (.A1(_1096_),
-    .A2(_0077_),
-    .B1(_1124_),
-    .X(_1125_),
+ sky130_fd_sc_hd__inv_2 _4725_ (.A(\u_m1_res_fifo.mem[0][21] ),
+    .Y(_1125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3378_ (.A1(wbd_dat_o[10]),
-    .A2(_1093_),
-    .B1(_1094_),
-    .B2(_1125_),
-    .X(_0365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3379_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
-    .A2(_1113_),
-    .B1(\u_spictrl.u_rxreg.data_int[16] ),
-    .B2(_1114_),
+ sky130_fd_sc_hd__and2_4 _4726_ (.A(_0971_),
+    .B(_1125_),
     .X(_1126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3380_ (.A1(_1097_),
-    .A2(_1126_),
-    .B1(\u_spictrl.u_rxreg.data_int[17] ),
-    .B2(_1101_),
-    .X(_0076_),
+ sky130_fd_sc_hd__a211o_4 _4727_ (.A1(_1123_),
+    .A2(_1124_),
+    .B1(_1126_),
+    .C1(_1007_),
+    .X(_1127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3381_ (.A(\u_spim_regs.reg2spi_wdata[9] ),
-    .Y(_1127_),
+ sky130_fd_sc_hd__inv_2 _4728_ (.A(_1127_),
+    .Y(_1128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3382_ (.A(_1047_),
-    .X(_1128_),
+ sky130_fd_sc_hd__or4_4 _4729_ (.A(_1118_),
+    .B(_1121_),
+    .C(_1122_),
+    .D(_1128_),
+    .X(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3383_ (.A(\u_spim_regs.reg2spi_csreg[1] ),
-    .Y(_1129_),
+ sky130_fd_sc_hd__a2bb2o_4 _4730_ (.A1_N(_1116_),
+    .A2_N(_1117_),
+    .B1(_1117_),
+    .B2(_1129_),
+    .X(_0897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3384_ (.A1(_1127_),
-    .A2(_1128_),
-    .B1(_1129_),
-    .B2(_1104_),
-    .X(_1130_),
+ sky130_fd_sc_hd__inv_2 _4731_ (.A(\u_spim_regs.spim_reg_rdata[20] ),
+    .Y(_1130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3385_ (.A1(\u_spim_regs.spim_reg_rdata[9] ),
-    .A2(_1106_),
-    .B1(\u_spim_regs.reg2spi_addr_len[1] ),
-    .B2(_0679_),
-    .Y(_1131_),
+ sky130_fd_sc_hd__buf_2 _4732_ (.A(_1034_),
+    .X(_1131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3386_ (.A(\u_spim_regs.reg2spi_addr[9] ),
-    .Y(_1132_),
+ sky130_fd_sc_hd__buf_2 _4733_ (.A(_1001_),
+    .X(_1132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3387_ (.A1(_1132_),
-    .A2(_1121_),
-    .B1(_0512_),
-    .B2(_0491_),
+ sky130_fd_sc_hd__buf_2 _4734_ (.A(_0946_),
     .X(_1133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3388_ (.A(_1130_),
-    .B(_1131_),
-    .C(_1133_),
-    .D(_1051_),
+ sky130_fd_sc_hd__buf_2 _4735_ (.A(_1133_),
     .X(_1134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3389_ (.A(_1134_),
-    .Y(_1135_),
+ sky130_fd_sc_hd__o22a_4 _4736_ (.A1(_1132_),
+    .A2(\u_m1_res_fifo.mem[0][20] ),
+    .B1(_1134_),
+    .B2(\u_m1_res_fifo.mem[1][20] ),
+    .X(_1135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3390_ (.A1(_1096_),
-    .A2(_0076_),
-    .B1(_1135_),
-    .X(_1136_),
+ sky130_fd_sc_hd__inv_2 _4737_ (.A(spi_debug[20]),
+    .Y(_1136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3391_ (.A1(wbd_dat_o[9]),
-    .A2(_1093_),
-    .B1(_1094_),
-    .B2(_1136_),
-    .X(_0364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3392_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
-    .A2(_1113_),
-    .B1(\u_spictrl.u_rxreg.data_int[15] ),
-    .B2(_1114_),
+ sky130_fd_sc_hd__buf_2 _4738_ (.A(_1136_),
     .X(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3393_ (.A1(_1097_),
-    .A2(_1137_),
-    .B1(\u_spictrl.u_rxreg.data_int[16] ),
-    .B2(_1101_),
-    .X(_0075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3394_ (.A(_0687_),
+ sky130_fd_sc_hd__buf_2 _4739_ (.A(_0961_),
     .X(_1138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3395_ (.A(\u_spim_regs.reg2spi_addr_len[0] ),
-    .Y(_1139_),
+ sky130_fd_sc_hd__a2bb2o_4 _4740_ (.A1_N(_1137_),
+    .A2_N(_1019_),
+    .B1(\u_spim_regs.cfg_m1_addr[20] ),
+    .B2(_1138_),
+    .X(_1139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3396_ (.A(_0546_),
-    .X(_1140_),
+ sky130_fd_sc_hd__inv_2 _4741_ (.A(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .Y(_1140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3397_ (.A(\u_spim_regs.reg2spi_wdata[8] ),
-    .Y(_1141_),
+ sky130_fd_sc_hd__buf_2 _4742_ (.A(_0965_),
+    .X(_1141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3398_ (.A1(_1139_),
-    .A2(_1140_),
-    .B1(_1141_),
-    .B2(_1128_),
+ sky130_fd_sc_hd__buf_2 _4743_ (.A(_1141_),
     .X(_1142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _3399_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[8] ),
-    .B(_0504_),
-    .Y(_1143_),
+ sky130_fd_sc_hd__buf_2 _4744_ (.A(_0955_),
+    .X(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3400_ (.A(\u_spim_regs.reg2spi_csreg[0] ),
-    .Y(_1144_),
+ sky130_fd_sc_hd__buf_2 _4745_ (.A(_1143_),
+    .X(_1144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3401_ (.A(\u_spim_regs.reg2spi_addr[8] ),
-    .Y(_1145_),
+ sky130_fd_sc_hd__a2bb2o_4 _4746_ (.A1_N(_1140_),
+    .A2_N(_1142_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B2(_1144_),
+    .X(_1145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3402_ (.A1(_1144_),
-    .A2(_1104_),
-    .B1(_1145_),
-    .B2(_1121_),
+ sky130_fd_sc_hd__a211o_4 _4747_ (.A1(_1131_),
+    .A2(_1135_),
+    .B1(_1139_),
+    .C1(_1145_),
     .X(_1146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3403_ (.A(\u_spim_regs.spim_reg_rdata[8] ),
+ sky130_fd_sc_hd__a2bb2o_4 _4748_ (.A1_N(_1130_),
+    .A2_N(_1117_),
+    .B1(_1117_),
+    .B2(_1146_),
+    .X(_0896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _4749_ (.A(\u_spim_regs.spim_reg_rdata[19] ),
     .Y(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3404_ (.A(_2569_),
+ sky130_fd_sc_hd__buf_2 _4750_ (.A(_1060_),
     .X(_1148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3405_ (.A(_2572_),
+ sky130_fd_sc_hd__o22a_4 _4751_ (.A1(_1132_),
+    .A2(\u_m1_res_fifo.mem[0][19] ),
+    .B1(_1134_),
+    .B2(\u_m1_res_fifo.mem[1][19] ),
     .X(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3406_ (.A(_1149_),
+ sky130_fd_sc_hd__inv_2 _4752_ (.A(spi_debug[19]),
     .Y(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3407_ (.A(_2573_),
+ sky130_fd_sc_hd__buf_2 _4753_ (.A(psn_net_40),
     .X(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3408_ (.A(_1148_),
-    .B(_2571_),
-    .C(_1150_),
-    .D(_1151_),
+ sky130_fd_sc_hd__buf_2 _4754_ (.A(_0991_),
     .X(_1152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3409_ (.A1(_1147_),
-    .A2(_1041_),
-    .B1(_0654_),
-    .B2(_1152_),
+ sky130_fd_sc_hd__a2bb2o_4 _4755_ (.A1_N(_1151_),
+    .A2_N(_1152_),
+    .B1(\u_spim_regs.cfg_m1_addr[19] ),
+    .B2(_0982_),
     .X(_1153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3410_ (.A(_1142_),
-    .B(_1143_),
-    .C(_1146_),
-    .D(_1153_),
-    .X(_1154_),
+ sky130_fd_sc_hd__inv_2 _4756_ (.A(\u_spim_regs.cfg_m0_spi_seq[3] ),
+    .Y(_1154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3411_ (.A(_1154_),
-    .Y(_1155_),
+ sky130_fd_sc_hd__buf_2 _4757_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .X(_1155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3412_ (.A1(_1096_),
-    .A2(_0075_),
-    .B1(_1138_),
-    .B2(_1155_),
+ sky130_fd_sc_hd__a2bb2o_4 _4758_ (.A1_N(_1154_),
+    .A2_N(_1142_),
+    .B1(_1155_),
+    .B2(_1144_),
     .X(_1156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3413_ (.A1(wbd_dat_o[8]),
-    .A2(_1093_),
-    .B1(_1094_),
-    .B2(_1156_),
-    .X(_0363_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3414_ (.A(_0664_),
+ sky130_fd_sc_hd__a211o_4 _4759_ (.A1(_1131_),
+    .A2(_1149_),
+    .B1(_1153_),
+    .C1(_1156_),
     .X(_1157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3415_ (.A(_0817_),
-    .X(_1158_),
+ sky130_fd_sc_hd__a2bb2o_4 _4760_ (.A1_N(_1147_),
+    .A2_N(_1148_),
+    .B1(_1148_),
+    .B2(_1157_),
+    .X(_0895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3416_ (.A(_1095_),
+ sky130_fd_sc_hd__inv_2 _4761_ (.A(\u_spim_regs.spim_reg_rdata[18] ),
+    .Y(_1158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _4762_ (.A1(_1132_),
+    .A2(\u_m1_res_fifo.mem[0][18] ),
+    .B1(_1134_),
+    .B2(\u_m1_res_fifo.mem[1][18] ),
     .X(_1159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3417_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
-    .B(_1055_),
+ sky130_fd_sc_hd__buf_2 _4763_ (.A(psn_net_11),
     .X(_1160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3418_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
-    .B(_1077_),
-    .X(_1161_),
+ sky130_fd_sc_hd__inv_2 _4764_ (.A(_1160_),
+    .Y(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3419_ (.A(_0695_),
+ sky130_fd_sc_hd__buf_2 _4765_ (.A(_1161_),
     .X(_1162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3420_ (.A1(_1161_),
-    .A2(_1036_),
-    .A3(_1160_),
-    .B1(\u_spictrl.u_rxreg.data_int[31] ),
-    .B2(_1162_),
-    .X(_0090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3421_ (.A(\u_spictrl.spi_status[7] ),
+ sky130_fd_sc_hd__a2bb2o_4 _4766_ (.A1_N(_1162_),
+    .A2_N(_1152_),
+    .B1(\u_spim_regs.cfg_m1_addr[18] ),
+    .B2(_0982_),
     .X(_1163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3422_ (.A(_1163_),
+ sky130_fd_sc_hd__inv_2 _4767_ (.A(\u_spim_regs.cfg_m0_spi_seq[2] ),
     .Y(_1164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3423_ (.A(_1152_),
+ sky130_fd_sc_hd__buf_2 _4768_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
     .X(_1165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3424_ (.A(_1164_),
-    .B(_1165_),
+ sky130_fd_sc_hd__buf_2 _4769_ (.A(_1165_),
     .X(_1166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3425_ (.A(_0627_),
+ sky130_fd_sc_hd__a2bb2o_4 _4770_ (.A1_N(_1164_),
+    .A2_N(_1142_),
+    .B1(_1166_),
+    .B2(_1144_),
     .X(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3426_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[7] ),
-    .Y(_1168_),
+ sky130_fd_sc_hd__a211o_4 _4771_ (.A1(_1131_),
+    .A2(_1159_),
+    .B1(_1163_),
+    .C1(_1167_),
+    .X(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3427_ (.A1(_0625_),
-    .A2(_1167_),
-    .B1(_1168_),
-    .B2(_0491_),
-    .X(_1169_),
+ sky130_fd_sc_hd__a2bb2o_4 _4772_ (.A1_N(_1158_),
+    .A2_N(_1148_),
+    .B1(_1148_),
+    .B2(_1168_),
+    .X(_0894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3428_ (.A(\u_spim_regs.reg2spi_addr[7] ),
-    .Y(_1170_),
+ sky130_fd_sc_hd__inv_2 _4773_ (.A(\u_spim_regs.spim_reg_rdata[17] ),
+    .Y(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3429_ (.A(\u_spim_regs.spi_clk_div[7] ),
-    .Y(_1171_),
+ sky130_fd_sc_hd__buf_2 _4774_ (.A(_0939_),
+    .X(_1170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3430_ (.A(_1149_),
-    .B(_1151_),
-    .C(_1148_),
-    .D(_0488_),
+ sky130_fd_sc_hd__buf_2 _4775_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
+    .X(_1171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4776_ (.A(_1171_),
     .X(_1172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3431_ (.A(_1172_),
+ sky130_fd_sc_hd__o22a_4 _4777_ (.A1(_1172_),
+    .A2(\u_m1_res_fifo.mem[0][17] ),
+    .B1(_0948_),
+    .B2(\u_m1_res_fifo.mem[1][17] ),
     .X(_1173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3432_ (.A1(_1170_),
-    .A2(_0673_),
-    .B1(_1171_),
-    .B2(_1173_),
-    .X(_1174_),
+ sky130_fd_sc_hd__inv_2 _4778_ (.A(spi_debug[17]),
+    .Y(_1174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3433_ (.A(\u_spim_regs.spim_reg_rdata[7] ),
-    .Y(_1175_),
+ sky130_fd_sc_hd__buf_2 _4779_ (.A(_1174_),
+    .X(_1175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3434_ (.A(\u_spim_regs.reg2spi_wdata[7] ),
-    .Y(_1176_),
+ sky130_fd_sc_hd__buf_2 _4780_ (.A(_1175_),
+    .X(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3435_ (.A1(_1175_),
-    .A2(_1041_),
-    .B1(_1176_),
-    .B2(_0677_),
+ sky130_fd_sc_hd__a2bb2o_4 _4781_ (.A1_N(psn_net_50),
+    .A2_N(_1152_),
+    .B1(\u_spim_regs.cfg_m1_addr[17] ),
+    .B2(_0982_),
     .X(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3436_ (.A(_1166_),
-    .B(_1169_),
-    .C(_1174_),
-    .D(_1177_),
-    .X(_1178_),
+ sky130_fd_sc_hd__inv_2 _4782_ (.A(\u_spim_regs.cfg_m0_spi_seq[1] ),
+    .Y(_1178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3437_ (.A(_1178_),
-    .Y(_1179_),
+ sky130_fd_sc_hd__buf_2 _4783_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .X(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3438_ (.A1(_1159_),
-    .A2(_0090_),
-    .B1(_1138_),
-    .B2(_1179_),
+ sky130_fd_sc_hd__a2bb2o_4 _4784_ (.A1_N(_1178_),
+    .A2_N(_1142_),
+    .B1(_1179_),
+    .B2(_1144_),
     .X(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3439_ (.A1(wbd_dat_o[7]),
-    .A2(_1157_),
-    .B1(_1158_),
-    .B2(_1180_),
-    .X(_0362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3440_ (.A(_0695_),
+ sky130_fd_sc_hd__a211o_4 _4785_ (.A1(_1131_),
+    .A2(_1173_),
+    .B1(_1177_),
+    .C1(_1180_),
     .X(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3441_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
-    .A2(_1113_),
-    .B1(\u_spictrl.u_rxreg.data_int[29] ),
-    .B2(_1114_),
-    .X(_1182_),
+ sky130_fd_sc_hd__a2bb2o_4 _4786_ (.A1_N(_1169_),
+    .A2_N(_1170_),
+    .B1(_1170_),
+    .B2(_1181_),
+    .X(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3442_ (.A(_0812_),
+ sky130_fd_sc_hd__inv_2 _4787_ (.A(\u_spim_regs.spim_reg_rdata[16] ),
+    .Y(_1182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _4788_ (.A1(_1172_),
+    .A2(\u_m1_res_fifo.mem[0][16] ),
+    .B1(_0948_),
+    .B2(\u_m1_res_fifo.mem[1][16] ),
     .X(_1183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3443_ (.A1(_1181_),
-    .A2(_1182_),
-    .B1(\u_spictrl.u_rxreg.data_int[30] ),
-    .B2(_1183_),
-    .X(_0089_),
+ sky130_fd_sc_hd__buf_2 _4789_ (.A(_0966_),
+    .X(_1184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3444_ (.A(\u_spim_regs.reg2spi_wdata[6] ),
-    .Y(_1184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3445_ (.A1(_0791_),
-    .A2(_0546_),
-    .B1(_1184_),
-    .B2(_1128_),
+ sky130_fd_sc_hd__and2_4 _4790_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
+    .B(_1184_),
     .X(_1185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3446_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[6] ),
-    .Y(_1186_),
+ sky130_fd_sc_hd__buf_2 _4791_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
+    .X(_1186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3447_ (.A(_0750_),
+ sky130_fd_sc_hd__inv_2 _4792_ (.A(_1186_),
     .Y(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3448_ (.A(_1152_),
+ sky130_fd_sc_hd__buf_2 _4793_ (.A(_1187_),
     .X(_1188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3449_ (.A1(_1186_),
-    .A2(_0491_),
-    .B1(_1187_),
-    .B2(_1188_),
+ sky130_fd_sc_hd__buf_2 _4794_ (.A(_0953_),
     .X(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3450_ (.A(\u_spim_regs.reg2spi_addr[6] ),
-    .Y(_1190_),
+ sky130_fd_sc_hd__a2bb2o_4 _4795_ (.A1_N(_1188_),
+    .A2_N(_1189_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_1138_),
+    .X(_1190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3451_ (.A(\u_spim_regs.reg2spi_cmd[6] ),
-    .Y(_1191_),
+ sky130_fd_sc_hd__a211o_4 _4796_ (.A1(_0943_),
+    .A2(_1183_),
+    .B1(_1185_),
+    .C1(_1190_),
+    .X(_1191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3452_ (.A1(_1190_),
-    .A2(_0673_),
-    .B1(_1191_),
-    .B2(_1167_),
-    .X(_1192_),
+ sky130_fd_sc_hd__a2bb2o_4 _4797_ (.A1_N(_1182_),
+    .A2_N(_1170_),
+    .B1(_1170_),
+    .B2(_1191_),
+    .X(_0892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3453_ (.A(\u_spim_regs.spim_reg_rdata[6] ),
+ sky130_fd_sc_hd__inv_2 _4798_ (.A(\u_spim_regs.spim_reg_rdata[15] ),
+    .Y(_1192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _4799_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
+    .A2(_1120_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .B2(_0956_),
     .Y(_1193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3454_ (.A(\u_spim_regs.spi_clk_div[6] ),
+ sky130_fd_sc_hd__inv_2 _4800_ (.A(\u_spictrl.spi_clk_div[7] ),
     .Y(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3455_ (.A1(_1193_),
-    .A2(_0680_),
-    .B1(_1194_),
-    .B2(_1173_),
+ sky130_fd_sc_hd__or4_4 _4801_ (.A(_0958_),
+    .B(_0964_),
+    .C(_0951_),
+    .D(_0988_),
     .X(_1195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3456_ (.A(_1185_),
-    .B(_1189_),
-    .C(_1192_),
-    .D(_1195_),
+ sky130_fd_sc_hd__buf_2 _4802_ (.A(_1195_),
     .X(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3457_ (.A(_1196_),
+ sky130_fd_sc_hd__inv_2 _4803_ (.A(\u_spim_regs.cfg_m0_mode_reg[7] ),
     .Y(_1197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3458_ (.A1(_1159_),
-    .A2(_0089_),
-    .B1(_1138_),
-    .B2(_1197_),
+ sky130_fd_sc_hd__o22a_4 _4804_ (.A1(_1194_),
+    .A2(_1196_),
+    .B1(_1197_),
+    .B2(_1141_),
     .X(_1198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3459_ (.A1(wbd_dat_o[6]),
-    .A2(_1157_),
-    .B1(_1158_),
-    .B2(_1198_),
-    .X(_0361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3460_ (.A(_0806_),
+ sky130_fd_sc_hd__o22a_4 _4805_ (.A1(_1171_),
+    .A2(\u_m1_res_fifo.mem[0][15] ),
+    .B1(_0947_),
+    .B2(\u_m1_res_fifo.mem[1][15] ),
     .X(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3461_ (.A(_0808_),
-    .X(_1200_),
+ sky130_fd_sc_hd__nand2_4 _4806_ (.A(_1034_),
+    .B(_1199_),
+    .Y(_1200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3462_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
-    .A2(_1199_),
-    .B1(\u_spictrl.u_rxreg.data_int[28] ),
-    .B2(_1200_),
+ sky130_fd_sc_hd__and3_4 _4807_ (.A(_1193_),
+    .B(_1198_),
+    .C(_1200_),
     .X(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3463_ (.A1(_1181_),
-    .A2(_1201_),
-    .B1(\u_spictrl.u_rxreg.data_int[29] ),
-    .B2(_1183_),
-    .X(_0088_),
+ sky130_fd_sc_hd__o22a_4 _4808_ (.A1(_1192_),
+    .A2(_0980_),
+    .B1(_0981_),
+    .B2(_1201_),
+    .X(_1202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3464_ (.A(\u_spim_regs.reg2spi_wdata[5] ),
-    .Y(_1202_),
+ sky130_fd_sc_hd__inv_2 _4809_ (.A(_1202_),
+    .Y(_0891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3465_ (.A1(_0593_),
-    .A2(_0546_),
-    .B1(_1202_),
-    .B2(_1128_),
+ sky130_fd_sc_hd__buf_2 _4810_ (.A(_0938_),
     .X(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3466_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[5] ),
-    .Y(_1204_),
+ sky130_fd_sc_hd__buf_2 _4811_ (.A(_1203_),
+    .X(_1204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3467_ (.A1(_1204_),
-    .A2(_0668_),
-    .B1(_0784_),
-    .B2(_1188_),
+ sky130_fd_sc_hd__buf_2 _4812_ (.A(_1120_),
     .X(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3468_ (.A(\u_spim_regs.reg2spi_addr[5] ),
+ sky130_fd_sc_hd__nand2_4 _4813_ (.A(\u_spim_regs.cfg_m1_addr[14] ),
+    .B(_1205_),
     .Y(_1206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3469_ (.A1(_1206_),
-    .A2(_0673_),
-    .B1(_0637_),
-    .B2(_1167_),
-    .X(_1207_),
+ sky130_fd_sc_hd__inv_2 _4814_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .Y(_1207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3470_ (.A(\u_spim_regs.spim_reg_rdata[5] ),
-    .Y(_1208_),
+ sky130_fd_sc_hd__buf_2 _4815_ (.A(spi_debug[14]),
+    .X(_1208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3471_ (.A(\u_spictrl.spi_clk_div[5] ),
+ sky130_fd_sc_hd__inv_2 _4816_ (.A(_1208_),
     .Y(_1209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3472_ (.A1(_1208_),
-    .A2(_0680_),
+ sky130_fd_sc_hd__o22a_4 _4817_ (.A1(_1207_),
+    .A2(_1189_),
     .B1(_1209_),
-    .B2(_1173_),
+    .B2(_1152_),
     .X(_1210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3473_ (.A(_1203_),
-    .B(_1205_),
-    .C(_1207_),
-    .D(_1210_),
-    .X(_1211_),
+ sky130_fd_sc_hd__inv_2 _4818_ (.A(\u_spictrl.spi_clk_div[6] ),
+    .Y(_1211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3474_ (.A(_1211_),
-    .Y(_1212_),
+ sky130_fd_sc_hd__buf_2 _4819_ (.A(_1195_),
+    .X(_1212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3475_ (.A1(_1159_),
-    .A2(_0088_),
-    .B1(_1138_),
-    .B2(_1212_),
+ sky130_fd_sc_hd__buf_2 _4820_ (.A(_1212_),
     .X(_1213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3476_ (.A1(wbd_dat_o[5]),
-    .A2(_1157_),
-    .B1(_1158_),
-    .B2(_1213_),
-    .X(_0360_),
+ sky130_fd_sc_hd__inv_2 _4821_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .Y(_1214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3477_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
-    .A2(_1199_),
-    .B1(\u_spictrl.u_rxreg.data_int[27] ),
-    .B2(_1200_),
-    .X(_1214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3478_ (.A1(_1181_),
-    .A2(_1214_),
-    .B1(\u_spictrl.u_rxreg.data_int[28] ),
-    .B2(_1183_),
-    .X(_0087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3479_ (.A(_0687_),
+ sky130_fd_sc_hd__buf_2 _4822_ (.A(_1016_),
     .X(_1215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3480_ (.A(_1073_),
+ sky130_fd_sc_hd__o22a_4 _4823_ (.A1(_1211_),
+    .A2(_1213_),
+    .B1(_1214_),
+    .B2(_1215_),
     .X(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3481_ (.A1(\u_spim_regs.reg2spi_swrst ),
-    .A2(_2576_),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
-    .B2(_1216_),
+ sky130_fd_sc_hd__inv_2 _4824_ (.A(\u_m1_res_fifo.mem[1][14] ),
     .Y(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3482_ (.A(\u_spim_regs.reg2spi_addr[4] ),
+ sky130_fd_sc_hd__inv_2 _4825_ (.A(\u_m1_res_fifo.mem[0][14] ),
     .Y(_1218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3483_ (.A1(_1218_),
-    .A2(_1121_),
-    .B1(_0786_),
-    .B2(_1188_),
+ sky130_fd_sc_hd__and2_4 _4826_ (.A(_1133_),
+    .B(_1218_),
     .X(_1219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3484_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .Y(_1220_),
+ sky130_fd_sc_hd__buf_2 _4827_ (.A(_1006_),
+    .X(_1220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3485_ (.A(_1172_),
+ sky130_fd_sc_hd__a211o_4 _4828_ (.A1(_1172_),
+    .A2(_1217_),
+    .B1(_1219_),
+    .C1(_1220_),
     .X(_1221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3486_ (.A(\u_spim_regs.reg2spi_wdata[4] ),
-    .Y(_1222_),
+ sky130_fd_sc_hd__and4_4 _4829_ (.A(_1206_),
+    .B(_1210_),
+    .C(_1216_),
+    .D(_1221_),
+    .X(_1222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3487_ (.A(_1047_),
-    .X(_1223_),
+ sky130_fd_sc_hd__a2bb2o_4 _4830_ (.A1_N(_1204_),
+    .A2_N(_1222_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_1204_),
+    .X(_0890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3488_ (.A1(_1220_),
-    .A2(_1221_),
-    .B1(_1222_),
-    .B2(_1223_),
-    .X(_1224_),
+ sky130_fd_sc_hd__nand2_4 _4831_ (.A(\u_spim_regs.cfg_m1_addr[13] ),
+    .B(_1205_),
+    .Y(_1223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3489_ (.A(\u_spim_regs.reg2spi_cmd_len[4] ),
+ sky130_fd_sc_hd__inv_2 _4832_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .Y(_1224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _4833_ (.A(spi_debug[13]),
     .Y(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3490_ (.A(\u_spim_regs.reg2spi_cmd[4] ),
-    .Y(_1226_),
+ sky130_fd_sc_hd__buf_2 _4834_ (.A(_0991_),
+    .X(_1226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3491_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[4] ),
-    .Y(_1227_),
+ sky130_fd_sc_hd__o22a_4 _4835_ (.A1(_1224_),
+    .A2(_1189_),
+    .B1(_1225_),
+    .B2(_1226_),
+    .X(_1227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3492_ (.A(_0502_),
-    .X(_1228_),
+ sky130_fd_sc_hd__inv_2 _4836_ (.A(\u_spictrl.spi_clk_div[5] ),
+    .Y(_1228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3493_ (.A1(_1226_),
-    .A2(_1167_),
-    .B1(_1227_),
-    .B2(_1228_),
-    .X(_1229_),
+ sky130_fd_sc_hd__inv_2 _4837_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .Y(_1229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3494_ (.A1(_1225_),
-    .A2(_0547_),
+ sky130_fd_sc_hd__o22a_4 _4838_ (.A1(_1228_),
+    .A2(_1213_),
     .B1(_1229_),
+    .B2(_1215_),
     .X(_1230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3495_ (.A(_1217_),
-    .B(_1219_),
-    .C(_1224_),
-    .D(_1230_),
+ sky130_fd_sc_hd__buf_2 _4839_ (.A(_1001_),
     .X(_1231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3496_ (.A(_1231_),
+ sky130_fd_sc_hd__inv_2 _4840_ (.A(\u_m1_res_fifo.mem[1][13] ),
     .Y(_1232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3497_ (.A1(_1159_),
-    .A2(_0087_),
-    .B1(_1215_),
-    .B2(_1232_),
+ sky130_fd_sc_hd__buf_2 _4841_ (.A(_1003_),
     .X(_1233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3498_ (.A1(wbd_dat_o[4]),
-    .A2(_1157_),
-    .B1(_1158_),
-    .B2(_1233_),
-    .X(_0359_),
+ sky130_fd_sc_hd__inv_2 _4842_ (.A(\u_m1_res_fifo.mem[0][13] ),
+    .Y(_1234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3499_ (.A(_0664_),
-    .X(_1234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3500_ (.A(_0817_),
+ sky130_fd_sc_hd__and2_4 _4843_ (.A(_1233_),
+    .B(_1234_),
     .X(_1235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3501_ (.A(_1095_),
+ sky130_fd_sc_hd__a211o_4 _4844_ (.A1(_1231_),
+    .A2(_1232_),
+    .B1(_1235_),
+    .C1(_1220_),
     .X(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3502_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
-    .A2(_1199_),
-    .B1(\u_spictrl.u_rxreg.data_int[26] ),
-    .B2(_1200_),
+ sky130_fd_sc_hd__and4_4 _4845_ (.A(_1223_),
+    .B(_1227_),
+    .C(_1230_),
+    .D(_1236_),
     .X(_1237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3503_ (.A1(_1181_),
-    .A2(_1237_),
-    .B1(\u_spictrl.u_rxreg.data_int[27] ),
-    .B2(_1183_),
-    .X(_0086_),
+ sky130_fd_sc_hd__a2bb2o_4 _4846_ (.A1_N(_1204_),
+    .A2_N(_1237_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_1204_),
+    .X(_0889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3504_ (.A1(\u_spim_regs.reg2spi_qwr ),
-    .A2(_2576_),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_1216_),
+ sky130_fd_sc_hd__inv_2 _4847_ (.A(\u_spim_regs.spim_reg_rdata[12] ),
     .Y(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3505_ (.A(\u_spim_regs.reg2spi_addr[3] ),
+ sky130_fd_sc_hd__a22oi_4 _4848_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
+    .A2(_0961_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B2(_0956_),
     .Y(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3506_ (.A(_1043_),
-    .X(_1240_),
+ sky130_fd_sc_hd__inv_2 _4849_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .Y(_1240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3507_ (.A(\u_spictrl.spi_status[3] ),
+ sky130_fd_sc_hd__inv_2 _4850_ (.A(\u_spim_regs.cfg_m0_mode_reg[4] ),
     .Y(_1241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3508_ (.A1(_1239_),
-    .A2(_1240_),
+ sky130_fd_sc_hd__o22a_4 _4851_ (.A1(_1240_),
+    .A2(_1212_),
     .B1(_1241_),
-    .B2(_1188_),
+    .B2(_1141_),
     .X(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3509_ (.A(\u_spictrl.spi_clk_div[3] ),
-    .Y(_1243_),
+ sky130_fd_sc_hd__o22a_4 _4852_ (.A1(_1171_),
+    .A2(\u_m1_res_fifo.mem[0][12] ),
+    .B1(_0947_),
+    .B2(\u_m1_res_fifo.mem[1][12] ),
+    .X(_1243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3510_ (.A(\u_spim_regs.reg2spi_wdata[3] ),
+ sky130_fd_sc_hd__nand2_4 _4853_ (.A(_1034_),
+    .B(_1243_),
     .Y(_1244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3511_ (.A1(_1243_),
-    .A2(_1221_),
-    .B1(_1244_),
-    .B2(_1223_),
+ sky130_fd_sc_hd__and3_4 _4854_ (.A(_1239_),
+    .B(_1242_),
+    .C(_1244_),
     .X(_1245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3512_ (.A(\u_spim_regs.reg2spi_cmd_len[3] ),
-    .Y(_1246_),
+ sky130_fd_sc_hd__o22a_4 _4855_ (.A1(_1238_),
+    .A2(_0940_),
+    .B1(_1203_),
+    .B2(_1245_),
+    .X(_1246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3513_ (.A(_0627_),
+ sky130_fd_sc_hd__inv_2 _4856_ (.A(_1246_),
+    .Y(_0888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4857_ (.A(_1203_),
     .X(_1247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3514_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[3] ),
+ sky130_fd_sc_hd__nand2_4 _4858_ (.A(\u_spim_regs.cfg_m1_addr[11] ),
+    .B(_1205_),
     .Y(_1248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3515_ (.A1(_0640_),
-    .A2(_1247_),
-    .B1(_1248_),
-    .B2(_1228_),
-    .X(_1249_),
+ sky130_fd_sc_hd__inv_2 _4859_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .Y(_1249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3516_ (.A1(_1246_),
-    .A2(_0547_),
-    .B1(_1249_),
+ sky130_fd_sc_hd__buf_2 _4860_ (.A(_0953_),
     .X(_1250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3517_ (.A(_1238_),
-    .B(_1242_),
-    .C(_1245_),
-    .D(_1250_),
-    .X(_1251_),
+ sky130_fd_sc_hd__inv_2 _4861_ (.A(spi_debug[11]),
+    .Y(_1251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3518_ (.A(_1251_),
-    .Y(_1252_),
+ sky130_fd_sc_hd__buf_2 _4862_ (.A(_1251_),
+    .X(_1252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3519_ (.A1(_1236_),
-    .A2(_0086_),
-    .B1(_1215_),
-    .B2(_1252_),
+ sky130_fd_sc_hd__o22a_4 _4863_ (.A1(_1249_),
+    .A2(_1250_),
+    .B1(_1252_),
+    .B2(_1226_),
     .X(_1253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3520_ (.A1(wbd_dat_o[3]),
-    .A2(_1234_),
-    .B1(_1235_),
-    .B2(_1253_),
-    .X(_0358_),
+ sky130_fd_sc_hd__inv_2 _4864_ (.A(\u_spictrl.spi_clk_div[3] ),
+    .Y(_1254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3521_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
-    .A2(_1199_),
-    .B1(\u_spictrl.u_rxreg.data_int[25] ),
-    .B2(_1200_),
-    .X(_1254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3522_ (.A1(_1162_),
-    .A2(_1254_),
-    .B1(\u_spictrl.u_rxreg.data_int[26] ),
-    .B2(_1058_),
-    .X(_0085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3523_ (.A1(_0518_),
-    .A2(_2576_),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_1216_),
+ sky130_fd_sc_hd__inv_2 _4865_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
     .Y(_1255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3524_ (.A(\u_spim_regs.reg2spi_addr[2] ),
-    .Y(_1256_),
+ sky130_fd_sc_hd__o22a_4 _4866_ (.A1(_1254_),
+    .A2(_1196_),
+    .B1(_1255_),
+    .B2(_1215_),
+    .X(_1256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3525_ (.A1(_1256_),
-    .A2(_1240_),
-    .B1(_0775_),
-    .B2(_1165_),
-    .X(_1257_),
+ sky130_fd_sc_hd__inv_2 _4867_ (.A(\u_m1_res_fifo.mem[1][11] ),
+    .Y(_1257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3526_ (.A(\u_spictrl.spi_clk_div[2] ),
+ sky130_fd_sc_hd__inv_2 _4868_ (.A(\u_m1_res_fifo.mem[0][11] ),
     .Y(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3527_ (.A(\u_spim_regs.reg2spi_wdata[2] ),
-    .Y(_1259_),
+ sky130_fd_sc_hd__and2_4 _4869_ (.A(_1233_),
+    .B(_1258_),
+    .X(_1259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3528_ (.A1(_1258_),
-    .A2(_1221_),
-    .B1(_1259_),
-    .B2(_1223_),
+ sky130_fd_sc_hd__buf_2 _4870_ (.A(_1007_),
     .X(_1260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3529_ (.A(\u_spim_regs.reg2spi_cmd_len[2] ),
-    .Y(_1261_),
+ sky130_fd_sc_hd__a211o_4 _4871_ (.A1(_1231_),
+    .A2(_1257_),
+    .B1(_1259_),
+    .C1(_1260_),
+    .X(_1261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3530_ (.A(\u_spim_regs.reg2spi_cmd[2] ),
-    .Y(_1262_),
+ sky130_fd_sc_hd__and4_4 _4872_ (.A(_1248_),
+    .B(_1253_),
+    .C(_1256_),
+    .D(_1261_),
+    .X(_1262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3531_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[2] ),
+ sky130_fd_sc_hd__a2bb2o_4 _4873_ (.A1_N(_1247_),
+    .A2_N(_1262_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
+    .B2(_1247_),
+    .X(_0887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _4874_ (.A(\u_spim_regs.cfg_m1_addr[10] ),
+    .B(_1205_),
     .Y(_1263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3532_ (.A1(_1262_),
-    .A2(_1247_),
-    .B1(_1263_),
-    .B2(_1228_),
-    .X(_1264_),
+ sky130_fd_sc_hd__inv_2 _4875_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .Y(_1264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3533_ (.A1(_1261_),
-    .A2(_1140_),
-    .B1(_1264_),
+ sky130_fd_sc_hd__buf_2 _4876_ (.A(_0911_),
     .X(_1265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3534_ (.A(_1255_),
-    .B(_1257_),
-    .C(_1260_),
-    .D(_1265_),
+ sky130_fd_sc_hd__o22a_4 _4877_ (.A1(_1264_),
+    .A2(_1250_),
+    .B1(_1265_),
+    .B2(_1226_),
     .X(_1266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3535_ (.A(_1266_),
+ sky130_fd_sc_hd__inv_2 _4878_ (.A(\u_spictrl.spi_clk_div[2] ),
     .Y(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3536_ (.A1(_1236_),
-    .A2(_0085_),
-    .B1(_1215_),
-    .B2(_1267_),
-    .X(_1268_),
+ sky130_fd_sc_hd__inv_2 _4879_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .Y(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3537_ (.A1(wbd_dat_o[2]),
-    .A2(_1234_),
-    .B1(_1235_),
-    .B2(_1268_),
-    .X(_0357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3538_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
-    .A2(_1055_),
-    .B1(\u_spictrl.u_rxreg.data_int[24] ),
-    .B2(_1056_),
+ sky130_fd_sc_hd__buf_2 _4880_ (.A(_1016_),
     .X(_1269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3539_ (.A1(_1162_),
-    .A2(_1269_),
-    .B1(\u_spictrl.u_rxreg.data_int[25] ),
-    .B2(_1058_),
-    .X(_0084_),
+ sky130_fd_sc_hd__o22a_4 _4881_ (.A1(_1267_),
+    .A2(_1196_),
+    .B1(_1268_),
+    .B2(_1269_),
+    .X(_1270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3540_ (.A1(\u_spim_regs.reg2spi_wr ),
-    .A2(_2575_),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_1216_),
-    .Y(_1270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3541_ (.A(\u_spim_regs.reg2spi_addr[1] ),
+ sky130_fd_sc_hd__inv_2 _4882_ (.A(\u_m1_res_fifo.mem[1][10] ),
     .Y(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3542_ (.A1(_1271_),
-    .A2(_1240_),
-    .B1(_0774_),
-    .B2(_1165_),
-    .X(_1272_),
+ sky130_fd_sc_hd__inv_2 _4883_ (.A(\u_m1_res_fifo.mem[0][10] ),
+    .Y(_1272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3543_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .Y(_1273_),
+ sky130_fd_sc_hd__and2_4 _4884_ (.A(_1233_),
+    .B(_1272_),
+    .X(_1273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3544_ (.A(\u_spim_regs.reg2spi_wdata[1] ),
-    .Y(_1274_),
+ sky130_fd_sc_hd__a211o_4 _4885_ (.A1(_1231_),
+    .A2(_1271_),
+    .B1(_1273_),
+    .C1(_1260_),
+    .X(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3545_ (.A1(_1273_),
-    .A2(_1221_),
-    .B1(_1274_),
-    .B2(_1223_),
+ sky130_fd_sc_hd__and4_4 _4886_ (.A(_1263_),
+    .B(_1266_),
+    .C(_1270_),
+    .D(_1274_),
     .X(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3546_ (.A(\u_spim_regs.reg2spi_cmd_len[1] ),
-    .Y(_1276_),
+ sky130_fd_sc_hd__a2bb2o_4 _4887_ (.A1_N(_1247_),
+    .A2_N(_1275_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_1247_),
+    .X(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3547_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[1] ),
-    .Y(_1277_),
+ sky130_fd_sc_hd__buf_2 _4888_ (.A(_0938_),
+    .X(_1276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3548_ (.A1(_0643_),
-    .A2(_1247_),
-    .B1(_1277_),
-    .B2(_1228_),
-    .X(_1278_),
+ sky130_fd_sc_hd__buf_2 _4889_ (.A(_1276_),
+    .X(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3549_ (.A1(_1276_),
-    .A2(_1140_),
-    .B1(_1278_),
+ sky130_fd_sc_hd__inv_2 _4890_ (.A(\u_spim_regs.cfg_m1_wdata[9] ),
+    .Y(_1278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _4891_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .B(_0927_),
+    .C(_0924_),
+    .D(_0952_),
     .X(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3550_ (.A(_1270_),
-    .B(_1272_),
-    .C(_1275_),
-    .D(_1279_),
-    .X(_1280_),
+ sky130_fd_sc_hd__inv_2 _4892_ (.A(\u_spim_regs.cfg_m1_addr[9] ),
+    .Y(_1280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3551_ (.A(_1280_),
-    .Y(_1281_),
+ sky130_fd_sc_hd__o22a_4 _4893_ (.A1(_1278_),
+    .A2(_1279_),
+    .B1(_1280_),
+    .B2(_1041_),
+    .X(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3552_ (.A1(_1236_),
-    .A2(_0084_),
-    .B1(_1215_),
-    .B2(_1281_),
-    .X(_1282_),
+ sky130_fd_sc_hd__inv_2 _4894_ (.A(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .Y(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3553_ (.A1(wbd_dat_o[1]),
-    .A2(_1234_),
-    .B1(_1235_),
-    .B2(_1282_),
-    .X(_0356_),
+ sky130_fd_sc_hd__inv_2 _4895_ (.A(\u_spictrl.spi_clk_div[1] ),
+    .Y(_1283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3554_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
-    .A2(_1055_),
-    .B1(\u_spictrl.u_rxreg.data_int[23] ),
-    .B2(_1056_),
-    .X(_1283_),
+ sky130_fd_sc_hd__o22a_4 _4896_ (.A1(_1282_),
+    .A2(_1250_),
+    .B1(_1283_),
+    .B2(_1213_),
+    .X(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3555_ (.A1(_1162_),
-    .A2(_1283_),
-    .B1(\u_spictrl.u_rxreg.data_int[24] ),
-    .B2(_1058_),
-    .X(_0083_),
+ sky130_fd_sc_hd__buf_2 _4897_ (.A(_0912_),
+    .X(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _3556_ (.A1(\u_spim_regs.reg2spi_rd ),
-    .A2(_2575_),
-    .B1(\u_spim_regs.spim_reg_rdata[0] ),
-    .B2(_1106_),
-    .Y(_1284_),
+ sky130_fd_sc_hd__inv_2 _4898_ (.A(\u_spim_regs.cfg_m0_mode_reg[1] ),
+    .Y(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3557_ (.A(\u_spim_regs.reg2spi_addr[0] ),
-    .Y(_1285_),
+ sky130_fd_sc_hd__o22a_4 _4899_ (.A1(_1285_),
+    .A2(_1226_),
+    .B1(_1286_),
+    .B2(_1269_),
+    .X(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3558_ (.A1(_1285_),
-    .A2(_1240_),
-    .B1(_0756_),
-    .B2(_1165_),
-    .X(_1286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3559_ (.A(\u_spictrl.spi_clk_div[0] ),
-    .Y(_1287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3560_ (.A(\u_spim_regs.reg2spi_wdata[0] ),
+ sky130_fd_sc_hd__inv_2 _4900_ (.A(\u_m1_res_fifo.mem[1][9] ),
     .Y(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3561_ (.A1(_1287_),
-    .A2(_1172_),
-    .B1(_1288_),
-    .B2(_0677_),
-    .X(_1289_),
+ sky130_fd_sc_hd__inv_2 _4901_ (.A(\u_m1_res_fifo.mem[0][9] ),
+    .Y(_1289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3562_ (.A(\u_spim_regs.reg2spi_cmd_len[0] ),
-    .Y(_1290_),
+ sky130_fd_sc_hd__and2_4 _4902_ (.A(_1233_),
+    .B(_1289_),
+    .X(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3563_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[0] ),
-    .Y(_1291_),
+ sky130_fd_sc_hd__a211o_4 _4903_ (.A1(_1231_),
+    .A2(_1288_),
+    .B1(_1290_),
+    .C1(_1260_),
+    .X(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3564_ (.A1(_0647_),
-    .A2(_1247_),
-    .B1(_1291_),
-    .B2(_0490_),
+ sky130_fd_sc_hd__and4_4 _4904_ (.A(_1281_),
+    .B(_1284_),
+    .C(_1287_),
+    .D(_1291_),
     .X(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3565_ (.A1(_1290_),
-    .A2(_1140_),
-    .B1(_1292_),
-    .X(_1293_),
+ sky130_fd_sc_hd__a2bb2o_4 _4905_ (.A1_N(_1277_),
+    .A2_N(_1292_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_1277_),
+    .X(_0885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3566_ (.A(_1284_),
-    .B(_1286_),
-    .C(_1289_),
-    .D(_1293_),
+ sky130_fd_sc_hd__inv_2 _4906_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+    .Y(_1293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4907_ (.A(_1293_),
     .X(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3567_ (.A(_1294_),
-    .Y(_1295_),
+ sky130_fd_sc_hd__buf_2 _4908_ (.A(_1294_),
+    .X(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3568_ (.A1(_1236_),
-    .A2(_0083_),
-    .B1(_0688_),
-    .B2(_1295_),
+ sky130_fd_sc_hd__buf_2 _4909_ (.A(_0926_),
     .X(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3569_ (.A1(wbd_dat_o[0]),
-    .A2(_1234_),
-    .B1(_1235_),
-    .B2(_1296_),
-    .X(_0355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3570_ (.A(_0586_),
+ sky130_fd_sc_hd__or4_4 _4910_ (.A(_0924_),
+    .B(_0925_),
+    .C(_1296_),
+    .D(_0990_),
     .X(_1297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3571_ (.A(_1044_),
-    .X(_1298_),
+ sky130_fd_sc_hd__inv_2 _4911_ (.A(\u_spim_regs.cfg_m0_mode_reg[0] ),
+    .Y(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3572_ (.A(_1297_),
-    .B(_1298_),
-    .Y(_1299_),
+ sky130_fd_sc_hd__buf_2 _4912_ (.A(_1141_),
+    .X(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3573_ (.A(_1299_),
+ sky130_fd_sc_hd__o22a_4 _4913_ (.A1(_1295_),
+    .A2(_1297_),
+    .B1(_1298_),
+    .B2(_1299_),
     .X(_1300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3574_ (.A(\u_spim_regs.spim_wb_wdata[7] ),
-    .X(_1301_),
+ sky130_fd_sc_hd__inv_2 _4914_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
+    .Y(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3575_ (.A1_N(_1170_),
-    .A2_N(_1300_),
-    .B1(_1301_),
-    .B2(_1300_),
-    .X(_0354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3576_ (.A(\u_spim_regs.spim_wb_wdata[6] ),
+ sky130_fd_sc_hd__or4_4 _4915_ (.A(_1296_),
+    .B(_0964_),
+    .C(_0987_),
+    .D(_0925_),
     .X(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3577_ (.A1_N(_1190_),
-    .A2_N(_1300_),
-    .B1(_1302_),
-    .B2(_1300_),
-    .X(_0353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3578_ (.A(_1299_),
+ sky130_fd_sc_hd__buf_2 _4916_ (.A(_1302_),
     .X(_1303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3579_ (.A(\u_spim_regs.spim_wb_wdata[5] ),
-    .X(_1304_),
+ sky130_fd_sc_hd__inv_2 _4917_ (.A(\u_spictrl.spi_clk_div[0] ),
+    .Y(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3580_ (.A1_N(_1206_),
-    .A2_N(_1303_),
+ sky130_fd_sc_hd__o22a_4 _4918_ (.A1(_1301_),
+    .A2(_1303_),
     .B1(_1304_),
-    .B2(_1303_),
-    .X(_0352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3581_ (.A(\u_spim_regs.spim_wb_wdata[4] ),
+    .B2(_1213_),
     .X(_1305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3582_ (.A1_N(_1218_),
-    .A2_N(_1303_),
-    .B1(_1305_),
-    .B2(_1303_),
-    .X(_0351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3583_ (.A(_1299_),
+ sky130_fd_sc_hd__buf_2 _4919_ (.A(_1143_),
     .X(_1306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3584_ (.A(\u_spim_regs.spim_wb_wdata[3] ),
-    .X(_1307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3585_ (.A1_N(_1239_),
-    .A2_N(_1306_),
-    .B1(_1307_),
+ sky130_fd_sc_hd__a22oi_4 _4920_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
+    .A2(_1138_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
     .B2(_1306_),
-    .X(_0350_),
+    .Y(_1307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3586_ (.A(\u_spim_regs.spim_wb_wdata[2] ),
+ sky130_fd_sc_hd__buf_2 _4921_ (.A(_1171_),
     .X(_1308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3587_ (.A1_N(_1256_),
-    .A2_N(_1306_),
-    .B1(_1308_),
-    .B2(_1306_),
-    .X(_0349_),
+ sky130_fd_sc_hd__inv_2 _4922_ (.A(\u_m1_res_fifo.mem[1][8] ),
+    .Y(_1309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3588_ (.A(_1299_),
-    .X(_1309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3589_ (.A(_0544_),
+ sky130_fd_sc_hd__buf_2 _4923_ (.A(_1003_),
     .X(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3590_ (.A1_N(_1271_),
-    .A2_N(_1309_),
-    .B1(_1310_),
-    .B2(_1309_),
-    .X(_0348_),
+ sky130_fd_sc_hd__inv_2 _4924_ (.A(\u_m1_res_fifo.mem[0][8] ),
+    .Y(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3591_ (.A(\u_spim_regs.spim_wb_wdata[0] ),
-    .X(_1311_),
+ sky130_fd_sc_hd__and2_4 _4925_ (.A(_1310_),
+    .B(_1311_),
+    .X(_1312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3592_ (.A1_N(_1285_),
-    .A2_N(_1309_),
-    .B1(_1311_),
-    .B2(_1309_),
-    .X(_0347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3593_ (.A(_0485_),
-    .B(_1298_),
-    .Y(_1312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3594_ (.A(_1312_),
+ sky130_fd_sc_hd__a211o_4 _4926_ (.A1(_1308_),
+    .A2(_1309_),
+    .B1(_1312_),
+    .C1(_1260_),
     .X(_1313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3595_ (.A1_N(_1042_),
-    .A2_N(_1313_),
-    .B1(_0496_),
-    .B2(_1313_),
-    .X(_0346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3596_ (.A1_N(_1061_),
-    .A2_N(_1313_),
-    .B1(_0498_),
-    .B2(_1313_),
-    .X(_0345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3597_ (.A(_1312_),
+ sky130_fd_sc_hd__and4_4 _4927_ (.A(_1300_),
+    .B(_1305_),
+    .C(_1307_),
+    .D(_1313_),
     .X(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3598_ (.A1_N(_1070_),
+ sky130_fd_sc_hd__a2bb2o_4 _4928_ (.A1_N(_1277_),
     .A2_N(_1314_),
-    .B1(_0501_),
-    .B2(_1314_),
-    .X(_0344_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_1277_),
+    .X(_0884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3599_ (.A1_N(_1083_),
-    .A2_N(_1314_),
-    .B1(_0506_),
-    .B2(_1314_),
-    .X(_0343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3600_ (.A(_1312_),
+ sky130_fd_sc_hd__buf_2 _4929_ (.A(_1276_),
     .X(_1315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3601_ (.A1_N(_1108_),
-    .A2_N(_1315_),
-    .B1(_0508_),
-    .B2(_1315_),
-    .X(_0342_),
+ sky130_fd_sc_hd__inv_2 _4930_ (.A(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+    .Y(_1316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3602_ (.A1_N(_1120_),
-    .A2_N(_1315_),
-    .B1(_0511_),
-    .B2(_1315_),
-    .X(_0341_),
+ sky130_fd_sc_hd__or2_4 _4931_ (.A(_1316_),
+    .B(_1299_),
+    .X(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3603_ (.A(_1312_),
-    .X(_1316_),
+ sky130_fd_sc_hd__inv_2 _4932_ (.A(_1297_),
+    .Y(_1318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3604_ (.A1_N(_1132_),
-    .A2_N(_1316_),
-    .B1(_0513_),
-    .B2(_1316_),
-    .X(_0340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3605_ (.A1_N(_1145_),
-    .A2_N(_1316_),
-    .B1(_0514_),
-    .B2(_1316_),
-    .X(_0339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3606_ (.A(_0550_),
-    .B(_1298_),
-    .Y(_1317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3607_ (.A(_1317_),
-    .X(_1318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3608_ (.A1_N(_0670_),
-    .A2_N(_1318_),
-    .B1(_0554_),
-    .B2(_1318_),
-    .X(_0338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3609_ (.A1_N(_0823_),
-    .A2_N(_1318_),
-    .B1(_0557_),
-    .B2(_1318_),
-    .X(_0337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3610_ (.A(_1317_),
+ sky130_fd_sc_hd__buf_2 _4933_ (.A(_1318_),
     .X(_1319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3611_ (.A1_N(_0839_),
-    .A2_N(_1319_),
-    .B1(_0559_),
-    .B2(_1319_),
-    .X(_0336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3612_ (.A1_N(_0855_),
-    .A2_N(_1319_),
-    .B1(_0562_),
-    .B2(_1319_),
-    .X(_0335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3613_ (.A(_1317_),
+ sky130_fd_sc_hd__buf_2 _4934_ (.A(_1143_),
     .X(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3614_ (.A1_N(_0871_),
-    .A2_N(_1320_),
-    .B1(_0565_),
+ sky130_fd_sc_hd__a22oi_4 _4935_ (.A1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .A2(_1319_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
     .B2(_1320_),
-    .X(_0334_),
+    .Y(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3615_ (.A1_N(_0886_),
-    .A2_N(_1320_),
-    .B1(_0568_),
-    .B2(_1320_),
-    .X(_0333_),
+ sky130_fd_sc_hd__inv_2 _4936_ (.A(\u_spim_regs.cfg_m1_addr[7] ),
+    .Y(_1322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3616_ (.A(_1317_),
-    .X(_1321_),
+ sky130_fd_sc_hd__inv_2 _4937_ (.A(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .Y(_1323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3617_ (.A1_N(_0901_),
-    .A2_N(_1321_),
-    .B1(_0570_),
-    .B2(_1321_),
-    .X(_0332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3618_ (.A1_N(_0915_),
-    .A2_N(_1321_),
-    .B1(_0572_),
-    .B2(_1321_),
-    .X(_0331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3619_ (.A(_0476_),
-    .X(_1322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3620_ (.A(_0655_),
-    .X(_1323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3621_ (.A(_2577_),
-    .B(_0520_),
+ sky130_fd_sc_hd__buf_2 _4938_ (.A(_1303_),
     .X(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3622_ (.A1(_1323_),
-    .A2(_1324_),
-    .B1(_0478_),
+ sky130_fd_sc_hd__o22a_4 _4939_ (.A1(_1322_),
+    .A2(_1097_),
+    .B1(_1323_),
+    .B2(_1324_),
     .X(_1325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3623_ (.A(_1323_),
-    .B(_0459_),
+ sky130_fd_sc_hd__buf_2 _4940_ (.A(_0942_),
     .X(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3624_ (.A(_0470_),
-    .B(\u_spim_regs.spi_init_state[4] ),
-    .C(\u_spim_regs.spi_init_state[3] ),
-    .D(\u_spim_regs.spi_init_state[5] ),
+ sky130_fd_sc_hd__o22a_4 _4941_ (.A1(_1123_),
+    .A2(\u_m1_res_fifo.mem[0][7] ),
+    .B1(_1093_),
+    .B2(\u_m1_res_fifo.mem[1][7] ),
     .X(_1327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3625_ (.A1(_1323_),
-    .A2(\u_spictrl.spi_status[8] ),
-    .B1(_1326_),
-    .B2(_1327_),
-    .X(_1328_),
+ sky130_fd_sc_hd__nand2_4 _4942_ (.A(_1326_),
+    .B(_1327_),
+    .Y(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _3626_ (.A1(_1322_),
-    .A2(_1325_),
-    .B1(_1328_),
-    .Y(_0330_),
+ sky130_fd_sc_hd__and4_4 _4943_ (.A(_1317_),
+    .B(_1321_),
+    .C(_1325_),
+    .D(_1328_),
+    .X(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3627_ (.A(_0457_),
-    .B(_0677_),
-    .Y(_1329_),
+ sky130_fd_sc_hd__a2bb2o_4 _4944_ (.A1_N(_1315_),
+    .A2_N(_1329_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_1315_),
+    .X(_0883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3628_ (.A(_0461_),
-    .B(_1329_),
-    .X(_1330_),
+ sky130_fd_sc_hd__inv_2 _4945_ (.A(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+    .Y(_1330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3629_ (.A(_1330_),
+ sky130_fd_sc_hd__or2_4 _4946_ (.A(_1330_),
+    .B(_1299_),
     .X(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3630_ (.A(_1331_),
-    .X(_1332_),
+ sky130_fd_sc_hd__a22oi_4 _4947_ (.A1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .A2(_1319_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B2(_1320_),
+    .Y(_1332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3631_ (.A(_1329_),
-    .X(_1333_),
+ sky130_fd_sc_hd__inv_2 _4948_ (.A(\u_spim_regs.cfg_m1_addr[6] ),
+    .Y(_1333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3632_ (.A(_1333_),
-    .X(_1334_),
+ sky130_fd_sc_hd__inv_2 _4949_ (.A(\u_spictrl.cfg_m0_spi_switch[0] ),
+    .Y(_1334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3633_ (.A(_1334_),
+ sky130_fd_sc_hd__o22a_4 _4950_ (.A1(_1333_),
+    .A2(_1097_),
+    .B1(_1334_),
+    .B2(_1324_),
     .X(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3634_ (.A1_N(_0674_),
-    .A2_N(_1332_),
-    .B1(_0554_),
-    .B2(_1335_),
-    .X(_0329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3635_ (.A1_N(_0825_),
-    .A2_N(_1332_),
-    .B1(_0557_),
-    .B2(_1335_),
-    .X(_0328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3636_ (.A1_N(_0840_),
-    .A2_N(_1332_),
-    .B1(_0559_),
-    .B2(_1335_),
-    .X(_0327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3637_ (.A1_N(_0856_),
-    .A2_N(_1332_),
-    .B1(_0562_),
-    .B2(_1335_),
-    .X(_0326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3638_ (.A(_1331_),
+ sky130_fd_sc_hd__o22a_4 _4951_ (.A1(_1123_),
+    .A2(\u_m1_res_fifo.mem[0][6] ),
+    .B1(_1093_),
+    .B2(\u_m1_res_fifo.mem[1][6] ),
     .X(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3639_ (.A(_1333_),
-    .X(_1337_),
+ sky130_fd_sc_hd__nand2_4 _4952_ (.A(_1326_),
+    .B(_1336_),
+    .Y(_1337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3640_ (.A(_1337_),
+ sky130_fd_sc_hd__and4_4 _4953_ (.A(_1331_),
+    .B(_1332_),
+    .C(_1335_),
+    .D(_1337_),
     .X(_1338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3641_ (.A1_N(_0872_),
-    .A2_N(_1336_),
-    .B1(_0565_),
-    .B2(_1338_),
-    .X(_0325_),
+ sky130_fd_sc_hd__a2bb2o_4 _4954_ (.A1_N(_1315_),
+    .A2_N(_1338_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_1315_),
+    .X(_0882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3642_ (.A1_N(_0888_),
-    .A2_N(_1336_),
-    .B1(_0568_),
-    .B2(_1338_),
-    .X(_0324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3643_ (.A1_N(_0902_),
-    .A2_N(_1336_),
-    .B1(_0570_),
-    .B2(_1338_),
-    .X(_0323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3644_ (.A1_N(_0916_),
-    .A2_N(_1336_),
-    .B1(_0572_),
-    .B2(_1338_),
-    .X(_0322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3645_ (.A(_1331_),
+ sky130_fd_sc_hd__buf_2 _4955_ (.A(_1276_),
     .X(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3646_ (.A(_1337_),
-    .X(_1340_),
+ sky130_fd_sc_hd__inv_2 _4956_ (.A(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+    .Y(_1340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3647_ (.A1_N(_0930_),
-    .A2_N(_1339_),
-    .B1(_0600_),
-    .B2(_1340_),
-    .X(_0321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3648_ (.A1_N(_0945_),
-    .A2_N(_1339_),
-    .B1(_0601_),
-    .B2(_1340_),
-    .X(_0320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3649_ (.A1_N(_0959_),
-    .A2_N(_1339_),
-    .B1(_0602_),
-    .B2(_1340_),
-    .X(_0319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3650_ (.A1_N(_0972_),
-    .A2_N(_1339_),
-    .B1(_0604_),
-    .B2(_1340_),
-    .X(_0318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3651_ (.A(_1331_),
+ sky130_fd_sc_hd__or2_4 _4957_ (.A(_1340_),
+    .B(_1299_),
     .X(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3652_ (.A(_1337_),
-    .X(_1342_),
+ sky130_fd_sc_hd__a22oi_4 _4958_ (.A1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .A2(_1319_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_1320_),
+    .Y(_1342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3653_ (.A1_N(_0986_),
-    .A2_N(_1341_),
-    .B1(_0605_),
-    .B2(_1342_),
-    .X(_0317_),
+ sky130_fd_sc_hd__inv_2 _4959_ (.A(\u_spim_regs.cfg_m1_addr[5] ),
+    .Y(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3654_ (.A1_N(_1001_),
-    .A2_N(_1341_),
-    .B1(_0608_),
-    .B2(_1342_),
-    .X(_0316_),
+ sky130_fd_sc_hd__inv_2 _4960_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
+    .Y(_1344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3655_ (.A(_1330_),
-    .X(_1343_),
+ sky130_fd_sc_hd__buf_2 _4961_ (.A(_1303_),
+    .X(_1345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3656_ (.A(_1330_),
-    .X(_1344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3657_ (.A(_1344_),
-    .Y(_1345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3658_ (.A1(_0651_),
-    .A2(_0541_),
-    .A3(_1343_),
-    .B1(_1016_),
+ sky130_fd_sc_hd__o22a_4 _4962_ (.A1(_1343_),
+    .A2(_1097_),
+    .B1(_1344_),
     .B2(_1345_),
     .X(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3659_ (.A(_1346_),
-    .Y(_0315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3660_ (.A1_N(_1029_),
-    .A2_N(_1341_),
-    .B1(_0611_),
-    .B2(_1342_),
-    .X(_0314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3661_ (.A1_N(_1046_),
-    .A2_N(_1341_),
-    .B1(_0496_),
-    .B2(_1342_),
-    .X(_0313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3662_ (.A(_1344_),
+ sky130_fd_sc_hd__o22a_4 _4963_ (.A1(_1123_),
+    .A2(\u_m1_res_fifo.mem[0][5] ),
+    .B1(_1093_),
+    .B2(\u_m1_res_fifo.mem[1][5] ),
     .X(_1347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3663_ (.A(_1337_),
-    .X(_1348_),
+ sky130_fd_sc_hd__nand2_4 _4964_ (.A(_1326_),
+    .B(_1347_),
+    .Y(_1348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3664_ (.A1_N(_1063_),
-    .A2_N(_1347_),
-    .B1(_0498_),
-    .B2(_1348_),
-    .X(_0312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3665_ (.A1_N(_1071_),
-    .A2_N(_1347_),
-    .B1(_0501_),
-    .B2(_1348_),
-    .X(_0311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3666_ (.A1_N(_1084_),
-    .A2_N(_1347_),
-    .B1(_0506_),
-    .B2(_1348_),
-    .X(_0310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3667_ (.A1_N(_1102_),
-    .A2_N(_1347_),
-    .B1(_0508_),
-    .B2(_1348_),
-    .X(_0309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3668_ (.A(_1344_),
+ sky130_fd_sc_hd__and4_4 _4965_ (.A(_1341_),
+    .B(_1342_),
+    .C(_1346_),
+    .D(_1348_),
     .X(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3669_ (.A(_1333_),
-    .X(_1350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3670_ (.A1_N(_1116_),
+ sky130_fd_sc_hd__a2bb2o_4 _4966_ (.A1_N(_1339_),
     .A2_N(_1349_),
-    .B1(_0511_),
-    .B2(_1350_),
-    .X(_0308_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_1339_),
+    .X(_0881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3671_ (.A1_N(_1127_),
-    .A2_N(_1349_),
-    .B1(_0513_),
-    .B2(_1350_),
-    .X(_0307_),
+ sky130_fd_sc_hd__inv_2 _4967_ (.A(\u_spim_regs.cfg_m0_cmd_reg[4] ),
+    .Y(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3672_ (.A1_N(_1141_),
-    .A2_N(_1349_),
-    .B1(_0514_),
-    .B2(_1350_),
-    .X(_0306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3673_ (.A1_N(_1176_),
-    .A2_N(_1349_),
-    .B1(_1301_),
-    .B2(_1350_),
-    .X(_0305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3674_ (.A(_1344_),
+ sky130_fd_sc_hd__or2_4 _4968_ (.A(_1350_),
+    .B(_1215_),
     .X(_1351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3675_ (.A(_1333_),
+ sky130_fd_sc_hd__buf_2 _4969_ (.A(_1318_),
     .X(_1352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3676_ (.A1_N(_1184_),
-    .A2_N(_1351_),
-    .B1(_1302_),
-    .B2(_1352_),
-    .X(_0304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3677_ (.A1_N(_1202_),
-    .A2_N(_1351_),
-    .B1(_1304_),
-    .B2(_1352_),
-    .X(_0303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3678_ (.A1_N(_1222_),
-    .A2_N(_1351_),
-    .B1(_1305_),
-    .B2(_1352_),
-    .X(_0302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3679_ (.A1_N(_1244_),
-    .A2_N(_1351_),
-    .B1(_1307_),
-    .B2(_1352_),
-    .X(_0301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3680_ (.A1_N(_1259_),
-    .A2_N(_1343_),
-    .B1(_1308_),
-    .B2(_1334_),
-    .X(_0300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3681_ (.A1_N(_1274_),
-    .A2_N(_1343_),
-    .B1(_1310_),
-    .B2(_1334_),
-    .X(_0299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3682_ (.A1_N(_1288_),
-    .A2_N(_1343_),
-    .B1(_1311_),
-    .B2(_1334_),
-    .X(_0298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3683_ (.A(_1297_),
-    .B(_1173_),
+ sky130_fd_sc_hd__a22oi_4 _4970_ (.A1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .A2(_1352_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_1320_),
     .Y(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3684_ (.A(_1353_),
-    .X(_1354_),
+ sky130_fd_sc_hd__inv_2 _4971_ (.A(\u_spim_regs.cfg_m1_addr[4] ),
+    .Y(_1354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3685_ (.A1_N(_1171_),
-    .A2_N(_1354_),
-    .B1(_1301_),
-    .B2(_1354_),
-    .X(_0297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3686_ (.A1_N(_1194_),
-    .A2_N(_1354_),
-    .B1(_1302_),
-    .B2(_1354_),
-    .X(_0296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3687_ (.A(_1353_),
+ sky130_fd_sc_hd__buf_2 _4972_ (.A(_1040_),
     .X(_1355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3688_ (.A1_N(_1209_),
-    .A2_N(_1355_),
-    .B1(_1304_),
-    .B2(_1355_),
-    .X(_0295_),
+ sky130_fd_sc_hd__inv_2 _4973_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
+    .Y(_1356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3689_ (.A1_N(_1220_),
-    .A2_N(_1355_),
-    .B1(_1305_),
-    .B2(_1355_),
-    .X(_0294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3690_ (.A(_1353_),
-    .X(_1356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3691_ (.A1_N(_1243_),
-    .A2_N(_1356_),
-    .B1(_1307_),
-    .B2(_1356_),
-    .X(_0293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3692_ (.A1_N(_1258_),
-    .A2_N(_1356_),
-    .B1(_1308_),
-    .B2(_1356_),
-    .X(_0292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3693_ (.A(_1353_),
+ sky130_fd_sc_hd__o22a_4 _4974_ (.A1(_1354_),
+    .A2(_1355_),
+    .B1(_1356_),
+    .B2(_1345_),
     .X(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3694_ (.A1_N(_1273_),
-    .A2_N(_1357_),
-    .B1(_1310_),
-    .B2(_1357_),
-    .X(_0291_),
+ sky130_fd_sc_hd__o22a_4 _4975_ (.A1(_1001_),
+    .A2(\u_m1_res_fifo.mem[0][4] ),
+    .B1(_1133_),
+    .B2(\u_m1_res_fifo.mem[1][4] ),
+    .X(_1358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3695_ (.A1_N(_1287_),
-    .A2_N(_1357_),
-    .B1(_1311_),
-    .B2(_1357_),
-    .X(_0290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3696_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .Y(_1358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3697_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
+ sky130_fd_sc_hd__nand2_4 _4976_ (.A(_1326_),
+    .B(_1358_),
     .Y(_1359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3698_ (.A(_1359_),
+ sky130_fd_sc_hd__and4_4 _4977_ (.A(_1351_),
+    .B(_1353_),
+    .C(_1357_),
+    .D(_1359_),
     .X(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3699_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
-    .A2_N(_1358_),
-    .B1(\u_spictrl.spi_clk_div[4] ),
-    .B2(_1360_),
+ sky130_fd_sc_hd__a2bb2o_4 _4978_ (.A1_N(_1339_),
+    .A2_N(_1360_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_1339_),
+    .X(_0880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4979_ (.A(_1276_),
     .X(_1361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3700_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
+ sky130_fd_sc_hd__inv_2 _4980_ (.A(\u_spim_regs.cfg_m0_cmd_reg[3] ),
     .Y(_1362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3701_ (.A(_1362_),
-    .X(_1363_),
+ sky130_fd_sc_hd__inv_2 _4981_ (.A(\u_spictrl.cfg_cs_late[1] ),
+    .Y(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3702_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
-    .A2_N(_1363_),
-    .B1(\u_spictrl.spi_clk_div[5] ),
-    .B2(_1362_),
+ sky130_fd_sc_hd__buf_2 _4982_ (.A(_1212_),
     .X(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3703_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .Y(_1365_),
+ sky130_fd_sc_hd__o22a_4 _4983_ (.A1(_1362_),
+    .A2(_1269_),
+    .B1(_1363_),
+    .B2(_1364_),
+    .X(_1365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3704_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
-    .A2_N(_1359_),
-    .B1(\u_spictrl.spi_clk_div[1] ),
-    .B2(_1365_),
-    .X(_1366_),
+ sky130_fd_sc_hd__a22oi_4 _4984_ (.A1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .A2(_1352_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B2(_1306_),
+    .Y(_1366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3705_ (.A1_N(_1243_),
-    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .B1(_1273_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .X(_1367_),
+ sky130_fd_sc_hd__inv_2 _4985_ (.A(\u_spim_regs.cfg_m1_addr[3] ),
+    .Y(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3706_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+ sky130_fd_sc_hd__inv_2 _4986_ (.A(\u_spictrl.cfg_m0_cs_reg[3] ),
     .Y(_1368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3707_ (.A1(\u_spictrl.spi_clk_div[0] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(_1287_),
-    .B2(_1368_),
+ sky130_fd_sc_hd__o22a_4 _4987_ (.A1(_1367_),
+    .A2(_1355_),
+    .B1(_1368_),
+    .B2(_1345_),
     .X(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3708_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
+ sky130_fd_sc_hd__inv_2 _4988_ (.A(\u_m1_res_fifo.mem[1][3] ),
     .Y(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3709_ (.A1(\u_spictrl.spi_clk_div[2] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_1258_),
-    .B2(_1370_),
-    .X(_1371_),
+ sky130_fd_sc_hd__inv_2 _4989_ (.A(\u_m1_res_fifo.mem[0][3] ),
+    .Y(_1371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3710_ (.A(_1366_),
-    .B(_1367_),
-    .C(_1369_),
-    .D(_1371_),
+ sky130_fd_sc_hd__and2_4 _4990_ (.A(_1310_),
+    .B(_1371_),
     .X(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _3711_ (.A(_1361_),
-    .B(_1364_),
-    .C(_1372_),
+ sky130_fd_sc_hd__a211o_4 _4991_ (.A1(_1308_),
+    .A2(_1370_),
+    .B1(_1372_),
+    .C1(_1029_),
     .X(_1373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3712_ (.A(_1373_),
-    .Y(_1374_),
+ sky130_fd_sc_hd__and4_4 _4992_ (.A(_1365_),
+    .B(_1366_),
+    .C(_1369_),
+    .D(_1373_),
+    .X(_1374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3713_ (.A(io_out[0]),
-    .B(_1374_),
+ sky130_fd_sc_hd__a2bb2o_4 _4993_ (.A1_N(_1361_),
+    .A2_N(_1374_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_1361_),
+    .X(_0879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _4994_ (.A(\u_spim_regs.cfg_m0_cmd_reg[2] ),
     .Y(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3714_ (.A1(_1273_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(\u_spictrl.spi_clk_div[2] ),
-    .B2(_1365_),
-    .X(_1376_),
+ sky130_fd_sc_hd__inv_2 _4995_ (.A(\u_spictrl.cfg_cs_late[0] ),
+    .Y(_1376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3715_ (.A1(_1258_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .B1(\u_spictrl.spi_clk_div[3] ),
-    .B2(_1370_),
+ sky130_fd_sc_hd__o22a_4 _4996_ (.A1(_1375_),
+    .A2(_1269_),
+    .B1(_1376_),
+    .B2(_1364_),
     .X(_1377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3716_ (.A(_1220_),
-    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .X(_1378_),
+ sky130_fd_sc_hd__a22oi_4 _4997_ (.A1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .A2(_1352_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B2(_1306_),
+    .Y(_1378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3717_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .B(_1358_),
-    .X(_1379_),
+ sky130_fd_sc_hd__inv_2 _4998_ (.A(\u_spim_regs.cfg_m1_addr[2] ),
+    .Y(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3718_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .B(_1368_),
-    .X(_1380_),
+ sky130_fd_sc_hd__inv_2 _4999_ (.A(\u_spictrl.cfg_m0_cs_reg[2] ),
+    .Y(_1380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3719_ (.A(_1378_),
-    .B(_1379_),
-    .C(_1363_),
-    .D(_1380_),
+ sky130_fd_sc_hd__o22a_4 _5000_ (.A1(_1379_),
+    .A2(_1355_),
+    .B1(_1380_),
+    .B2(_1345_),
     .X(_1381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3720_ (.A1(_1243_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_1209_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .X(_1382_),
+ sky130_fd_sc_hd__inv_2 _5001_ (.A(\u_m1_res_fifo.mem[1][2] ),
+    .Y(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3721_ (.A1(\u_spictrl.spi_clk_div[5] ),
-    .A2(_1360_),
-    .B1(_1382_),
-    .X(_1383_),
+ sky130_fd_sc_hd__inv_2 _5002_ (.A(\u_m1_res_fifo.mem[0][2] ),
+    .Y(_1383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3722_ (.A(_1376_),
-    .B(_1377_),
-    .C(_1381_),
-    .D(_1383_),
+ sky130_fd_sc_hd__and2_4 _5003_ (.A(_1310_),
+    .B(_1383_),
     .X(_1384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3723_ (.A(_1384_),
-    .X(_0016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3724_ (.A(\u_spictrl.spi_status[1] ),
-    .B(\u_spictrl.spi_status[2] ),
+ sky130_fd_sc_hd__a211o_4 _5004_ (.A1(_1308_),
+    .A2(_1382_),
+    .B1(_1384_),
+    .C1(_1029_),
     .X(_1385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3725_ (.A(_0707_),
+ sky130_fd_sc_hd__and4_4 _5005_ (.A(_1377_),
+    .B(_1378_),
+    .C(_1381_),
+    .D(_1385_),
     .X(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3726_ (.A(_1386_),
-    .X(_1387_),
+ sky130_fd_sc_hd__a2bb2o_4 _5006_ (.A1_N(_1361_),
+    .A2_N(_1386_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_1361_),
+    .X(_0878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3727_ (.A(_0796_),
-    .X(_1388_),
+ sky130_fd_sc_hd__inv_2 _5007_ (.A(\u_spim_regs.cfg_m0_cmd_reg[1] ),
+    .Y(_1387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3728_ (.A(\u_spictrl.spi_status[1] ),
-    .B(_0703_),
+ sky130_fd_sc_hd__inv_2 _5008_ (.A(\u_spictrl.cfg_cs_early[1] ),
+    .Y(_1388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5009_ (.A1(_1387_),
+    .A2(_1017_),
+    .B1(_1388_),
+    .B2(_1364_),
     .X(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3729_ (.A(_0778_),
-    .X(_1390_),
+ sky130_fd_sc_hd__a22oi_4 _5010_ (.A1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .A2(_1352_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .B2(_1306_),
+    .Y(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3730_ (.A(_1390_),
-    .X(_1391_),
+ sky130_fd_sc_hd__inv_2 _5011_ (.A(\u_spim_regs.cfg_m1_addr[1] ),
+    .Y(_1391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3731_ (.A(\u_spictrl.u_txreg.tx_CS ),
-    .X(_1392_),
+ sky130_fd_sc_hd__inv_2 _5012_ (.A(\u_spictrl.cfg_m0_cs_reg[1] ),
+    .Y(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3732_ (.A(_1392_),
+ sky130_fd_sc_hd__o22a_4 _5013_ (.A1(_1391_),
+    .A2(_1355_),
+    .B1(_1392_),
+    .B2(_1303_),
     .X(_1393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3733_ (.A(_1393_),
-    .X(_1394_),
+ sky130_fd_sc_hd__inv_2 _5014_ (.A(\u_m1_res_fifo.mem[1][1] ),
+    .Y(_1394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3734_ (.A(_0777_),
-    .X(_1395_),
+ sky130_fd_sc_hd__inv_2 _5015_ (.A(\u_m1_res_fifo.mem[0][1] ),
+    .Y(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3735_ (.A(_1395_),
-    .B(\u_spictrl.rx_clk_en ),
+ sky130_fd_sc_hd__and2_4 _5016_ (.A(_1310_),
+    .B(_1395_),
     .X(_1396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3736_ (.A(_0735_),
+ sky130_fd_sc_hd__a211o_4 _5017_ (.A1(_1308_),
+    .A2(_1394_),
+    .B1(_1396_),
+    .C1(_1029_),
     .X(_1397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3737_ (.A(_1397_),
+ sky130_fd_sc_hd__and4_4 _5018_ (.A(_1389_),
+    .B(_1390_),
+    .C(_1393_),
+    .D(_1397_),
     .X(_1398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3738_ (.A(_1398_),
-    .X(_1399_),
+ sky130_fd_sc_hd__a2bb2o_4 _5019_ (.A1_N(_0981_),
+    .A2_N(_1398_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_0981_),
+    .X(_0877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3739_ (.A1(_1391_),
-    .A2(_1394_),
-    .B1(_1396_),
-    .C1(_1399_),
-    .X(_1400_),
+ sky130_fd_sc_hd__inv_2 _5020_ (.A(\u_m1_res_fifo.mem[1][0] ),
+    .Y(_1399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3740_ (.A(_1387_),
-    .B(_1388_),
-    .C(_1389_),
-    .D(_1400_),
+ sky130_fd_sc_hd__inv_2 _5021_ (.A(\u_m1_res_fifo.mem[0][0] ),
+    .Y(_1400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5022_ (.A(_1133_),
+    .B(_1400_),
     .X(_1401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3741_ (.A(_1385_),
-    .B(_1401_),
+ sky130_fd_sc_hd__a211o_4 _5023_ (.A1(_1132_),
+    .A2(_1399_),
+    .B1(_1401_),
+    .C1(_1220_),
     .X(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3742_ (.A(_0757_),
+ sky130_fd_sc_hd__nand2_4 _5024_ (.A(\u_spim_regs.cfg_m1_addr[0] ),
+    .B(_1120_),
     .Y(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3743_ (.A(_1403_),
-    .X(_1404_),
+ sky130_fd_sc_hd__inv_2 _5025_ (.A(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .Y(_1404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3744_ (.A(_0742_),
-    .X(_1405_),
+ sky130_fd_sc_hd__inv_2 _5026_ (.A(\u_spictrl.cfg_cs_early[0] ),
+    .Y(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3745_ (.A(_1405_),
-    .Y(_1406_),
+ sky130_fd_sc_hd__o22a_4 _5027_ (.A1(_1404_),
+    .A2(_1016_),
+    .B1(_1405_),
+    .B2(_1212_),
+    .X(_1406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3746_ (.A(_0715_),
-    .X(_1407_),
+ sky130_fd_sc_hd__inv_2 _5028_ (.A(\u_spictrl.cfg_m0_cs_reg[0] ),
+    .Y(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3747_ (.A1(_1394_),
-    .A2(_1406_),
-    .B1(_1399_),
-    .C1(_1407_),
+ sky130_fd_sc_hd__buf_2 _5029_ (.A(spi_debug[20]),
     .X(_1408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3748_ (.A(_0748_),
-    .B(_0749_),
+ sky130_fd_sc_hd__or4_4 _5030_ (.A(spi_debug[18]),
+    .B(spi_debug[17]),
+    .C(psn_net_42),
+    .D(psn_net_29),
     .X(_1409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3749_ (.A1(_0770_),
-    .A2(_1409_),
-    .B1(_1400_),
+ sky130_fd_sc_hd__buf_2 _5031_ (.A(_1409_),
     .X(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3750_ (.A(\u_spictrl.u_txreg.tx_CS ),
-    .Y(_1411_),
+ sky130_fd_sc_hd__o22a_4 _5032_ (.A1(_1407_),
+    .A2(_1302_),
+    .B1(_0992_),
+    .B2(_1410_),
+    .X(_1411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3751_ (.A(_1409_),
+ sky130_fd_sc_hd__a22oi_4 _5033_ (.A1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .A2(_1143_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .B2(_1318_),
     .Y(_1412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3752_ (.A1(_1411_),
-    .A2(_1187_),
-    .B1(_1412_),
+ sky130_fd_sc_hd__and4_4 _5034_ (.A(_1403_),
+    .B(_1406_),
+    .C(_1411_),
+    .D(_1412_),
     .X(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3753_ (.A(_1405_),
-    .X(_1414_),
+ sky130_fd_sc_hd__inv_2 _5035_ (.A(\u_spim_regs.spim_reg_rdata[0] ),
+    .Y(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3754_ (.A(_0768_),
+ sky130_fd_sc_hd__a32o_4 _5036_ (.A1(_1402_),
+    .A2(_1413_),
+    .A3(_0940_),
+    .B1(_1414_),
+    .B2(_1203_),
     .X(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3755_ (.A(\u_spictrl.spi_status[5] ),
-    .B(_1415_),
+ sky130_fd_sc_hd__inv_2 _5037_ (.A(_1415_),
+    .Y(_0876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5038_ (.A(\u_wb_if.spim_wb_req ),
+    .B(_0933_),
+    .C(\u_spim_regs.spim_reg_ack ),
     .X(_1416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3756_ (.A1(_1414_),
-    .A2(_1404_),
-    .B1(_1163_),
-    .C1(_1416_),
+ sky130_fd_sc_hd__buf_2 _5039_ (.A(_0923_),
     .X(_1417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3757_ (.A1_N(_0766_),
-    .A2_N(_1413_),
-    .B1(\u_spictrl.rx_clk_en ),
-    .B2(_1417_),
+ sky130_fd_sc_hd__and2_4 _5040_ (.A(spi_debug[14]),
+    .B(_1225_),
     .X(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3758_ (.A1(_1404_),
-    .A2(_1408_),
-    .B1(_1410_),
-    .C1(_1418_),
+ sky130_fd_sc_hd__and2_4 _5041_ (.A(_1068_),
+    .B(_1418_),
     .X(_1419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3759_ (.A1(_1375_),
-    .A2(_0016_),
-    .B1(_1402_),
-    .B2(_1419_),
+ sky130_fd_sc_hd__and3_4 _5042_ (.A(\u_wb_if.spim_wb_req ),
+    .B(_1417_),
+    .C(_1419_),
     .X(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3760_ (.A(_1420_),
-    .Y(_0289_),
+ sky130_fd_sc_hd__and2_4 _5043_ (.A(_0935_),
+    .B(_1420_),
+    .X(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3761_ (.A(\u_spim_regs.reg2spi_wr ),
-    .Y(_1421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3762_ (.A(_1395_),
+ sky130_fd_sc_hd__buf_2 _5044_ (.A(\u_spim_regs.spi_init_done ),
     .X(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3763_ (.A(_1422_),
+ sky130_fd_sc_hd__buf_2 _5045_ (.A(_1422_),
     .X(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3764_ (.A(_1423_),
+ sky130_fd_sc_hd__o21a_4 _5046_ (.A1(_1416_),
+    .A2(_1421_),
+    .B1(_1423_),
     .X(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3765_ (.A(\u_spim_regs.reg2spi_qwr ),
-    .Y(_1425_),
+ sky130_fd_sc_hd__buf_2 _5047_ (.A(_1424_),
+    .X(_1425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3766_ (.A(psn_net_264),
+ sky130_fd_sc_hd__buf_2 _5048_ (.A(_1425_),
     .X(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3767_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[8] ),
-    .B(_0711_),
-    .X(_1427_),
+ sky130_fd_sc_hd__inv_2 _5049_ (.A(_1424_),
+    .Y(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3768_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[9] ),
-    .B(_0711_),
+ sky130_fd_sc_hd__buf_2 _5050_ (.A(_1427_),
     .X(_1428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3769_ (.A(_0711_),
+ sky130_fd_sc_hd__buf_2 _5051_ (.A(_1428_),
     .X(_1429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3770_ (.A1_N(_1248_),
-    .A2_N(_0705_),
-    .B1(\u_spim_regs.reg2spi_dummy_rd_len[14] ),
-    .B2(_1429_),
+ sky130_fd_sc_hd__buf_2 _5052_ (.A(_1047_),
     .X(_1430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3771_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[12] ),
-    .B(\u_spim_regs.reg2spi_dummy_rd_len[10] ),
-    .C(\u_spim_regs.reg2spi_dummy_rd_len[6] ),
-    .D(\u_spim_regs.reg2spi_dummy_rd_len[4] ),
+ sky130_fd_sc_hd__buf_2 _5053_ (.A(_1430_),
     .X(_1431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3772_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[2] ),
-    .B(\u_spim_regs.reg2spi_dummy_rd_len[1] ),
-    .C(\u_spim_regs.reg2spi_dummy_rd_len[0] ),
-    .D(_1431_),
+ sky130_fd_sc_hd__buf_2 _5054_ (.A(_1053_),
     .X(_1432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3773_ (.A(_0658_),
+ sky130_fd_sc_hd__buf_2 _5055_ (.A(_1432_),
     .X(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3774_ (.A(psn_net_32),
-    .B(\u_spim_regs.reg2spi_dummy_rd_len[7] ),
+ sky130_fd_sc_hd__buf_2 _5056_ (.A(_1048_),
     .X(_1434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3775_ (.A(_1433_),
-    .B(\u_spim_regs.reg2spi_dummy_rd_len[11] ),
+ sky130_fd_sc_hd__buf_2 _5057_ (.A(_1434_),
     .X(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3776_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[13] ),
-    .B(_1433_),
+ sky130_fd_sc_hd__buf_2 _5058_ (.A(_1435_),
     .X(_1436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3777_ (.A(_1433_),
-    .B(\u_spim_regs.reg2spi_dummy_rd_len[15] ),
+ sky130_fd_sc_hd__and2_4 _5059_ (.A(_1436_),
+    .B(\u_m0_res_fifo.mem[2][31] ),
     .X(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3778_ (.A(_1437_),
-    .B(_1435_),
-    .C(_1436_),
-    .D(_1434_),
+ sky130_fd_sc_hd__a211o_4 _5060_ (.A1(_1431_),
+    .A2(\u_m0_res_fifo.mem[3][31] ),
+    .B1(_1433_),
+    .C1(_1437_),
     .X(_1438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3779_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[5] ),
-    .B(_0704_),
-    .C(_1432_),
-    .D(_1438_),
+ sky130_fd_sc_hd__buf_2 _5061_ (.A(_1047_),
     .X(_1439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3780_ (.A(_1427_),
-    .B(_1428_),
-    .C(_1430_),
-    .D(_1439_),
+ sky130_fd_sc_hd__buf_2 _5062_ (.A(_1439_),
     .X(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3781_ (.A(psn_net_30),
+ sky130_fd_sc_hd__buf_2 _5063_ (.A(_1440_),
     .X(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3782_ (.A(_1441_),
+ sky130_fd_sc_hd__buf_2 _5064_ (.A(_1051_),
     .X(_1442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3783_ (.A(_0706_),
-    .Y(_1443_),
+ sky130_fd_sc_hd__buf_2 _5065_ (.A(_1442_),
+    .X(_1443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3784_ (.A(_1443_),
+ sky130_fd_sc_hd__buf_2 _5066_ (.A(_1435_),
     .X(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3785_ (.A(_0713_),
-    .B(_0757_),
+ sky130_fd_sc_hd__and2_4 _5067_ (.A(_1444_),
+    .B(\u_m0_res_fifo.mem[0][31] ),
     .X(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3786_ (.A(_0702_),
-    .B(_1445_),
+ sky130_fd_sc_hd__a211o_4 _5068_ (.A1(_1441_),
+    .A2(\u_m0_res_fifo.mem[1][31] ),
+    .B1(_1443_),
+    .C1(_1445_),
     .X(_1446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3787_ (.A(_1446_),
-    .Y(_1447_),
+ sky130_fd_sc_hd__buf_2 _5069_ (.A(_1421_),
+    .X(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3788_ (.A(_0765_),
-    .B(_0776_),
+ sky130_fd_sc_hd__buf_2 _5070_ (.A(_1447_),
     .X(_1448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3789_ (.A(_1448_),
-    .X(_1449_),
+ sky130_fd_sc_hd__inv_2 _5071_ (.A(_1421_),
+    .Y(_1449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3790_ (.A(_1241_),
-    .B(_0764_),
+ sky130_fd_sc_hd__buf_2 _5072_ (.A(_1449_),
     .X(_1450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3791_ (.A1(_1386_),
-    .A2(_1449_),
-    .B1(_1450_),
+ sky130_fd_sc_hd__buf_2 _5073_ (.A(_1450_),
     .X(_1451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3792_ (.A(_1451_),
-    .Y(_1452_),
+ sky130_fd_sc_hd__a32o_4 _5074_ (.A1(_1438_),
+    .A2(_1446_),
+    .A3(_1448_),
+    .B1(\u_spim_regs.spim_reg_rdata[31] ),
+    .B2(_1451_),
+    .X(_1452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3793_ (.A1(_1444_),
-    .A2(_1447_),
-    .A3(_1414_),
-    .B1(_1395_),
+ sky130_fd_sc_hd__o22a_4 _5075_ (.A1(wbd_dat_o[31]),
+    .A2(_1426_),
+    .B1(_1429_),
     .B2(_1452_),
+    .X(_0875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5076_ (.A(_1436_),
+    .B(\u_m0_res_fifo.mem[2][30] ),
     .X(_1453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3794_ (.A(psn_net_262),
-    .B(_1442_),
-    .C(_1453_),
+ sky130_fd_sc_hd__a211o_4 _5077_ (.A1(_1431_),
+    .A2(\u_m0_res_fifo.mem[3][30] ),
+    .B1(_1433_),
+    .C1(_1453_),
     .X(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3795_ (.A(_0798_),
-    .B(_1446_),
+ sky130_fd_sc_hd__and2_4 _5078_ (.A(_1444_),
+    .B(\u_m0_res_fifo.mem[0][30] ),
     .X(_1455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3796_ (.A1(_1406_),
-    .A2(_1455_),
-    .B1(psn_net_116),
+ sky130_fd_sc_hd__a211o_4 _5079_ (.A1(_1441_),
+    .A2(\u_m0_res_fifo.mem[1][30] ),
+    .B1(_1443_),
+    .C1(_1455_),
     .X(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3797_ (.A1(_0786_),
-    .A2(psn_net_119),
-    .B1(_1442_),
-    .B2(_1456_),
+ sky130_fd_sc_hd__a32o_4 _5080_ (.A1(_1454_),
+    .A2(_1456_),
+    .A3(_1448_),
+    .B1(\u_spim_regs.spim_reg_rdata[30] ),
+    .B2(_1451_),
     .X(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3798_ (.A(_1457_),
-    .Y(_1458_),
+ sky130_fd_sc_hd__o22a_4 _5081_ (.A1(wbd_dat_o[30]),
+    .A2(_1426_),
+    .B1(_1429_),
+    .B2(_1457_),
+    .X(_0874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3799_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[3] ),
-    .B(\u_spim_regs.reg2spi_dummy_wr_len[2] ),
-    .C(\u_spim_regs.reg2spi_dummy_wr_len[1] ),
-    .D(\u_spim_regs.reg2spi_dummy_wr_len[0] ),
+ sky130_fd_sc_hd__buf_2 _5082_ (.A(_1430_),
+    .X(_1458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5083_ (.A(_1436_),
+    .B(\u_m0_res_fifo.mem[2][29] ),
     .X(_1459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3800_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[7] ),
-    .B(\u_spim_regs.reg2spi_dummy_wr_len[6] ),
-    .C(\u_spim_regs.reg2spi_dummy_wr_len[5] ),
-    .D(\u_spim_regs.reg2spi_dummy_wr_len[4] ),
+ sky130_fd_sc_hd__a211o_4 _5084_ (.A1(_1458_),
+    .A2(\u_m0_res_fifo.mem[3][29] ),
+    .B1(_1433_),
+    .C1(_1459_),
     .X(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3801_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[11] ),
-    .B(\u_spim_regs.reg2spi_dummy_wr_len[10] ),
-    .C(\u_spim_regs.reg2spi_dummy_wr_len[9] ),
-    .D(\u_spim_regs.reg2spi_dummy_wr_len[8] ),
+ sky130_fd_sc_hd__buf_2 _5085_ (.A(_1440_),
     .X(_1461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3802_ (.A(\u_spim_regs.reg2spi_dummy_wr_len[15] ),
-    .B(\u_spim_regs.reg2spi_dummy_wr_len[14] ),
-    .C(\u_spim_regs.reg2spi_dummy_wr_len[13] ),
-    .D(\u_spim_regs.reg2spi_dummy_wr_len[12] ),
+ sky130_fd_sc_hd__and2_4 _5086_ (.A(_1444_),
+    .B(\u_m0_res_fifo.mem[0][29] ),
     .X(_1462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3803_ (.A(_1459_),
-    .B(_1460_),
-    .C(_1461_),
-    .D(_1462_),
+ sky130_fd_sc_hd__a211o_4 _5087_ (.A1(_1461_),
+    .A2(\u_m0_res_fifo.mem[1][29] ),
+    .B1(_1443_),
+    .C1(_1462_),
     .X(_1463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3804_ (.A(_1463_),
-    .Y(_1464_),
+ sky130_fd_sc_hd__a32o_4 _5088_ (.A1(_1460_),
+    .A2(_1463_),
+    .A3(_1448_),
+    .B1(\u_spim_regs.spim_reg_rdata[29] ),
+    .B2(_1451_),
+    .X(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3805_ (.A(_1464_),
+ sky130_fd_sc_hd__o22a_4 _5089_ (.A1(wbd_dat_o[29]),
+    .A2(_1426_),
+    .B1(_1429_),
+    .B2(_1464_),
+    .X(_0873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5090_ (.A(_1432_),
     .X(_1465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3806_ (.A(_0744_),
-    .B(_1465_),
+ sky130_fd_sc_hd__buf_2 _5091_ (.A(_1434_),
     .X(_1466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3807_ (.A1(_0706_),
-    .A2(_1466_),
-    .B1(_1447_),
+ sky130_fd_sc_hd__buf_2 _5092_ (.A(_1466_),
     .X(_1467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _3808_ (.A1(_1444_),
-    .A2(psn_net_11),
-    .B1(psn_net_130),
+ sky130_fd_sc_hd__and2_4 _5093_ (.A(_1467_),
+    .B(\u_m0_res_fifo.mem[2][28] ),
     .X(_1468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3809_ (.A(_1407_),
-    .B(_1404_),
+ sky130_fd_sc_hd__a211o_4 _5094_ (.A1(_1458_),
+    .A2(\u_m0_res_fifo.mem[3][28] ),
+    .B1(_1465_),
+    .C1(_1468_),
     .X(_1469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3810_ (.A1(_0750_),
-    .A2(_1409_),
-    .B1(_0796_),
+ sky130_fd_sc_hd__buf_2 _5095_ (.A(_1442_),
     .X(_1470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _3811_ (.A1(_1387_),
-    .A2(_0796_),
-    .A3(_1389_),
-    .B1(_1385_),
-    .B2(_1470_),
+ sky130_fd_sc_hd__buf_2 _5096_ (.A(_1435_),
     .X(_1471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3812_ (.A(_0778_),
-    .B(_1440_),
+ sky130_fd_sc_hd__and2_4 _5097_ (.A(_1471_),
+    .B(\u_m0_res_fifo.mem[0][28] ),
     .X(_1472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3813_ (.A(psn_net_28),
-    .Y(_1473_),
+ sky130_fd_sc_hd__a211o_4 _5098_ (.A1(_1461_),
+    .A2(\u_m0_res_fifo.mem[1][28] ),
+    .B1(_1470_),
+    .C1(_1472_),
+    .X(_1473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3814_ (.A(psn_net_15),
-    .B(_1450_),
+ sky130_fd_sc_hd__a32o_4 _5099_ (.A1(_1469_),
+    .A2(_1473_),
+    .A3(_1448_),
+    .B1(\u_spim_regs.spim_reg_rdata[28] ),
+    .B2(_1451_),
     .X(_1474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3815_ (.A(_1474_),
-    .Y(_1475_),
+ sky130_fd_sc_hd__o22a_4 _5100_ (.A1(wbd_dat_o[28]),
+    .A2(_1426_),
+    .B1(_1429_),
+    .B2(_1474_),
+    .X(_0872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3816_ (.A(_1163_),
-    .B(_1415_),
+ sky130_fd_sc_hd__buf_2 _5101_ (.A(_1425_),
+    .X(_1475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5102_ (.A(_1428_),
     .X(_1476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3817_ (.A(_1426_),
-    .B(_0777_),
-    .C(_0770_),
+ sky130_fd_sc_hd__and2_4 _5103_ (.A(_1467_),
+    .B(\u_m0_res_fifo.mem[2][27] ),
     .X(_1477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3818_ (.A1(_1473_),
-    .A2(_1475_),
-    .B1(_1476_),
+ sky130_fd_sc_hd__a211o_4 _5104_ (.A1(_1458_),
+    .A2(\u_m0_res_fifo.mem[3][27] ),
+    .B1(_1465_),
     .C1(_1477_),
     .X(_1478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3819_ (.A(_0704_),
-    .B(_1464_),
+ sky130_fd_sc_hd__and2_4 _5105_ (.A(_1471_),
+    .B(\u_m0_res_fifo.mem[0][27] ),
     .X(_1479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3820_ (.A(_1479_),
-    .Y(_1480_),
+ sky130_fd_sc_hd__a211o_4 _5106_ (.A1(_1461_),
+    .A2(\u_m0_res_fifo.mem[1][27] ),
+    .B1(_1470_),
+    .C1(_1479_),
+    .X(_1480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3821_ (.A(_1480_),
-    .B(_0759_),
+ sky130_fd_sc_hd__buf_2 _5107_ (.A(_1447_),
     .X(_1481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3822_ (.A(_1481_),
-    .Y(_1482_),
+ sky130_fd_sc_hd__buf_2 _5108_ (.A(_1450_),
+    .X(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3823_ (.A(_1452_),
-    .B(psn_net_65),
+ sky130_fd_sc_hd__a32o_4 _5109_ (.A1(_1478_),
+    .A2(_1480_),
+    .A3(_1481_),
+    .B1(\u_spim_regs.spim_reg_rdata[27] ),
+    .B2(_1482_),
     .X(_1483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3824_ (.A(_1469_),
-    .B(_1471_),
-    .C(_1483_),
-    .D(_1478_),
+ sky130_fd_sc_hd__o22a_4 _5110_ (.A1(wbd_dat_o[27]),
+    .A2(_1475_),
+    .B1(_1476_),
+    .B2(_1483_),
+    .X(_0871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5111_ (.A(_1467_),
+    .B(\u_m0_res_fifo.mem[2][26] ),
     .X(_1484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3825_ (.A(_1479_),
+ sky130_fd_sc_hd__a211o_4 _5112_ (.A1(_1458_),
+    .A2(\u_m0_res_fifo.mem[3][26] ),
+    .B1(_1465_),
+    .C1(_1484_),
     .X(_1485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3826_ (.A(_1485_),
+ sky130_fd_sc_hd__and2_4 _5113_ (.A(_1471_),
+    .B(\u_m0_res_fifo.mem[0][26] ),
     .X(_1486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3827_ (.A(_1486_),
+ sky130_fd_sc_hd__a211o_4 _5114_ (.A1(_1461_),
+    .A2(\u_m0_res_fifo.mem[1][26] ),
+    .B1(_1470_),
+    .C1(_1486_),
     .X(_1487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3828_ (.A(_1451_),
+ sky130_fd_sc_hd__a32o_4 _5115_ (.A1(_1485_),
+    .A2(_1487_),
+    .A3(_1481_),
+    .B1(\u_spim_regs.spim_reg_rdata[26] ),
+    .B2(_1482_),
     .X(_1488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3829_ (.A(\u_spim_regs.reg2spi_rd ),
-    .B(_0518_),
+ sky130_fd_sc_hd__o22a_4 _5116_ (.A1(wbd_dat_o[26]),
+    .A2(_1475_),
+    .B1(_1476_),
+    .B2(_1488_),
+    .X(_0870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5117_ (.A(_1439_),
     .X(_1489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3830_ (.A1(_1488_),
-    .A2(psn_net_67),
-    .B1(_1489_),
-    .B2(_1455_),
+ sky130_fd_sc_hd__buf_2 _5118_ (.A(_1489_),
     .X(_1490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _3831_ (.A(_1487_),
-    .B(_1490_),
-    .Y(_1491_),
+ sky130_fd_sc_hd__and2_4 _5119_ (.A(_1467_),
+    .B(\u_m0_res_fifo.mem[2][25] ),
+    .X(_1491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3832_ (.A1(_1386_),
-    .A2(_0776_),
-    .B1(_1412_),
+ sky130_fd_sc_hd__a211o_4 _5120_ (.A1(_1490_),
+    .A2(\u_m0_res_fifo.mem[3][25] ),
+    .B1(_1465_),
+    .C1(_1491_),
     .X(_1492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3833_ (.A1(psn_net_263),
-    .A2(_1492_),
-    .B1(_1187_),
+ sky130_fd_sc_hd__buf_2 _5121_ (.A(_1047_),
     .X(_1493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3834_ (.A(_1415_),
+ sky130_fd_sc_hd__buf_2 _5122_ (.A(_1493_),
     .X(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3835_ (.A1(_0784_),
-    .A2(psn_net_263),
-    .B1(_1164_),
+ sky130_fd_sc_hd__and2_4 _5123_ (.A(_1471_),
+    .B(\u_m0_res_fifo.mem[0][25] ),
     .X(_1495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3836_ (.A1(_1388_),
-    .A2(_1493_),
-    .B1(_1494_),
-    .B2(_1495_),
+ sky130_fd_sc_hd__a211o_4 _5124_ (.A1(_1494_),
+    .A2(\u_m0_res_fifo.mem[1][25] ),
+    .B1(_1470_),
+    .C1(_1495_),
     .X(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3837_ (.A(_1496_),
-    .Y(\u_spictrl.state_next[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3838_ (.A(_1468_),
-    .B(\u_spictrl.state_next[3] ),
-    .C(_1491_),
-    .D(_1484_),
+ sky130_fd_sc_hd__a32o_4 _5125_ (.A1(_1492_),
+    .A2(_1496_),
+    .A3(_1481_),
+    .B1(\u_spim_regs.spim_reg_rdata[25] ),
+    .B2(_1482_),
     .X(_1497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3839_ (.A(_1416_),
-    .B(_1454_),
-    .C(_1458_),
-    .D(_1497_),
+ sky130_fd_sc_hd__o22a_4 _5126_ (.A1(wbd_dat_o[25]),
+    .A2(_1475_),
+    .B1(_1476_),
+    .B2(_1497_),
+    .X(_0869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5127_ (.A(_1432_),
     .X(_1498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3840_ (.A(_1498_),
-    .B(_1421_),
-    .C(_1424_),
-    .D(_1425_),
+ sky130_fd_sc_hd__buf_2 _5128_ (.A(_1466_),
     .X(_1499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3841_ (.A(_1414_),
-    .B(_1499_),
-    .X(_0288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _3842_ (.A1(psn_net_259),
-    .A2(\u_spictrl.en_quad_int ),
-    .B1(_0740_),
-    .X(_0287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3843_ (.A(\u_spictrl.spi_fall ),
+ sky130_fd_sc_hd__and2_4 _5129_ (.A(_1499_),
+    .B(\u_m0_res_fifo.mem[2][24] ),
     .X(_1500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3844_ (.A(_1500_),
+ sky130_fd_sc_hd__a211o_4 _5130_ (.A1(_1490_),
+    .A2(\u_m0_res_fifo.mem[3][24] ),
+    .B1(_1498_),
+    .C1(_1500_),
     .X(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3845_ (.A(_1501_),
+ sky130_fd_sc_hd__buf_2 _5131_ (.A(_1442_),
     .X(_1502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3846_ (.A(_0762_),
+ sky130_fd_sc_hd__buf_2 _5132_ (.A(_1435_),
     .X(_1503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3847_ (.A(_1503_),
+ sky130_fd_sc_hd__and2_4 _5133_ (.A(_1503_),
+    .B(\u_m0_res_fifo.mem[0][24] ),
     .X(_1504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3848_ (.A(\u_spictrl.tx_done ),
+ sky130_fd_sc_hd__a211o_4 _5134_ (.A1(_1494_),
+    .A2(\u_m0_res_fifo.mem[1][24] ),
+    .B1(_1502_),
+    .C1(_1504_),
     .X(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3849_ (.A(_0800_),
-    .B(_0748_),
+ sky130_fd_sc_hd__a32o_4 _5135_ (.A1(_1501_),
+    .A2(_1505_),
+    .A3(_1481_),
+    .B1(\u_spim_regs.spim_reg_rdata[24] ),
+    .B2(_1482_),
     .X(_1506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3850_ (.A(_0752_),
-    .B(_1506_),
+ sky130_fd_sc_hd__o22a_4 _5136_ (.A1(wbd_dat_o[24]),
+    .A2(_1475_),
+    .B1(_1476_),
+    .B2(_1506_),
+    .X(_0868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5137_ (.A(_1425_),
     .X(_1507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _3851_ (.A(_0775_),
-    .B(_1241_),
-    .C(_0709_),
+ sky130_fd_sc_hd__buf_2 _5138_ (.A(_1428_),
     .X(_1508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3852_ (.A1(_0800_),
-    .A2(_1386_),
-    .B1(_1508_),
-    .C1(psn_net_66),
+ sky130_fd_sc_hd__and2_4 _5139_ (.A(_1499_),
+    .B(\u_m0_res_fifo.mem[2][23] ),
     .X(_1509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3853_ (.A(_1500_),
-    .B(_1505_),
-    .C(_1507_),
-    .D(_1509_),
+ sky130_fd_sc_hd__a211o_4 _5140_ (.A1(_1490_),
+    .A2(\u_m0_res_fifo.mem[3][23] ),
+    .B1(_1498_),
+    .C1(_1509_),
     .X(_1510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3854_ (.A(_0713_),
-    .B(_1403_),
+ sky130_fd_sc_hd__and2_4 _5141_ (.A(_1503_),
+    .B(\u_m0_res_fifo.mem[0][23] ),
     .X(_1511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3855_ (.A1(_0794_),
-    .A2(_1403_),
-    .B1(_0703_),
-    .B2(_1511_),
+ sky130_fd_sc_hd__a211o_4 _5142_ (.A1(_1494_),
+    .A2(\u_m0_res_fifo.mem[1][23] ),
+    .B1(_1502_),
+    .C1(_1511_),
     .X(_1512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3856_ (.A(_1512_),
-    .Y(_1513_),
+ sky130_fd_sc_hd__buf_2 _5143_ (.A(_1447_),
+    .X(_1513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3857_ (.A(_1482_),
-    .B(_1500_),
-    .C(_1505_),
-    .D(\u_spictrl.spi_status[3] ),
+ sky130_fd_sc_hd__buf_2 _5144_ (.A(_1450_),
     .X(_1514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _3858_ (.A1(_1443_),
-    .A2(_1481_),
-    .B1(_1448_),
-    .Y(_1515_),
+ sky130_fd_sc_hd__a32o_4 _5145_ (.A1(_1510_),
+    .A2(_1512_),
+    .A3(_1513_),
+    .B1(\u_spim_regs.spim_reg_rdata[23] ),
+    .B2(_1514_),
+    .X(_1515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3859_ (.A(\u_spictrl.spi_status[6] ),
-    .B(_1515_),
-    .C(_1514_),
-    .D(_1467_),
+ sky130_fd_sc_hd__o22a_4 _5146_ (.A1(wbd_dat_o[23]),
+    .A2(_1507_),
+    .B1(_1508_),
+    .B2(_1515_),
+    .X(_0867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5147_ (.A(_1499_),
+    .B(\u_m0_res_fifo.mem[2][22] ),
     .X(_1516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3860_ (.A(_1516_),
+ sky130_fd_sc_hd__a211o_4 _5148_ (.A1(_1490_),
+    .A2(\u_m0_res_fifo.mem[3][22] ),
+    .B1(_1498_),
+    .C1(_1516_),
     .X(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3861_ (.A(_1517_),
-    .B(_1513_),
+ sky130_fd_sc_hd__and2_4 _5149_ (.A(_1503_),
+    .B(\u_m0_res_fifo.mem[0][22] ),
     .X(_1518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3862_ (.A(_1513_),
-    .B(_1516_),
+ sky130_fd_sc_hd__a211o_4 _5150_ (.A1(_1494_),
+    .A2(\u_m0_res_fifo.mem[1][22] ),
+    .B1(_1502_),
+    .C1(_1518_),
     .X(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3863_ (.A(_1519_),
-    .Y(_1520_),
+ sky130_fd_sc_hd__a32o_4 _5151_ (.A1(_1517_),
+    .A2(_1519_),
+    .A3(_1513_),
+    .B1(\u_spim_regs.spim_reg_rdata[22] ),
+    .B2(_1514_),
+    .X(_1520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3864_ (.A(_1520_),
-    .B(_1518_),
+ sky130_fd_sc_hd__o22a_4 _5152_ (.A1(wbd_dat_o[22]),
+    .A2(_1507_),
+    .B1(_1508_),
+    .B2(_1520_),
+    .X(_0866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5153_ (.A(_1489_),
     .X(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3865_ (.A(_1521_),
-    .Y(_1522_),
+ sky130_fd_sc_hd__and2_4 _5154_ (.A(_1499_),
+    .B(\u_m0_res_fifo.mem[2][21] ),
+    .X(_1522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3866_ (.A(_1513_),
+ sky130_fd_sc_hd__a211o_4 _5155_ (.A1(_1521_),
+    .A2(\u_m0_res_fifo.mem[3][21] ),
+    .B1(_1498_),
+    .C1(_1522_),
     .X(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _3867_ (.A(_1444_),
-    .B(psn_net_16),
-    .C(_1385_),
-    .D(_1472_),
+ sky130_fd_sc_hd__buf_2 _5156_ (.A(_1493_),
     .X(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3868_ (.A(_1389_),
-    .B(_1524_),
+ sky130_fd_sc_hd__and2_4 _5157_ (.A(_1503_),
+    .B(\u_m0_res_fifo.mem[0][21] ),
     .X(_1525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3869_ (.A(psn_net_29),
-    .Y(_1526_),
+ sky130_fd_sc_hd__a211o_4 _5158_ (.A1(_1524_),
+    .A2(\u_m0_res_fifo.mem[1][21] ),
+    .B1(_1502_),
+    .C1(_1525_),
+    .X(_1526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _3870_ (.A1(_1405_),
+ sky130_fd_sc_hd__a32o_4 _5159_ (.A1(_1523_),
     .A2(_1526_),
-    .B1(_0797_),
-    .Y(_1527_),
+    .A3(_1513_),
+    .B1(\u_spim_regs.spim_reg_rdata[21] ),
+    .B2(_1514_),
+    .X(_1527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3871_ (.A(_1445_),
-    .Y(_1528_),
+ sky130_fd_sc_hd__o22a_4 _5160_ (.A1(wbd_dat_o[21]),
+    .A2(_1507_),
+    .B1(_1508_),
+    .B2(_1527_),
+    .X(_0865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3872_ (.A1(_0790_),
-    .A2(_1527_),
-    .B1(_1528_),
+ sky130_fd_sc_hd__buf_2 _5161_ (.A(_1053_),
+    .X(_1528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5162_ (.A(_1528_),
     .X(_1529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3873_ (.A(_0749_),
-    .B(_0765_),
+ sky130_fd_sc_hd__buf_2 _5163_ (.A(_1466_),
     .X(_1530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3874_ (.A(_1472_),
-    .B(_1475_),
+ sky130_fd_sc_hd__and2_4 _5164_ (.A(_1530_),
+    .B(\u_m0_res_fifo.mem[2][20] ),
     .X(_1531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3875_ (.A(\u_spictrl.spi_status[6] ),
-    .B(_1416_),
-    .C(_1530_),
-    .D(_1531_),
+ sky130_fd_sc_hd__a211o_4 _5165_ (.A1(_1521_),
+    .A2(\u_m0_res_fifo.mem[3][20] ),
+    .B1(_1529_),
+    .C1(_1531_),
     .X(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _3876_ (.A1(_0766_),
-    .A2(_1525_),
-    .B1(_1529_),
-    .C1(_1532_),
+ sky130_fd_sc_hd__buf_2 _5166_ (.A(_1051_),
     .X(_1533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3877_ (.A(_1533_),
+ sky130_fd_sc_hd__buf_2 _5167_ (.A(_1533_),
     .X(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3878_ (.A(psn_net_26),
-    .B(_1523_),
+ sky130_fd_sc_hd__buf_2 _5168_ (.A(_1048_),
     .X(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _3879_ (.A1(_1469_),
-    .A2(_1510_),
-    .A3(_1522_),
-    .B1(_1521_),
-    .B2(_1535_),
+ sky130_fd_sc_hd__buf_2 _5169_ (.A(_1535_),
     .X(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3880_ (.A(psn_net_4),
-    .Y(_1537_),
+ sky130_fd_sc_hd__and2_4 _5170_ (.A(_1536_),
+    .B(\u_m0_res_fifo.mem[0][20] ),
+    .X(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3881_ (.A(\u_spictrl.u_txreg.en_quad ),
+ sky130_fd_sc_hd__a211o_4 _5171_ (.A1(_1524_),
+    .A2(\u_m0_res_fifo.mem[1][20] ),
+    .B1(_1534_),
+    .C1(_1537_),
     .X(_1538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3882_ (.A(\u_spictrl.u_txreg.counter[1] ),
-    .B(\u_spictrl.u_txreg.counter[0] ),
+ sky130_fd_sc_hd__a32o_4 _5172_ (.A1(_1532_),
+    .A2(_1538_),
+    .A3(_1513_),
+    .B1(\u_spim_regs.spim_reg_rdata[20] ),
+    .B2(_1514_),
     .X(_1539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3883_ (.A(\u_spictrl.u_txreg.counter[2] ),
-    .B(_1539_),
+ sky130_fd_sc_hd__o22a_4 _5173_ (.A1(wbd_dat_o[20]),
+    .A2(_1507_),
+    .B1(_1508_),
+    .B2(_1539_),
+    .X(_0864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5174_ (.A(_1425_),
     .X(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3884_ (.A(\u_spictrl.u_txreg.en_quad ),
-    .Y(_1541_),
+ sky130_fd_sc_hd__buf_2 _5175_ (.A(_1428_),
+    .X(_1541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3885_ (.A(\u_spictrl.u_txreg.counter[3] ),
-    .B(_1540_),
+ sky130_fd_sc_hd__and2_4 _5176_ (.A(_1530_),
+    .B(\u_m0_res_fifo.mem[2][19] ),
     .X(_1542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3886_ (.A(\u_spictrl.u_txreg.counter[4] ),
-    .B(_1542_),
+ sky130_fd_sc_hd__a211o_4 _5177_ (.A1(_1521_),
+    .A2(\u_m0_res_fifo.mem[3][19] ),
+    .B1(_1529_),
+    .C1(_1542_),
     .X(_1543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3887_ (.A1(_1500_),
-    .A2(_1538_),
-    .A3(_1540_),
-    .B1(_1541_),
-    .B2(_1543_),
+ sky130_fd_sc_hd__and2_4 _5178_ (.A(_1536_),
+    .B(\u_m0_res_fifo.mem[0][19] ),
     .X(_1544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3888_ (.A(psn_net_125),
-    .B(_1544_),
+ sky130_fd_sc_hd__a211o_4 _5179_ (.A1(_1524_),
+    .A2(\u_m0_res_fifo.mem[1][19] ),
+    .B1(_1534_),
+    .C1(_1544_),
     .X(_1545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3889_ (.A(_1411_),
-    .B(\u_spictrl.tx_done ),
+ sky130_fd_sc_hd__buf_2 _5180_ (.A(_1447_),
     .X(_1546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3890_ (.A(_1546_),
+ sky130_fd_sc_hd__buf_2 _5181_ (.A(_1450_),
     .X(_1547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3891_ (.A(_1547_),
+ sky130_fd_sc_hd__a32o_4 _5182_ (.A1(_1543_),
+    .A2(_1545_),
+    .A3(_1546_),
+    .B1(\u_spim_regs.spim_reg_rdata[19] ),
+    .B2(_1547_),
     .X(_1548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3892_ (.A1(psn_net_131),
-    .A2(_1415_),
-    .B1(_0753_),
+ sky130_fd_sc_hd__o22a_4 _5183_ (.A1(wbd_dat_o[19]),
+    .A2(_1540_),
+    .B1(_1541_),
+    .B2(_1548_),
+    .X(_0863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5184_ (.A(_1530_),
+    .B(\u_m0_res_fifo.mem[2][18] ),
     .X(_1549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3893_ (.A1(psn_net_13),
-    .A2(_0715_),
-    .B1(_1404_),
+ sky130_fd_sc_hd__a211o_4 _5185_ (.A1(_1521_),
+    .A2(\u_m0_res_fifo.mem[3][18] ),
+    .B1(_1529_),
+    .C1(_1549_),
     .X(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3894_ (.A1(psn_net_117),
-    .A2(_0709_),
-    .B1(_0752_),
+ sky130_fd_sc_hd__and2_4 _5186_ (.A(_1536_),
+    .B(\u_m0_res_fifo.mem[0][18] ),
     .X(_1551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3895_ (.A(_0751_),
-    .B(_1549_),
-    .C(_1550_),
-    .D(_1551_),
+ sky130_fd_sc_hd__a211o_4 _5187_ (.A1(_1524_),
+    .A2(\u_m0_res_fifo.mem[1][18] ),
+    .B1(_1534_),
+    .C1(_1551_),
     .X(_1552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3896_ (.A(_1552_),
-    .Y(io_oeb[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3897_ (.A(io_oeb[5]),
-    .B(_1537_),
+ sky130_fd_sc_hd__a32o_4 _5188_ (.A1(_1550_),
+    .A2(_1552_),
+    .A3(_1546_),
+    .B1(\u_spim_regs.spim_reg_rdata[18] ),
+    .B2(_1547_),
     .X(_1553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3898_ (.A(_1553_),
+ sky130_fd_sc_hd__o22a_4 _5189_ (.A1(wbd_dat_o[18]),
+    .A2(_1540_),
+    .B1(_1541_),
+    .B2(_1553_),
+    .X(_0862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5190_ (.A(_1489_),
     .X(_1554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _3899_ (.A1(_1545_),
-    .A2(_1548_),
-    .B1(_1554_),
+ sky130_fd_sc_hd__and2_4 _5191_ (.A(_1530_),
+    .B(\u_m0_res_fifo.mem[2][17] ),
     .X(_1555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3900_ (.A1(_1411_),
-    .A2(_1502_),
-    .B1(_1504_),
-    .B2(_1555_),
+ sky130_fd_sc_hd__a211o_4 _5192_ (.A1(_1554_),
+    .A2(\u_m0_res_fifo.mem[3][17] ),
+    .B1(_1529_),
+    .C1(_1555_),
     .X(_1556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3901_ (.A(_1556_),
-    .Y(_0286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3902_ (.A(_0515_),
+ sky130_fd_sc_hd__buf_2 _5193_ (.A(_1493_),
     .X(_1557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3903_ (.A(_1557_),
+ sky130_fd_sc_hd__and2_4 _5194_ (.A(_1536_),
+    .B(\u_m0_res_fifo.mem[0][17] ),
     .X(_1558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3904_ (.A(_1558_),
+ sky130_fd_sc_hd__a211o_4 _5195_ (.A1(_1557_),
+    .A2(\u_m0_res_fifo.mem[1][17] ),
+    .B1(_1534_),
+    .C1(_1558_),
     .X(_1559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3905_ (.A(wbd_stb_i),
-    .Y(_1560_),
+ sky130_fd_sc_hd__a32o_4 _5196_ (.A1(_1556_),
+    .A2(_1559_),
+    .A3(_1546_),
+    .B1(\u_spim_regs.spim_reg_rdata[17] ),
+    .B2(_1547_),
+    .X(_1560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3906_ (.A(_0660_),
-    .Y(_1561_),
+ sky130_fd_sc_hd__o22a_4 _5197_ (.A1(wbd_dat_o[17]),
+    .A2(_1540_),
+    .B1(_1541_),
+    .B2(_1560_),
+    .X(_0861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _3907_ (.A(_0534_),
-    .B(wbd_ack_o),
-    .C(_1560_),
-    .D(_1561_),
+ sky130_fd_sc_hd__buf_2 _5198_ (.A(_1528_),
+    .X(_1561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5199_ (.A(_1434_),
     .X(_1562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _3908_ (.A1(_2579_),
-    .A2(_1559_),
-    .B1(_1562_),
-    .Y(_0285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3909_ (.A1_N(_2579_),
-    .A2_N(_1322_),
-    .B1(_1322_),
-    .B2(\u_spim_regs.spim_wb_req_l ),
-    .X(_0284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3910_ (.A(_0534_),
+ sky130_fd_sc_hd__buf_2 _5200_ (.A(_1562_),
     .X(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3911_ (.A1(_1563_),
-    .A2(wbd_dat_i[31]),
-    .B1(\u_spim_regs.spim_wb_wdata[31] ),
-    .B2(_1559_),
-    .X(_0283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3912_ (.A(_1557_),
+ sky130_fd_sc_hd__and2_4 _5201_ (.A(_1563_),
+    .B(\u_m0_res_fifo.mem[2][16] ),
     .X(_1564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3913_ (.A(_1564_),
+ sky130_fd_sc_hd__a211o_4 _5202_ (.A1(_1554_),
+    .A2(\u_m0_res_fifo.mem[3][16] ),
+    .B1(_1561_),
+    .C1(_1564_),
     .X(_1565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3914_ (.A1(_1563_),
-    .A2(wbd_dat_i[30]),
-    .B1(\u_spim_regs.spim_wb_wdata[30] ),
-    .B2(_1565_),
-    .X(_0282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3915_ (.A(_0648_),
+ sky130_fd_sc_hd__buf_2 _5203_ (.A(_1533_),
     .X(_1566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3916_ (.A1(_1566_),
-    .A2(wbd_dat_i[29]),
-    .B1(\u_spim_regs.spim_wb_wdata[29] ),
-    .B2(_1565_),
-    .X(_0281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3917_ (.A1(_1566_),
-    .A2(wbd_dat_i[28]),
-    .B1(\u_spim_regs.spim_wb_wdata[28] ),
-    .B2(_1565_),
-    .X(_0280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3918_ (.A1(_1566_),
-    .A2(wbd_dat_i[27]),
-    .B1(\u_spim_regs.spim_wb_wdata[27] ),
-    .B2(_1565_),
-    .X(_0279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3919_ (.A(_0591_),
+ sky130_fd_sc_hd__buf_2 _5204_ (.A(_1535_),
     .X(_1567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3920_ (.A(_1567_),
+ sky130_fd_sc_hd__and2_4 _5205_ (.A(_1567_),
+    .B(\u_m0_res_fifo.mem[0][16] ),
     .X(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3921_ (.A1(_1566_),
-    .A2(wbd_dat_i[26]),
-    .B1(\u_spim_regs.spim_wb_wdata[26] ),
-    .B2(_1568_),
-    .X(_0278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3922_ (.A(_0648_),
+ sky130_fd_sc_hd__a211o_4 _5206_ (.A1(_1557_),
+    .A2(\u_m0_res_fifo.mem[1][16] ),
+    .B1(_1566_),
+    .C1(_1568_),
     .X(_1569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3923_ (.A1(_1569_),
-    .A2(wbd_dat_i[25]),
-    .B1(\u_spim_regs.spim_wb_wdata[25] ),
-    .B2(_1568_),
-    .X(_0277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3924_ (.A1(_1569_),
-    .A2(wbd_dat_i[24]),
-    .B1(\u_spim_regs.spim_wb_wdata[24] ),
-    .B2(_1568_),
-    .X(_0276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3925_ (.A1(_1569_),
-    .A2(wbd_dat_i[23]),
-    .B1(_0600_),
-    .B2(_1568_),
-    .X(_0275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3926_ (.A(_1567_),
+ sky130_fd_sc_hd__a32o_4 _5207_ (.A1(_1565_),
+    .A2(_1569_),
+    .A3(_1546_),
+    .B1(\u_spim_regs.spim_reg_rdata[16] ),
+    .B2(_1547_),
     .X(_1570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3927_ (.A1(_1569_),
-    .A2(wbd_dat_i[22]),
-    .B1(_0601_),
+ sky130_fd_sc_hd__o22a_4 _5208_ (.A1(wbd_dat_o[16]),
+    .A2(_1540_),
+    .B1(_1541_),
     .B2(_1570_),
-    .X(_0274_),
+    .X(_0860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3928_ (.A(_0648_),
+ sky130_fd_sc_hd__buf_2 _5209_ (.A(_1424_),
     .X(_1571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3929_ (.A1(_1571_),
-    .A2(wbd_dat_i[21]),
-    .B1(_0602_),
-    .B2(_1570_),
-    .X(_0273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3930_ (.A1(_1571_),
-    .A2(wbd_dat_i[20]),
-    .B1(_0604_),
-    .B2(_1570_),
-    .X(_0272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3931_ (.A1(_1571_),
-    .A2(wbd_dat_i[19]),
-    .B1(_0605_),
-    .B2(_1570_),
-    .X(_0271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3932_ (.A(_1567_),
+ sky130_fd_sc_hd__buf_2 _5210_ (.A(_1571_),
     .X(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3933_ (.A1(_1571_),
-    .A2(wbd_dat_i[18]),
-    .B1(_0608_),
-    .B2(_1572_),
-    .X(_0270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3934_ (.A(_0475_),
+ sky130_fd_sc_hd__buf_2 _5211_ (.A(_1427_),
     .X(_1573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3935_ (.A(_1573_),
+ sky130_fd_sc_hd__buf_2 _5212_ (.A(_1573_),
     .X(_1574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3936_ (.A1(_1574_),
-    .A2(wbd_dat_i[17]),
-    .B1(\u_spim_regs.spim_wb_wdata[17] ),
-    .B2(_1572_),
-    .X(_0269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3937_ (.A1(_1574_),
-    .A2(wbd_dat_i[16]),
-    .B1(_0611_),
-    .B2(_1572_),
-    .X(_0268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3938_ (.A1(_1574_),
-    .A2(wbd_dat_i[15]),
-    .B1(_0496_),
-    .B2(_1572_),
-    .X(_0267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3939_ (.A(_1567_),
+ sky130_fd_sc_hd__and2_4 _5213_ (.A(_1563_),
+    .B(\u_m0_res_fifo.mem[2][15] ),
     .X(_1575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3940_ (.A1(_1574_),
-    .A2(wbd_dat_i[14]),
-    .B1(_0498_),
-    .B2(_1575_),
-    .X(_0266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3941_ (.A(_1573_),
+ sky130_fd_sc_hd__a211o_4 _5214_ (.A1(_1554_),
+    .A2(\u_m0_res_fifo.mem[3][15] ),
+    .B1(_1561_),
+    .C1(_1575_),
     .X(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3942_ (.A1(_1576_),
-    .A2(wbd_dat_i[13]),
-    .B1(_0501_),
-    .B2(_1575_),
-    .X(_0265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3943_ (.A1(_1576_),
-    .A2(wbd_dat_i[12]),
-    .B1(_0506_),
-    .B2(_1575_),
-    .X(_0264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3944_ (.A1(_1576_),
-    .A2(wbd_dat_i[11]),
-    .B1(_0508_),
-    .B2(_1575_),
-    .X(_0263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3945_ (.A(_1558_),
+ sky130_fd_sc_hd__and2_4 _5215_ (.A(_1567_),
+    .B(\u_m0_res_fifo.mem[0][15] ),
     .X(_1577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3946_ (.A1(_1576_),
-    .A2(wbd_dat_i[10]),
-    .B1(_0511_),
-    .B2(_1577_),
-    .X(_0262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3947_ (.A(_1573_),
+ sky130_fd_sc_hd__a211o_4 _5216_ (.A1(_1557_),
+    .A2(\u_m0_res_fifo.mem[1][15] ),
+    .B1(_1566_),
+    .C1(_1577_),
     .X(_1578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3948_ (.A1(_1578_),
-    .A2(wbd_dat_i[9]),
-    .B1(_0513_),
-    .B2(_1577_),
-    .X(_0261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3949_ (.A1(_1578_),
-    .A2(wbd_dat_i[8]),
-    .B1(_0514_),
-    .B2(_1577_),
-    .X(_0260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3950_ (.A1(_1578_),
-    .A2(wbd_dat_i[7]),
-    .B1(\u_spim_regs.spim_wb_wdata[7] ),
-    .B2(_1577_),
-    .X(_0259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3951_ (.A(_1558_),
+ sky130_fd_sc_hd__buf_2 _5217_ (.A(_1421_),
     .X(_1579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3952_ (.A1(_1578_),
-    .A2(wbd_dat_i[6]),
-    .B1(\u_spim_regs.spim_wb_wdata[6] ),
-    .B2(_1579_),
-    .X(_0258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3953_ (.A(_1573_),
+ sky130_fd_sc_hd__buf_2 _5218_ (.A(_1579_),
     .X(_1580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3954_ (.A1(_1580_),
-    .A2(wbd_dat_i[5]),
-    .B1(\u_spim_regs.spim_wb_wdata[5] ),
-    .B2(_1579_),
-    .X(_0257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3955_ (.A1(_1580_),
-    .A2(wbd_dat_i[4]),
-    .B1(\u_spim_regs.spim_wb_wdata[4] ),
-    .B2(_1579_),
-    .X(_0256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3956_ (.A1(_1580_),
-    .A2(wbd_dat_i[3]),
-    .B1(\u_spim_regs.spim_wb_wdata[3] ),
-    .B2(_1579_),
-    .X(_0255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3957_ (.A(_1558_),
+ sky130_fd_sc_hd__buf_2 _5219_ (.A(_1449_),
     .X(_1581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3958_ (.A1(_1580_),
-    .A2(wbd_dat_i[2]),
-    .B1(\u_spim_regs.spim_wb_wdata[2] ),
-    .B2(_1581_),
-    .X(_0254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3959_ (.A(_0533_),
+ sky130_fd_sc_hd__buf_2 _5220_ (.A(_1581_),
     .X(_1582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3960_ (.A(_1582_),
+ sky130_fd_sc_hd__a32o_4 _5221_ (.A1(_1576_),
+    .A2(_1578_),
+    .A3(_1580_),
+    .B1(\u_spim_regs.spim_reg_rdata[15] ),
+    .B2(_1582_),
     .X(_1583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3961_ (.A1(_1583_),
-    .A2(wbd_dat_i[1]),
-    .B1(_0544_),
-    .B2(_1581_),
-    .X(_0253_),
+ sky130_fd_sc_hd__o22a_4 _5222_ (.A1(wbd_dat_o[15]),
+    .A2(_1572_),
+    .B1(_1574_),
+    .B2(_1583_),
+    .X(_0859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3962_ (.A1(_1583_),
-    .A2(wbd_dat_i[0]),
-    .B1(\u_spim_regs.spim_wb_wdata[0] ),
-    .B2(_1581_),
-    .X(_0252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3963_ (.A1(_1583_),
-    .A2(wbd_adr_i[31]),
-    .B1(_1581_),
-    .B2(psn_net_24),
-    .X(_0251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3964_ (.A(_1557_),
+ sky130_fd_sc_hd__and2_4 _5223_ (.A(_1563_),
+    .B(\u_m0_res_fifo.mem[2][14] ),
     .X(_1584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3965_ (.A(_1584_),
+ sky130_fd_sc_hd__a211o_4 _5224_ (.A1(_1554_),
+    .A2(\u_m0_res_fifo.mem[3][14] ),
+    .B1(_1561_),
+    .C1(_1584_),
     .X(_1585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3966_ (.A1(_1583_),
-    .A2(wbd_adr_i[30]),
-    .B1(_1585_),
-    .B2(psn_net_43),
-    .X(_0250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3967_ (.A(_1582_),
+ sky130_fd_sc_hd__and2_4 _5225_ (.A(_1567_),
+    .B(\u_m0_res_fifo.mem[0][14] ),
     .X(_1586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3968_ (.A1(_1586_),
-    .A2(wbd_adr_i[29]),
-    .B1(_1585_),
-    .B2(psn_net_36),
-    .X(_0249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3969_ (.A1(_1586_),
-    .A2(wbd_adr_i[28]),
-    .B1(_1585_),
-    .B2(\u_spim_regs.spim_wb_addr[28] ),
-    .X(_0248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3970_ (.A1(_1586_),
-    .A2(wbd_adr_i[23]),
-    .B1(_1585_),
-    .B2(\u_spim_regs.spim_wb_addr[23] ),
-    .X(_0247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3971_ (.A(_1584_),
+ sky130_fd_sc_hd__a211o_4 _5226_ (.A1(_1557_),
+    .A2(\u_m0_res_fifo.mem[1][14] ),
+    .B1(_1566_),
+    .C1(_1586_),
     .X(_1587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3972_ (.A1(_1586_),
-    .A2(wbd_adr_i[22]),
-    .B1(_1587_),
-    .B2(\u_spim_regs.spim_wb_addr[22] ),
-    .X(_0246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3973_ (.A(_1582_),
+ sky130_fd_sc_hd__a32o_4 _5227_ (.A1(_1585_),
+    .A2(_1587_),
+    .A3(_1580_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_1582_),
     .X(_1588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3974_ (.A1(_1588_),
-    .A2(wbd_adr_i[21]),
-    .B1(_1587_),
-    .B2(\u_spim_regs.spim_wb_addr[21] ),
-    .X(_0245_),
+ sky130_fd_sc_hd__o22a_4 _5228_ (.A1(wbd_dat_o[14]),
+    .A2(_1572_),
+    .B1(_1574_),
+    .B2(_1588_),
+    .X(_0858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3975_ (.A1(_1588_),
-    .A2(wbd_adr_i[20]),
-    .B1(_1587_),
-    .B2(\u_spim_regs.spim_wb_addr[20] ),
-    .X(_0244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3976_ (.A1(_1588_),
-    .A2(wbd_adr_i[19]),
-    .B1(_1587_),
-    .B2(\u_spim_regs.spim_wb_addr[19] ),
-    .X(_0243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3977_ (.A(_1584_),
+ sky130_fd_sc_hd__buf_2 _5229_ (.A(_1489_),
     .X(_1589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3978_ (.A1(_1588_),
-    .A2(wbd_adr_i[18]),
-    .B1(_1589_),
-    .B2(\u_spim_regs.spim_wb_addr[18] ),
-    .X(_0242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3979_ (.A(_1582_),
+ sky130_fd_sc_hd__and2_4 _5230_ (.A(_1563_),
+    .B(\u_m0_res_fifo.mem[2][13] ),
     .X(_1590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3980_ (.A1(_1590_),
-    .A2(wbd_adr_i[17]),
-    .B1(_1589_),
-    .B2(\u_spim_regs.spim_wb_addr[17] ),
-    .X(_0241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3981_ (.A1(_1590_),
-    .A2(wbd_adr_i[16]),
-    .B1(_1589_),
-    .B2(\u_spim_regs.spim_wb_addr[16] ),
-    .X(_0240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3982_ (.A1(_1590_),
-    .A2(wbd_adr_i[15]),
-    .B1(_1589_),
-    .B2(\u_spim_regs.spim_wb_addr[15] ),
-    .X(_0239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3983_ (.A(_1584_),
+ sky130_fd_sc_hd__a211o_4 _5231_ (.A1(_1589_),
+    .A2(\u_m0_res_fifo.mem[3][13] ),
+    .B1(_1561_),
+    .C1(_1590_),
     .X(_1591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3984_ (.A1(_1590_),
-    .A2(wbd_adr_i[14]),
-    .B1(_1591_),
-    .B2(\u_spim_regs.spim_wb_addr[14] ),
-    .X(_0238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3985_ (.A(_0533_),
+ sky130_fd_sc_hd__buf_2 _5232_ (.A(_1493_),
     .X(_1592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3986_ (.A(_1592_),
+ sky130_fd_sc_hd__and2_4 _5233_ (.A(_1567_),
+    .B(\u_m0_res_fifo.mem[0][13] ),
     .X(_1593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3987_ (.A1(_1593_),
-    .A2(wbd_adr_i[13]),
-    .B1(_1591_),
-    .B2(\u_spim_regs.spim_wb_addr[13] ),
-    .X(_0237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3988_ (.A1(_1593_),
-    .A2(wbd_adr_i[12]),
-    .B1(_1591_),
-    .B2(\u_spim_regs.spim_wb_addr[12] ),
-    .X(_0236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3989_ (.A1(_1593_),
-    .A2(wbd_adr_i[11]),
-    .B1(_1591_),
-    .B2(\u_spim_regs.spim_wb_addr[11] ),
-    .X(_0235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3990_ (.A(_1557_),
+ sky130_fd_sc_hd__a211o_4 _5234_ (.A1(_1592_),
+    .A2(\u_m0_res_fifo.mem[1][13] ),
+    .B1(_1566_),
+    .C1(_1593_),
     .X(_1594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3991_ (.A(_1594_),
+ sky130_fd_sc_hd__a32o_4 _5235_ (.A1(_1591_),
+    .A2(_1594_),
+    .A3(_1580_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_1582_),
     .X(_1595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3992_ (.A1(_1593_),
-    .A2(wbd_adr_i[10]),
-    .B1(_1595_),
-    .B2(\u_spim_regs.spim_wb_addr[10] ),
-    .X(_0234_),
+ sky130_fd_sc_hd__o22a_4 _5236_ (.A1(wbd_dat_o[13]),
+    .A2(_1572_),
+    .B1(_1574_),
+    .B2(_1595_),
+    .X(_0857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3993_ (.A(_1592_),
+ sky130_fd_sc_hd__buf_2 _5237_ (.A(_1528_),
     .X(_1596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3994_ (.A1(_1596_),
-    .A2(wbd_adr_i[9]),
-    .B1(_1595_),
-    .B2(\u_spim_regs.spim_wb_addr[9] ),
-    .X(_0233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3995_ (.A1(_1596_),
-    .A2(wbd_adr_i[8]),
-    .B1(_1595_),
-    .B2(\u_spim_regs.spim_wb_addr[8] ),
-    .X(_0232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3996_ (.A1(_1596_),
-    .A2(wbd_adr_i[7]),
-    .B1(_1595_),
-    .B2(\u_spim_regs.spim_wb_addr[7] ),
-    .X(_0231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3997_ (.A(_1594_),
+ sky130_fd_sc_hd__buf_2 _5238_ (.A(_1562_),
     .X(_1597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3998_ (.A1(_1596_),
-    .A2(wbd_adr_i[6]),
-    .B1(_1597_),
-    .B2(\u_spim_regs.spim_wb_addr[6] ),
-    .X(_0230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3999_ (.A(_1592_),
+ sky130_fd_sc_hd__and2_4 _5239_ (.A(_1597_),
+    .B(\u_m0_res_fifo.mem[2][12] ),
     .X(_1598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4000_ (.A1(_1598_),
-    .A2(wbd_adr_i[5]),
-    .B1(_1597_),
-    .B2(_1149_),
-    .X(_0229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4001_ (.A1(_1598_),
-    .A2(wbd_adr_i[4]),
-    .B1(_1597_),
-    .B2(_1151_),
-    .X(_0228_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4002_ (.A1(_1598_),
-    .A2(wbd_adr_i[3]),
-    .B1(_1597_),
-    .B2(_1148_),
-    .X(_0227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4003_ (.A(_1594_),
+ sky130_fd_sc_hd__a211o_4 _5240_ (.A1(_1589_),
+    .A2(\u_m0_res_fifo.mem[3][12] ),
+    .B1(_1596_),
+    .C1(_1598_),
     .X(_1599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4004_ (.A1(_1598_),
-    .A2(wbd_adr_i[2]),
-    .B1(_1599_),
-    .B2(_2571_),
-    .X(_0226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4005_ (.A(_1592_),
+ sky130_fd_sc_hd__buf_2 _5241_ (.A(_1533_),
     .X(_1600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4006_ (.A1(_1600_),
-    .A2(wbd_adr_i[1]),
-    .B1(_1599_),
-    .B2(\u_spim_regs.spim_wb_addr[1] ),
-    .X(_0225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4007_ (.A1(_1600_),
-    .A2(wbd_adr_i[0]),
-    .B1(_1599_),
-    .B2(\u_spim_regs.spim_wb_addr[0] ),
-    .X(_0224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4008_ (.A(_1429_),
+ sky130_fd_sc_hd__buf_2 _5242_ (.A(_1535_),
     .X(_1601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4009_ (.A(_1601_),
+ sky130_fd_sc_hd__and2_4 _5243_ (.A(_1601_),
+    .B(\u_m0_res_fifo.mem[0][12] ),
     .X(_1602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4010_ (.A(_1602_),
+ sky130_fd_sc_hd__a211o_4 _5244_ (.A1(_1592_),
+    .A2(\u_m0_res_fifo.mem[1][12] ),
+    .B1(_1600_),
+    .C1(_1602_),
     .X(_1603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4011_ (.A(_1603_),
+ sky130_fd_sc_hd__a32o_4 _5245_ (.A1(_1599_),
+    .A2(_1603_),
+    .A3(_1580_),
+    .B1(\u_spim_regs.spim_reg_rdata[12] ),
+    .B2(_1582_),
     .X(_1604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4012_ (.A(wbd_ack_o),
-    .Y(_1605_),
+ sky130_fd_sc_hd__o22a_4 _5246_ (.A1(wbd_dat_o[12]),
+    .A2(_1572_),
+    .B1(_1574_),
+    .B2(_1604_),
+    .X(_0856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4013_ (.A(_1323_),
-    .B(_1604_),
-    .C(\u_spim_regs.spim_wb_req_l ),
-    .D(_1605_),
+ sky130_fd_sc_hd__buf_2 _5247_ (.A(_1571_),
+    .X(_1605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5248_ (.A(_1573_),
     .X(_1606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4014_ (.A(_1561_),
-    .B(_1606_),
+ sky130_fd_sc_hd__and2_4 _5249_ (.A(_1597_),
+    .B(\u_m0_res_fifo.mem[2][11] ),
     .X(_1607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4015_ (.A(_0534_),
+ sky130_fd_sc_hd__a211o_4 _5250_ (.A1(_1589_),
+    .A2(\u_m0_res_fifo.mem[3][11] ),
+    .B1(_1596_),
+    .C1(_1607_),
     .X(_1608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4016_ (.A1(\u_spim_regs.spim_wb_req ),
-    .A2(_1564_),
-    .A3(_1607_),
-    .B1(_1608_),
-    .B2(wbd_ack_o),
-    .X(_0223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4017_ (.A1(_1600_),
-    .A2(wbd_we_i),
-    .B1(_1599_),
-    .B2(_0462_),
-    .X(_0222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4018_ (.A(_1594_),
+ sky130_fd_sc_hd__and2_4 _5251_ (.A(_1601_),
+    .B(\u_m0_res_fifo.mem[0][11] ),
     .X(_1609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4019_ (.A1(_1600_),
-    .A2(wbd_sel_i[3]),
-    .B1(_1609_),
-    .B2(\u_spim_regs.spim_wb_be[3] ),
-    .X(_0221_),
+ sky130_fd_sc_hd__a211o_4 _5252_ (.A1(_1592_),
+    .A2(\u_m0_res_fifo.mem[1][11] ),
+    .B1(_1600_),
+    .C1(_1609_),
+    .X(_1610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4020_ (.A1(_1608_),
-    .A2(wbd_sel_i[2]),
-    .B1(_1609_),
-    .B2(\u_spim_regs.spim_wb_be[2] ),
-    .X(_0220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4021_ (.A1(_1608_),
-    .A2(wbd_sel_i[1]),
-    .B1(_1609_),
-    .B2(\u_spim_regs.spim_wb_be[1] ),
-    .X(_0219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4022_ (.A1(_1608_),
-    .A2(wbd_sel_i[0]),
-    .B1(_1609_),
-    .B2(\u_spim_regs.spim_wb_be[0] ),
-    .X(_0218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4023_ (.A(_0492_),
-    .B(_1297_),
-    .Y(_1610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4024_ (.A(_1610_),
+ sky130_fd_sc_hd__buf_2 _5253_ (.A(_1579_),
     .X(_1611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4025_ (.A1_N(_1168_),
-    .A2_N(_1611_),
-    .B1(_1301_),
-    .B2(_1611_),
-    .X(_0217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4026_ (.A1_N(_1186_),
-    .A2_N(_1611_),
-    .B1(_1302_),
-    .B2(_1611_),
-    .X(_0216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4027_ (.A(_1610_),
+ sky130_fd_sc_hd__buf_2 _5254_ (.A(_1581_),
     .X(_1612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4028_ (.A1_N(_1204_),
-    .A2_N(_1612_),
-    .B1(_1304_),
+ sky130_fd_sc_hd__a32o_4 _5255_ (.A1(_1608_),
+    .A2(_1610_),
+    .A3(_1611_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
     .B2(_1612_),
-    .X(_0215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4029_ (.A1_N(_1227_),
-    .A2_N(_1612_),
-    .B1(_1305_),
-    .B2(_1612_),
-    .X(_0214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4030_ (.A(_1610_),
     .X(_1613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4031_ (.A1_N(_1248_),
-    .A2_N(_1613_),
-    .B1(_1307_),
+ sky130_fd_sc_hd__o22a_4 _5256_ (.A1(wbd_dat_o[11]),
+    .A2(_1605_),
+    .B1(_1606_),
     .B2(_1613_),
-    .X(_0213_),
+    .X(_0855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4032_ (.A1_N(_1263_),
-    .A2_N(_1613_),
-    .B1(_1308_),
-    .B2(_1613_),
-    .X(_0212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4033_ (.A(_1610_),
+ sky130_fd_sc_hd__and2_4 _5257_ (.A(_1597_),
+    .B(\u_m0_res_fifo.mem[2][10] ),
     .X(_1614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4034_ (.A1_N(_1277_),
-    .A2_N(_1614_),
-    .B1(_1310_),
-    .B2(_1614_),
-    .X(_0211_),
+ sky130_fd_sc_hd__a211o_4 _5258_ (.A1(_1589_),
+    .A2(\u_m0_res_fifo.mem[3][10] ),
+    .B1(_1596_),
+    .C1(_1614_),
+    .X(_1615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4035_ (.A1_N(_1291_),
-    .A2_N(_1614_),
-    .B1(_1311_),
-    .B2(_1614_),
-    .X(_0210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4036_ (.A(_0596_),
-    .B(_1298_),
-    .Y(_1615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4037_ (.A(_1615_),
+ sky130_fd_sc_hd__and2_4 _5259_ (.A(_1601_),
+    .B(\u_m0_res_fifo.mem[0][10] ),
     .X(_1616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4038_ (.A1_N(_0929_),
-    .A2_N(_1616_),
-    .B1(_0600_),
-    .B2(_1616_),
-    .X(_0209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4039_ (.A1_N(_0943_),
-    .A2_N(_1616_),
-    .B1(_0601_),
-    .B2(_1616_),
-    .X(_0208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4040_ (.A(_1615_),
+ sky130_fd_sc_hd__a211o_4 _5260_ (.A1(_1592_),
+    .A2(\u_m0_res_fifo.mem[1][10] ),
+    .B1(_1600_),
+    .C1(_1616_),
     .X(_1617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4041_ (.A1_N(_0958_),
-    .A2_N(_1617_),
-    .B1(_0602_),
-    .B2(_1617_),
-    .X(_0207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4042_ (.A1_N(_0971_),
-    .A2_N(_1617_),
-    .B1(_0604_),
-    .B2(_1617_),
-    .X(_0206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4043_ (.A(_1615_),
+ sky130_fd_sc_hd__a32o_4 _5261_ (.A1(_1615_),
+    .A2(_1617_),
+    .A3(_1611_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_1612_),
     .X(_1618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4044_ (.A1_N(_0985_),
-    .A2_N(_1618_),
-    .B1(_0605_),
+ sky130_fd_sc_hd__o22a_4 _5262_ (.A1(wbd_dat_o[10]),
+    .A2(_1605_),
+    .B1(_1606_),
     .B2(_1618_),
-    .X(_0205_),
+    .X(_0854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4045_ (.A1_N(_0999_),
-    .A2_N(_1618_),
-    .B1(_0608_),
-    .B2(_1618_),
-    .X(_0204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4046_ (.A(_1615_),
+ sky130_fd_sc_hd__buf_2 _5263_ (.A(_1440_),
     .X(_1619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4047_ (.A1_N(_1015_),
-    .A2_N(_1619_),
-    .B1(\u_spim_regs.spim_wb_wdata[17] ),
-    .B2(_1619_),
-    .X(_0203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4048_ (.A1_N(_1028_),
-    .A2_N(_1619_),
-    .B1(_0611_),
-    .B2(_1619_),
-    .X(_0202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4049_ (.A(_0573_),
-    .B(_0628_),
+ sky130_fd_sc_hd__and2_4 _5264_ (.A(_1597_),
+    .B(\u_m0_res_fifo.mem[2][9] ),
     .X(_1620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4050_ (.A(_1620_),
-    .Y(_1621_),
+ sky130_fd_sc_hd__a211o_4 _5265_ (.A1(_1619_),
+    .A2(\u_m0_res_fifo.mem[3][9] ),
+    .B1(_1596_),
+    .C1(_1620_),
+    .X(_1621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4051_ (.A(_1621_),
+ sky130_fd_sc_hd__buf_2 _5266_ (.A(_1439_),
     .X(_1622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4052_ (.A(_1620_),
+ sky130_fd_sc_hd__and2_4 _5267_ (.A(_1601_),
+    .B(\u_m0_res_fifo.mem[0][9] ),
     .X(_1623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4053_ (.A1(\u_spim_regs.spim_wb_wdata[15] ),
-    .A2(_1564_),
-    .A3(_1622_),
-    .B1(\u_spim_regs.reg2spi_mode[7] ),
-    .B2(_1623_),
-    .X(_0201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4054_ (.A1(\u_spim_regs.spim_wb_wdata[14] ),
-    .A2(_1564_),
-    .A3(_1622_),
-    .B1(\u_spim_regs.reg2spi_mode[6] ),
-    .B2(_1623_),
-    .X(_0200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4055_ (.A(_1620_),
+ sky130_fd_sc_hd__a211o_4 _5268_ (.A1(_1622_),
+    .A2(\u_m0_res_fifo.mem[1][9] ),
+    .B1(_1600_),
+    .C1(_1623_),
     .X(_1624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4056_ (.A(_1621_),
+ sky130_fd_sc_hd__a32o_4 _5269_ (.A1(_1621_),
+    .A2(_1624_),
+    .A3(_1611_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_1612_),
     .X(_1625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4057_ (.A1(_0578_),
-    .A2(_1624_),
-    .B1(\u_spim_regs.reg2spi_mode[5] ),
+ sky130_fd_sc_hd__o22a_4 _5270_ (.A1(wbd_dat_o[9]),
+    .A2(_1605_),
+    .B1(_1606_),
     .B2(_1625_),
-    .X(_0199_),
+    .X(_0853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4058_ (.A1(_0581_),
-    .A2(_1624_),
-    .B1(\u_spim_regs.reg2spi_mode[4] ),
-    .B2(_1625_),
-    .X(_0198_),
+ sky130_fd_sc_hd__buf_2 _5271_ (.A(_1528_),
+    .X(_1626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4059_ (.A1(_0582_),
-    .A2(_1624_),
-    .B1(\u_spim_regs.reg2spi_mode[3] ),
-    .B2(_1625_),
-    .X(_0197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4060_ (.A1(_0472_),
-    .A2(_1624_),
-    .B1(\u_spim_regs.reg2spi_mode[2] ),
-    .B2(_1625_),
-    .X(_0196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4061_ (.A1(_0474_),
-    .A2(_1623_),
-    .B1(\u_spim_regs.reg2spi_mode[1] ),
-    .B2(_1622_),
-    .X(_0195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4062_ (.A1(_0584_),
-    .A2(_1623_),
-    .B1(\u_spim_regs.reg2spi_mode[0] ),
-    .B2(_1622_),
-    .X(_0194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4063_ (.A(_0547_),
-    .B(_1297_),
-    .Y(_1626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4064_ (.A1_N(_0791_),
-    .A2_N(_1626_),
-    .B1(\u_spim_regs.spim_wb_wdata[6] ),
-    .B2(_1626_),
-    .X(_0193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4065_ (.A1(_0483_),
-    .A2(_0639_),
-    .B1(_1425_),
-    .B2(_0480_),
-    .Y(_0192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4066_ (.A(psn_net_157),
+ sky130_fd_sc_hd__buf_2 _5272_ (.A(_1562_),
     .X(_1627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4067_ (.A(_1627_),
+ sky130_fd_sc_hd__and2_4 _5273_ (.A(_1627_),
+    .B(\u_m0_res_fifo.mem[2][8] ),
     .X(_1628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4068_ (.A(_0771_),
-    .Y(_1629_),
+ sky130_fd_sc_hd__a211o_4 _5274_ (.A1(_1619_),
+    .A2(\u_m0_res_fifo.mem[3][8] ),
+    .B1(_1626_),
+    .C1(_1628_),
+    .X(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4069_ (.A(_1629_),
+ sky130_fd_sc_hd__buf_2 _5275_ (.A(_1533_),
     .X(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4070_ (.A(_1630_),
+ sky130_fd_sc_hd__buf_2 _5276_ (.A(_1535_),
     .X(_1631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4071_ (.A(psn_net_232),
-    .B(_1631_),
+ sky130_fd_sc_hd__and2_4 _5277_ (.A(_1631_),
+    .B(\u_m0_res_fifo.mem[0][8] ),
     .X(_1632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4072_ (.A(_0790_),
+ sky130_fd_sc_hd__a211o_4 _5278_ (.A1(_1622_),
+    .A2(\u_m0_res_fifo.mem[1][8] ),
+    .B1(_1630_),
+    .C1(_1632_),
     .X(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4073_ (.A(_1633_),
-    .Y(_1634_),
+ sky130_fd_sc_hd__a32o_4 _5279_ (.A1(_1629_),
+    .A2(_1633_),
+    .A3(_1611_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_1612_),
+    .X(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4074_ (.A(_1501_),
-    .B(_1505_),
-    .C(_1390_),
-    .D(_1506_),
+ sky130_fd_sc_hd__o22a_4 _5280_ (.A1(wbd_dat_o[8]),
+    .A2(_1605_),
+    .B1(_1606_),
+    .B2(_1634_),
+    .X(_0852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5281_ (.A(_1571_),
     .X(_1635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4075_ (.A(_1634_),
-    .B(_1635_),
-    .Y(_1636_),
+ sky130_fd_sc_hd__buf_2 _5282_ (.A(_1573_),
+    .X(_1636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4076_ (.A(_1636_),
+ sky130_fd_sc_hd__and2_4 _5283_ (.A(_1627_),
+    .B(\u_m0_res_fifo.mem[2][7] ),
     .X(_1637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4077_ (.A(_1463_),
+ sky130_fd_sc_hd__a211o_4 _5284_ (.A1(_1619_),
+    .A2(\u_m0_res_fifo.mem[3][7] ),
+    .B1(_1626_),
+    .C1(_1637_),
     .X(_1638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4078_ (.A1(_0612_),
-    .A2(_1487_),
-    .A3(_0802_),
-    .B1(psn_net_231),
-    .B2(_1638_),
+ sky130_fd_sc_hd__and2_4 _5285_ (.A(_1631_),
+    .B(\u_m0_res_fifo.mem[0][7] ),
     .X(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4079_ (.A(_1507_),
-    .Y(_1640_),
+ sky130_fd_sc_hd__a211o_4 _5286_ (.A1(_1622_),
+    .A2(\u_m0_res_fifo.mem[1][7] ),
+    .B1(_1630_),
+    .C1(_1639_),
+    .X(_1640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4080_ (.A1(_1395_),
-    .A2(_1388_),
-    .A3(_1640_),
-    .B1(_1414_),
-    .B2(_1445_),
+ sky130_fd_sc_hd__buf_2 _5287_ (.A(_1579_),
     .X(_1641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4081_ (.A(_1641_),
+ sky130_fd_sc_hd__buf_2 _5288_ (.A(_1581_),
     .X(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4082_ (.A(_0777_),
-    .B(_1450_),
+ sky130_fd_sc_hd__a32o_4 _5289_ (.A1(_1638_),
+    .A2(_1640_),
+    .A3(_1641_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_1642_),
     .X(_1643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4083_ (.A1(_1387_),
-    .A2(_1643_),
-    .B1(_1398_),
+ sky130_fd_sc_hd__o22a_4 _5290_ (.A1(wbd_dat_o[7]),
+    .A2(_1635_),
+    .B1(_1636_),
+    .B2(_1643_),
+    .X(_0851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5291_ (.A(_1627_),
+    .B(\u_m0_res_fifo.mem[2][6] ),
     .X(_1644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4084_ (.A(_1644_),
+ sky130_fd_sc_hd__a211o_4 _5292_ (.A1(_1619_),
+    .A2(\u_m0_res_fifo.mem[3][6] ),
+    .B1(_1626_),
+    .C1(_1644_),
     .X(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4085_ (.A(_1637_),
-    .B(_1639_),
-    .C(_1642_),
-    .D(_1645_),
+ sky130_fd_sc_hd__and2_4 _5293_ (.A(_1631_),
+    .B(\u_m0_res_fifo.mem[0][6] ),
     .X(_1646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4086_ (.A1(_1424_),
-    .A2(_1632_),
-    .B1(_1646_),
+ sky130_fd_sc_hd__a211o_4 _5294_ (.A1(_1622_),
+    .A2(\u_m0_res_fifo.mem[1][6] ),
+    .B1(_1630_),
+    .C1(_1646_),
     .X(_1647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4087_ (.A(_1647_),
-    .Y(_1648_),
+ sky130_fd_sc_hd__a32o_4 _5295_ (.A1(_1645_),
+    .A2(_1647_),
+    .A3(_1641_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_1642_),
+    .X(_1648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4088_ (.A(_1554_),
-    .Y(_1649_),
+ sky130_fd_sc_hd__o22a_4 _5296_ (.A1(wbd_dat_o[6]),
+    .A2(_1635_),
+    .B1(_1636_),
+    .B2(_1648_),
+    .X(_0850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4089_ (.A(_1553_),
+ sky130_fd_sc_hd__buf_2 _5297_ (.A(_1440_),
+    .X(_1649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5298_ (.A(_1627_),
+    .B(\u_m0_res_fifo.mem[2][5] ),
     .X(_1650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4090_ (.A1(_1649_),
-    .A2(_1628_),
-    .A3(_1648_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[15] ),
-    .B2(_1650_),
-    .X(_0191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4091_ (.A(_0717_),
+ sky130_fd_sc_hd__a211o_4 _5299_ (.A1(_1649_),
+    .A2(\u_m0_res_fifo.mem[3][5] ),
+    .B1(_1626_),
+    .C1(_1650_),
     .X(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4092_ (.A(_1651_),
+ sky130_fd_sc_hd__buf_2 _5300_ (.A(_1439_),
     .X(_1652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4093_ (.A(_1652_),
+ sky130_fd_sc_hd__and2_4 _5301_ (.A(_1631_),
+    .B(\u_m0_res_fifo.mem[0][5] ),
     .X(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4094_ (.A(_1653_),
+ sky130_fd_sc_hd__a211o_4 _5302_ (.A1(_1652_),
+    .A2(\u_m0_res_fifo.mem[1][5] ),
+    .B1(_1630_),
+    .C1(_1653_),
     .X(_1654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4095_ (.A(_1630_),
+ sky130_fd_sc_hd__a32o_4 _5303_ (.A1(_1651_),
+    .A2(_1654_),
+    .A3(_1641_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_1642_),
     .X(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4096_ (.A(_0556_),
-    .B(_1654_),
-    .C(_1655_),
-    .D(_1423_),
+ sky130_fd_sc_hd__o22a_4 _5304_ (.A1(wbd_dat_o[5]),
+    .A2(_1635_),
+    .B1(_1636_),
+    .B2(_1655_),
+    .X(_0849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5305_ (.A(_1053_),
     .X(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4097_ (.A(_1636_),
+ sky130_fd_sc_hd__buf_2 _5306_ (.A(_1562_),
     .X(_1657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4098_ (.A(_1651_),
+ sky130_fd_sc_hd__and2_4 _5307_ (.A(_1657_),
+    .B(\u_m0_res_fifo.mem[2][4] ),
     .X(_1658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4099_ (.A(_0556_),
-    .B(_1658_),
+ sky130_fd_sc_hd__a211o_4 _5308_ (.A1(_1649_),
+    .A2(\u_m0_res_fifo.mem[3][4] ),
+    .B1(_1656_),
+    .C1(_1658_),
     .X(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4100_ (.A(_1463_),
+ sky130_fd_sc_hd__buf_2 _5309_ (.A(_1051_),
     .X(_1660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4101_ (.A1(_0615_),
-    .A2(_1486_),
-    .A3(_0802_),
-    .B1(_1659_),
-    .B2(_1660_),
+ sky130_fd_sc_hd__buf_2 _5310_ (.A(_1434_),
     .X(_1661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4102_ (.A(_1641_),
+ sky130_fd_sc_hd__and2_4 _5311_ (.A(_1661_),
+    .B(\u_m0_res_fifo.mem[0][4] ),
     .X(_1662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4103_ (.A(_1644_),
+ sky130_fd_sc_hd__a211o_4 _5312_ (.A1(_1652_),
+    .A2(\u_m0_res_fifo.mem[1][4] ),
+    .B1(_1660_),
+    .C1(_1662_),
     .X(_1663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4104_ (.A(_1657_),
-    .B(_1661_),
-    .C(_1662_),
-    .D(_1663_),
+ sky130_fd_sc_hd__a32o_4 _5313_ (.A1(_1659_),
+    .A2(_1663_),
+    .A3(_1641_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_1642_),
     .X(_1664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4105_ (.A(_1656_),
-    .B(_1664_),
+ sky130_fd_sc_hd__o22a_4 _5314_ (.A1(wbd_dat_o[4]),
+    .A2(_1635_),
+    .B1(_1636_),
+    .B2(_1664_),
+    .X(_0848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5315_ (.A(_1571_),
     .X(_1665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4106_ (.A(_1665_),
-    .Y(_1666_),
+ sky130_fd_sc_hd__buf_2 _5316_ (.A(_1573_),
+    .X(_1666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4107_ (.A1(_1649_),
-    .A2(_1628_),
-    .A3(_1666_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[14] ),
-    .B2(_1650_),
-    .X(_0190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4108_ (.A(_1553_),
+ sky130_fd_sc_hd__and2_4 _5317_ (.A(_1657_),
+    .B(\u_m0_res_fifo.mem[2][3] ),
     .X(_1667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4109_ (.A(_1667_),
+ sky130_fd_sc_hd__a211o_4 _5318_ (.A1(_1649_),
+    .A2(\u_m0_res_fifo.mem[3][3] ),
+    .B1(_1656_),
+    .C1(_1667_),
     .X(_1668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4110_ (.A(psn_net_112),
+ sky130_fd_sc_hd__and2_4 _5319_ (.A(_1661_),
+    .B(\u_m0_res_fifo.mem[0][3] ),
     .X(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4111_ (.A(_1669_),
+ sky130_fd_sc_hd__a211o_4 _5320_ (.A1(_1652_),
+    .A2(\u_m0_res_fifo.mem[1][3] ),
+    .B1(_1660_),
+    .C1(_1669_),
     .X(_1670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4112_ (.A(_1630_),
+ sky130_fd_sc_hd__buf_2 _5321_ (.A(_1579_),
     .X(_1671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4113_ (.A(psn_net_18),
-    .B(_1671_),
+ sky130_fd_sc_hd__buf_2 _5322_ (.A(_1581_),
     .X(_1672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4114_ (.A(_1486_),
+ sky130_fd_sc_hd__a32o_4 _5323_ (.A1(_1668_),
+    .A2(_1670_),
+    .A3(_1671_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_1672_),
     .X(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4115_ (.A1(_0616_),
-    .A2(_1673_),
-    .B1(psn_net_17),
-    .B2(_1638_),
+ sky130_fd_sc_hd__o22a_4 _5324_ (.A1(wbd_dat_o[3]),
+    .A2(_1665_),
+    .B1(_1666_),
+    .B2(_1673_),
+    .X(_0847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5325_ (.A(_1657_),
+    .B(\u_m0_res_fifo.mem[2][2] ),
     .X(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4116_ (.A(_1637_),
-    .B(_1674_),
-    .C(_1642_),
-    .D(_1645_),
+ sky130_fd_sc_hd__a211o_4 _5326_ (.A1(_1649_),
+    .A2(\u_m0_res_fifo.mem[3][2] ),
+    .B1(_1656_),
+    .C1(_1674_),
     .X(_1675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4117_ (.A1(_1424_),
-    .A2(_1672_),
-    .B1(_1675_),
+ sky130_fd_sc_hd__and2_4 _5327_ (.A(_1661_),
+    .B(\u_m0_res_fifo.mem[0][2] ),
     .X(_1676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4118_ (.A(psn_net_157),
+ sky130_fd_sc_hd__a211o_4 _5328_ (.A1(_1652_),
+    .A2(\u_m0_res_fifo.mem[1][2] ),
+    .B1(_1660_),
+    .C1(_1676_),
     .X(_1677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4119_ (.A(_1677_),
+ sky130_fd_sc_hd__a32o_4 _5329_ (.A1(_1675_),
+    .A2(_1677_),
+    .A3(_1671_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_1672_),
     .X(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4120_ (.A1(_1670_),
-    .A2(_1676_),
-    .B1(_1678_),
-    .B2(_1647_),
+ sky130_fd_sc_hd__o22a_4 _5330_ (.A1(wbd_dat_o[2]),
+    .A2(_1665_),
+    .B1(_1666_),
+    .B2(_1678_),
+    .X(_0846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5331_ (.A(_1657_),
+    .B(\u_m0_res_fifo.mem[2][1] ),
     .X(_1679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4121_ (.A1_N(_1679_),
-    .A2_N(_1668_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[13] ),
-    .B2(_1668_),
-    .X(_0189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4122_ (.A(_1669_),
+ sky130_fd_sc_hd__a211o_4 _5332_ (.A1(_1441_),
+    .A2(\u_m0_res_fifo.mem[3][1] ),
+    .B1(_1656_),
+    .C1(_1679_),
     .X(_1680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4123_ (.A(_1422_),
+ sky130_fd_sc_hd__and2_4 _5333_ (.A(_1661_),
+    .B(\u_m0_res_fifo.mem[0][1] ),
     .X(_1681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4124_ (.A(_0561_),
-    .B(_1654_),
-    .C(_1655_),
-    .D(_1681_),
+ sky130_fd_sc_hd__a211o_4 _5334_ (.A1(_1430_),
+    .A2(\u_m0_res_fifo.mem[1][1] ),
+    .B1(_1660_),
+    .C1(_1681_),
     .X(_1682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4125_ (.A(_1658_),
+ sky130_fd_sc_hd__a32o_4 _5335_ (.A1(_1680_),
+    .A2(_1682_),
+    .A3(_1671_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_1672_),
     .X(_1683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4126_ (.A1(_0561_),
-    .A2(_1683_),
-    .A3(_1660_),
-    .B1(_0618_),
-    .B2(_1487_),
+ sky130_fd_sc_hd__o22a_4 _5336_ (.A1(wbd_dat_o[1]),
+    .A2(_1665_),
+    .B1(_1666_),
+    .B2(_1683_),
+    .X(_0845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5337_ (.A(_1444_),
+    .B(\u_m0_res_fifo.mem[2][0] ),
     .X(_1684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4127_ (.A(_1657_),
-    .B(_1684_),
-    .C(_1662_),
-    .D(_1663_),
+ sky130_fd_sc_hd__a211o_4 _5338_ (.A1(_1441_),
+    .A2(\u_m0_res_fifo.mem[3][0] ),
+    .B1(_1432_),
+    .C1(_1684_),
     .X(_1685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4128_ (.A(_1682_),
-    .B(_1685_),
+ sky130_fd_sc_hd__and2_4 _5339_ (.A(_1466_),
+    .B(\u_m0_res_fifo.mem[0][0] ),
     .X(_1686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4129_ (.A1(_1680_),
-    .A2(_1686_),
-    .B1(_1678_),
-    .B2(_1665_),
+ sky130_fd_sc_hd__a211o_4 _5340_ (.A1(_1430_),
+    .A2(\u_m0_res_fifo.mem[1][0] ),
+    .B1(_1442_),
+    .C1(_1686_),
     .X(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4130_ (.A1_N(_1687_),
-    .A2_N(_1668_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[12] ),
-    .B2(_1668_),
-    .X(_0188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4131_ (.A(_1667_),
+ sky130_fd_sc_hd__a32o_4 _5341_ (.A1(_1685_),
+    .A2(_1687_),
+    .A3(_1671_),
+    .B1(\u_spim_regs.spim_reg_rdata[0] ),
+    .B2(_1672_),
     .X(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4132_ (.A(_0719_),
-    .B(_1631_),
+ sky130_fd_sc_hd__o22a_4 _5342_ (.A1(wbd_dat_o[0]),
+    .A2(_1665_),
+    .B1(_1666_),
+    .B2(_1688_),
+    .X(_0844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5343_ (.A(_0922_),
     .X(_1689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4133_ (.A1(_0619_),
-    .A2(_1673_),
-    .B1(_0719_),
-    .B2(_1638_),
+ sky130_fd_sc_hd__buf_2 _5344_ (.A(spi_debug[9]),
     .X(_1690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4134_ (.A(_1637_),
-    .B(_1690_),
-    .C(_1642_),
-    .D(_1645_),
+ sky130_fd_sc_hd__buf_2 _5345_ (.A(spi_debug[11]),
     .X(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4135_ (.A1(_1424_),
-    .A2(_1689_),
-    .B1(_1691_),
-    .X(_1692_),
+ sky130_fd_sc_hd__inv_2 _5346_ (.A(_1088_),
+    .Y(spi_debug[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4136_ (.A(psn_net_157),
+ sky130_fd_sc_hd__inv_2 _5347_ (.A(\u_spim_regs.cfg_m1_req ),
+    .Y(_1692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _5348_ (.A(_0922_),
+    .B(_0923_),
+    .C(_0934_),
+    .D(_1279_),
     .X(_1693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4137_ (.A(_1693_),
+ sky130_fd_sc_hd__and3_4 _5349_ (.A(_1692_),
+    .B(_0929_),
+    .C(_1693_),
     .X(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4138_ (.A1(_1680_),
-    .A2(_1692_),
-    .B1(_1694_),
-    .B2(_1676_),
+ sky130_fd_sc_hd__or2_4 _5350_ (.A(spi_debug[24]),
+    .B(_1694_),
     .X(_1695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4139_ (.A1_N(_1695_),
-    .A2_N(_1688_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[11] ),
-    .B2(_1688_),
-    .X(_0187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4140_ (.A(_0567_),
-    .B(_1654_),
-    .C(_1655_),
-    .D(_1681_),
+ sky130_fd_sc_hd__or4_4 _5351_ (.A(_0911_),
+    .B(_1690_),
+    .C(_1691_),
+    .D(_1695_),
     .X(_1696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4141_ (.A(_1480_),
-    .X(_1697_),
+ sky130_fd_sc_hd__inv_2 _5352_ (.A(_1696_),
+    .Y(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4142_ (.A1(\u_spim_regs.reg2spi_dummy_wr_len[10] ),
-    .A2(_1697_),
-    .B1(psn_net_69),
-    .B2(_1465_),
-    .Y(_1698_),
+ sky130_fd_sc_hd__buf_2 _5353_ (.A(_1697_),
+    .X(_1698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4143_ (.A(_1657_),
-    .B(_1698_),
-    .C(_1662_),
-    .D(_1663_),
+ sky130_fd_sc_hd__buf_2 _5354_ (.A(_1698_),
     .X(_1699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4144_ (.A(_1696_),
+ sky130_fd_sc_hd__nor2_4 _5355_ (.A(_1279_),
     .B(_1699_),
-    .X(_1700_),
+    .Y(_1700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4145_ (.A1(_1680_),
-    .A2(_1700_),
-    .B1(_1694_),
-    .B2(_1686_),
+ sky130_fd_sc_hd__or4_4 _5356_ (.A(_1689_),
+    .B(_1417_),
+    .C(_0935_),
+    .D(_1700_),
     .X(_1701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4146_ (.A1_N(_1701_),
-    .A2_N(_1688_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .B2(_1688_),
-    .X(_0186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4147_ (.A(_1667_),
+ sky130_fd_sc_hd__buf_2 _5357_ (.A(_0910_),
     .X(_1702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4148_ (.A(_1652_),
+ sky130_fd_sc_hd__buf_2 _5358_ (.A(_1702_),
     .X(_1703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4149_ (.A(_1703_),
+ sky130_fd_sc_hd__a211o_4 _5359_ (.A1(_0937_),
+    .A2(_1701_),
+    .B1(\u_spim_regs.spim_reg_ack ),
+    .C1(_1703_),
     .X(_1704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4150_ (.A(_1629_),
-    .X(_1705_),
+ sky130_fd_sc_hd__inv_2 _5360_ (.A(_1704_),
+    .Y(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4151_ (.A(_0569_),
-    .B(_1704_),
-    .C(_1705_),
-    .D(_1681_),
-    .X(_1706_),
+ sky130_fd_sc_hd__inv_2 _5361_ (.A(\u_spim_regs.spi_delay_cnt[8] ),
+    .Y(_1705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4152_ (.A(_0569_),
-    .B(_1658_),
-    .X(_1707_),
+ sky130_fd_sc_hd__inv_2 _5362_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
+    .Y(_1706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4153_ (.A1(_0622_),
-    .A2(_1487_),
-    .B1(_1707_),
-    .B2(_1660_),
-    .X(_1708_),
+ sky130_fd_sc_hd__inv_2 _5363_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
+    .Y(_1707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4154_ (.A(_1636_),
-    .B(_1708_),
-    .C(_1641_),
-    .D(_1644_),
-    .X(_1709_),
+ sky130_fd_sc_hd__inv_2 _5364_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
+    .Y(_1708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4155_ (.A(_1706_),
-    .B(_1709_),
-    .X(_1710_),
+ sky130_fd_sc_hd__inv_2 _5365_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+    .Y(_1709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4156_ (.A1(_1680_),
-    .A2(_1710_),
-    .B1(_1694_),
-    .B2(_1692_),
-    .X(_1711_),
+ sky130_fd_sc_hd__inv_2 _5366_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
+    .Y(_1710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4157_ (.A1_N(_1711_),
-    .A2_N(_1702_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .B2(_1702_),
-    .X(_0185_),
+ sky130_fd_sc_hd__inv_2 _5367_ (.A(\u_spim_regs.spi_init_state[3] ),
+    .Y(_1711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4158_ (.A(_1669_),
+ sky130_fd_sc_hd__or2_4 _5368_ (.A(\u_spim_regs.spi_init_state[0] ),
+    .B(\u_spim_regs.spi_init_state[7] ),
     .X(_1712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4159_ (.A(_1422_),
-    .X(_1713_),
+ sky130_fd_sc_hd__inv_2 _5369_ (.A(_1712_),
+    .Y(_1713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4160_ (.A(psn_net_229),
-    .B(_1671_),
-    .X(_1714_),
+ sky130_fd_sc_hd__inv_2 _5370_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
+    .Y(_1714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4161_ (.A1(_0624_),
-    .A2(_1673_),
-    .B1(psn_net_228),
-    .B2(_1638_),
+ sky130_fd_sc_hd__or4_4 _5371_ (.A(_1705_),
+    .B(_1706_),
+    .C(_1714_),
+    .D(_1707_),
     .X(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4162_ (.A(_1637_),
-    .B(_1715_),
-    .C(_1642_),
-    .D(_1645_),
+ sky130_fd_sc_hd__or4_4 _5372_ (.A(_1708_),
+    .B(\u_spim_regs.spi_delay_cnt[4] ),
+    .C(_1710_),
+    .D(\u_spim_regs.spi_delay_cnt[2] ),
     .X(_1716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4163_ (.A1(_1713_),
-    .A2(_1714_),
-    .B1(_1716_),
+ sky130_fd_sc_hd__or4_4 _5373_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
+    .C(_1715_),
+    .D(_1716_),
     .X(_1717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4164_ (.A1(_1712_),
-    .A2(_1717_),
-    .B1(_1694_),
-    .B2(_1700_),
-    .X(_1718_),
+ sky130_fd_sc_hd__inv_2 _5374_ (.A(_1717_),
+    .Y(_1718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4165_ (.A1_N(_1718_),
-    .A2_N(_1702_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .B2(_1702_),
-    .X(_0184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4166_ (.A(_1667_),
+ sky130_fd_sc_hd__buf_2 _5375_ (.A(spi_debug[10]),
     .X(_1719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4167_ (.A(_0724_),
-    .Y(_1720_),
+ sky130_fd_sc_hd__and3_4 _5376_ (.A(_1719_),
+    .B(_0912_),
+    .C(spi_debug[11]),
+    .X(_1720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4168_ (.A(_1720_),
-    .B(_1671_),
-    .X(_1721_),
+ sky130_fd_sc_hd__nor2_4 _5377_ (.A(_1711_),
+    .B(_1720_),
+    .Y(_1721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4169_ (.A1(_0595_),
-    .A2(_1673_),
-    .B1(_1720_),
-    .B2(_1660_),
+ sky130_fd_sc_hd__a211o_4 _5378_ (.A1(_1712_),
+    .A2(_1718_),
+    .B1(\u_spim_regs.spi_init_done ),
+    .C1(_1721_),
     .X(_1722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4170_ (.A(_1657_),
-    .B(_1722_),
-    .C(_1662_),
-    .D(_1663_),
+ sky130_fd_sc_hd__a21o_4 _5379_ (.A1(_1711_),
+    .A2(_1713_),
+    .B1(_1722_),
     .X(_1723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4171_ (.A1(_1713_),
-    .A2(_1721_),
-    .B1(_1723_),
-    .X(_1724_),
+ sky130_fd_sc_hd__inv_2 _5380_ (.A(_1723_),
+    .Y(_1724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4172_ (.A(_1693_),
+ sky130_fd_sc_hd__and3_4 _5381_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
+    .C(\u_spim_regs.spi_delay_cnt[2] ),
     .X(_1725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4173_ (.A1(_1712_),
-    .A2(_1724_),
-    .B1(_1725_),
-    .B2(_1710_),
-    .X(_1726_),
+ sky130_fd_sc_hd__nand2_4 _5382_ (.A(_1724_),
+    .B(_1725_),
+    .Y(_1726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4174_ (.A1_N(_1726_),
-    .A2_N(_1719_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B2(_1719_),
-    .X(_0183_),
+ sky130_fd_sc_hd__or2_4 _5383_ (.A(_1710_),
+    .B(_1726_),
+    .X(_1727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4175_ (.A(_0531_),
-    .Y(_1727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4176_ (.A(_1727_),
-    .B(_1704_),
-    .C(_1705_),
-    .D(_1681_),
+ sky130_fd_sc_hd__or2_4 _5384_ (.A(_1709_),
+    .B(_1727_),
     .X(_1728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4177_ (.A1(_0531_),
-    .A2(_1602_),
-    .A3(_1465_),
-    .B1(\u_spim_regs.reg2spi_dummy_wr_len[6] ),
-    .B2(_1697_),
+ sky130_fd_sc_hd__or2_4 _5385_ (.A(_1708_),
+    .B(_1728_),
     .X(_1729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4178_ (.A(_1729_),
-    .Y(_1730_),
+ sky130_fd_sc_hd__or2_4 _5386_ (.A(_1707_),
+    .B(_1729_),
+    .X(_1730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4179_ (.A(_1636_),
+ sky130_fd_sc_hd__or2_4 _5387_ (.A(_1706_),
     .B(_1730_),
-    .C(_1641_),
-    .D(_1644_),
     .X(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4180_ (.A(_1728_),
+ sky130_fd_sc_hd__or2_4 _5388_ (.A(_1705_),
     .B(_1731_),
     .X(_1732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4181_ (.A1(_1712_),
-    .A2(_1732_),
-    .B1(_1725_),
-    .B2(_1717_),
-    .X(_1733_),
+ sky130_fd_sc_hd__inv_2 _5389_ (.A(_1732_),
+    .Y(_1733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4182_ (.A1_N(_1733_),
-    .A2_N(_1719_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B2(_1719_),
-    .X(_0182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4183_ (.A(_1554_),
+ sky130_fd_sc_hd__buf_2 _5390_ (.A(_1713_),
     .X(_1734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4184_ (.A(_0721_),
-    .Y(_1735_),
+ sky130_fd_sc_hd__and2_4 _5391_ (.A(_1734_),
+    .B(_1724_),
+    .X(_1735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4185_ (.A1_N(\u_spim_regs.reg2spi_dummy_wr_len[5] ),
-    .A2_N(_1486_),
-    .B1(_1735_),
-    .B2(_1465_),
+ sky130_fd_sc_hd__and2_4 _5392_ (.A(_1714_),
+    .B(_1732_),
     .X(_1736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4186_ (.A(_1633_),
-    .B(_1489_),
-    .C(_1736_),
-    .D(_0798_),
+ sky130_fd_sc_hd__a211o_4 _5393_ (.A1(\u_spim_regs.spi_delay_cnt[9] ),
+    .A2(_1733_),
+    .B1(_1735_),
+    .C1(_1736_),
     .X(_1737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4187_ (.A(_0593_),
-    .B(_1652_),
-    .X(_1738_),
+ sky130_fd_sc_hd__inv_2 _5394_ (.A(_1737_),
+    .Y(_0842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4188_ (.A(_0757_),
+ sky130_fd_sc_hd__inv_2 _5395_ (.A(_1731_),
+    .Y(_1738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5396_ (.A1(_1705_),
+    .A2(_1706_),
+    .B1(_1712_),
     .X(_1739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4189_ (.A1(_1738_),
-    .A2(_0714_),
-    .B1(_1739_),
+ sky130_fd_sc_hd__and2_4 _5397_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
+    .B(\u_spim_regs.spi_delay_cnt[5] ),
     .X(_1740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4190_ (.A1(_0741_),
-    .A2(_1737_),
-    .B1(_1740_),
+ sky130_fd_sc_hd__and2_4 _5398_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+    .B(\u_spim_regs.spi_delay_cnt[3] ),
     .X(_1741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4191_ (.A(\u_spim_regs.reg2spi_addr_len[5] ),
-    .Y(_1742_),
+ sky130_fd_sc_hd__o21a_4 _5399_ (.A1(_1713_),
+    .A2(_1725_),
+    .B1(_1724_),
+    .X(_1742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4192_ (.A(_1653_),
+ sky130_fd_sc_hd__o21a_4 _5400_ (.A1(_1734_),
+    .A2(_1741_),
+    .B1(_1742_),
     .X(_1743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4193_ (.A1(_0793_),
+ sky130_fd_sc_hd__o21ai_4 _5401_ (.A1(_1734_),
     .A2(_1740_),
-    .B1(_1742_),
-    .C1(_1743_),
-    .X(_1744_),
+    .B1(_1743_),
+    .Y(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4194_ (.A1(_0798_),
-    .A2(_1449_),
-    .B1(_1474_),
+ sky130_fd_sc_hd__o22a_4 _5402_ (.A1(\u_spim_regs.spi_delay_cnt[8] ),
+    .A2(_1738_),
+    .B1(_1739_),
+    .B2(_1744_),
+    .X(_0841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _5403_ (.A1(_1706_),
+    .A2(_1730_),
+    .B1(_1738_),
+    .C1(_1735_),
     .X(_1745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4195_ (.A1(_1735_),
-    .A2(_1630_),
-    .B1(_1736_),
-    .B2(_1745_),
-    .X(_1746_),
+ sky130_fd_sc_hd__inv_2 _5404_ (.A(_1745_),
+    .Y(_0840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4196_ (.A(_1423_),
-    .B(_1746_),
+ sky130_fd_sc_hd__inv_2 _5405_ (.A(_1729_),
+    .Y(_1746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5406_ (.A1(\u_spim_regs.spi_delay_cnt[6] ),
+    .A2(_1746_),
+    .B1(_1744_),
+    .X(_0839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _5407_ (.A1(_1708_),
+    .A2(_1728_),
+    .B1(_1746_),
+    .C1(_1735_),
     .X(_1747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4197_ (.A(_1741_),
-    .B(_1744_),
-    .C(_1747_),
-    .X(_1748_),
+ sky130_fd_sc_hd__inv_2 _5408_ (.A(_1747_),
+    .Y(_0838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4198_ (.A1(_1712_),
-    .A2(_1748_),
-    .B1(_1725_),
-    .B2(_1724_),
+ sky130_fd_sc_hd__a21oi_4 _5409_ (.A1(_1709_),
+    .A2(_1727_),
+    .B1(_1743_),
+    .Y(_0837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5410_ (.A(_1727_),
+    .Y(_1748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _5411_ (.A1(_1710_),
+    .A2(_1726_),
+    .B1(_1748_),
+    .C1(_1735_),
     .X(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4199_ (.A1_N(_1734_),
-    .A2_N(_1749_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .B2(_1734_),
-    .X(_0181_),
+ sky130_fd_sc_hd__inv_2 _5412_ (.A(_1749_),
+    .Y(_0836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4200_ (.A(_1669_),
+ sky130_fd_sc_hd__buf_2 _5413_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
     .X(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4201_ (.A(\u_spim_regs.reg2spi_data_len[4] ),
-    .Y(_1751_),
+ sky130_fd_sc_hd__buf_2 _5414_ (.A(\u_spim_regs.spi_delay_cnt[0] ),
+    .X(_1751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4202_ (.A1(_1751_),
-    .A2(_1658_),
-    .B1(_1485_),
+ sky130_fd_sc_hd__and3_4 _5415_ (.A(_1750_),
+    .B(_1751_),
+    .C(_1724_),
     .X(_1752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4203_ (.A1(_0603_),
-    .A2(_1697_),
-    .B1(_1752_),
-    .C1(_1399_),
-    .X(_1753_),
+ sky130_fd_sc_hd__inv_2 _5416_ (.A(_1742_),
+    .Y(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4204_ (.A1(_1751_),
-    .A2(_1743_),
-    .A3(_1671_),
-    .B1(_1488_),
-    .B2(_1753_),
+ sky130_fd_sc_hd__o21a_4 _5417_ (.A1(\u_spim_regs.spi_delay_cnt[2] ),
+    .A2(_1752_),
+    .B1(_1753_),
+    .X(_0835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5418_ (.A(_1750_),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
     .X(_1754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4205_ (.A(_0701_),
+ sky130_fd_sc_hd__nand2_4 _5419_ (.A(_1750_),
+    .B(_1751_),
     .Y(_1755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4206_ (.A(_0794_),
-    .B(_1528_),
-    .X(_1756_),
+ sky130_fd_sc_hd__nor2_4 _5420_ (.A(_1734_),
+    .B(_1722_),
+    .Y(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4207_ (.A(_1756_),
+ sky130_fd_sc_hd__a32o_4 _5421_ (.A1(_1754_),
+    .A2(_1755_),
+    .A3(_1756_),
+    .B1(_1750_),
+    .B2(_1723_),
+    .X(_0834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5422_ (.A(_1751_),
     .Y(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4208_ (.A(_1739_),
+ sky130_fd_sc_hd__o22a_4 _5423_ (.A1(_1757_),
+    .A2(_1723_),
+    .B1(_1751_),
+    .B2(_1756_),
+    .X(_0833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5424_ (.A(_1422_),
     .X(_1758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4209_ (.A(_1651_),
+ sky130_fd_sc_hd__buf_2 _5425_ (.A(_1758_),
     .X(_1759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4210_ (.A(_1759_),
+ sky130_fd_sc_hd__buf_2 _5426_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
     .X(_1760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4211_ (.A(_1405_),
-    .B(_1407_),
+ sky130_fd_sc_hd__and2_4 _5427_ (.A(_1759_),
+    .B(_1760_),
     .X(_1761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4212_ (.A1(_1225_),
-    .A2(_1760_),
-    .B1(_1753_),
-    .B2(_1761_),
+ sky130_fd_sc_hd__buf_2 _5428_ (.A(_1702_),
     .X(_1762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4213_ (.A1(_1755_),
-    .A2(_1757_),
-    .B1(_1758_),
-    .B2(_1762_),
-    .X(_1763_),
+ sky130_fd_sc_hd__inv_2 _5429_ (.A(\u_spim_regs.spi_init_state[5] ),
+    .Y(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4214_ (.A1(_1713_),
-    .A2(_1754_),
-    .B1(_1763_),
-    .X(_1764_),
+ sky130_fd_sc_hd__inv_2 _5430_ (.A(\u_spim_regs.spi_init_state[6] ),
+    .Y(_1764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4215_ (.A1(_1750_),
-    .A2(_1764_),
-    .B1(_1725_),
-    .B2(_1732_),
+ sky130_fd_sc_hd__and3_4 _5431_ (.A(_1762_),
+    .B(_1763_),
+    .C(_1764_),
     .X(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4216_ (.A1_N(_1765_),
-    .A2_N(_1734_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B2(_1734_),
-    .X(_0180_),
+ sky130_fd_sc_hd__inv_2 _5432_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .Y(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4217_ (.A(_1554_),
-    .X(_1766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4218_ (.A1(_0583_),
-    .A2(_1683_),
-    .B1(_0794_),
+ sky130_fd_sc_hd__or4_4 _5433_ (.A(_1689_),
+    .B(_1417_),
+    .C(_0935_),
+    .D(_0909_),
     .X(_1767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4219_ (.A(_1444_),
-    .B(_1449_),
+ sky130_fd_sc_hd__inv_2 _5434_ (.A(_1767_),
     .Y(_1768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4220_ (.A(_0538_),
-    .B(_1601_),
-    .C(_0771_),
+ sky130_fd_sc_hd__buf_2 _5435_ (.A(_1768_),
     .X(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4221_ (.A1(\u_spim_regs.reg2spi_data_len[3] ),
-    .A2(_1429_),
-    .A3(_1479_),
-    .B1(\u_spim_regs.reg2spi_dummy_wr_len[3] ),
-    .B2(_1480_),
+ sky130_fd_sc_hd__and2_4 _5436_ (.A(_1766_),
+    .B(_1769_),
     .X(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4222_ (.A(_1770_),
+ sky130_fd_sc_hd__inv_2 _5437_ (.A(\u_spim_regs.spi_init_state[4] ),
     .Y(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4223_ (.A1(_1449_),
-    .A2(_1450_),
-    .B1(_1397_),
-    .C1(_1771_),
+ sky130_fd_sc_hd__and3_4 _5438_ (.A(_1763_),
+    .B(_1771_),
+    .C(_1764_),
     .X(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4224_ (.A(_1772_),
+ sky130_fd_sc_hd__nor2_4 _5439_ (.A(_1422_),
+    .B(_1772_),
     .Y(_1773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4225_ (.A1(_1769_),
-    .A2(_1773_),
-    .B1(_1390_),
+ sky130_fd_sc_hd__or2_4 _5440_ (.A(_1768_),
+    .B(_1773_),
     .X(_1774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4226_ (.A(_0583_),
-    .Y(_1775_),
+ sky130_fd_sc_hd__o21a_4 _5441_ (.A1(_0910_),
+    .A2(_0954_),
+    .B1(_1774_),
+    .X(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4227_ (.A(_0709_),
+ sky130_fd_sc_hd__inv_2 _5442_ (.A(_1775_),
     .Y(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4228_ (.A(psn_net_14),
-    .B(_1771_),
+ sky130_fd_sc_hd__or2_4 _5443_ (.A(_1770_),
+    .B(_1776_),
     .X(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4229_ (.A1(_1775_),
-    .A2(_1429_),
-    .A3(_1633_),
-    .B1(_1776_),
-    .B2(_1777_),
+ sky130_fd_sc_hd__buf_2 _5444_ (.A(_1777_),
     .X(_1778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4230_ (.A(_1246_),
-    .B(_1601_),
-    .C(_0714_),
+ sky130_fd_sc_hd__buf_2 _5445_ (.A(_1778_),
     .X(_1779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4231_ (.A1(_0741_),
-    .A2(_1778_),
-    .B1(_1739_),
-    .C1(_1779_),
-    .X(_1780_),
+ sky130_fd_sc_hd__inv_2 _5446_ (.A(_1777_),
+    .Y(_1780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4232_ (.A(_1780_),
-    .Y(_1781_),
+ sky130_fd_sc_hd__buf_2 _5447_ (.A(_1780_),
+    .X(_1781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4233_ (.A(_1767_),
-    .B(_1768_),
-    .C(_1774_),
-    .D(_1781_),
+ sky130_fd_sc_hd__o32a_4 _5448_ (.A1(_1761_),
+    .A2(_1765_),
+    .A3(_1779_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B2(_1781_),
+    .X(_0832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5449_ (.A(_1423_),
     .X(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4234_ (.A(_1782_),
-    .Y(_1783_),
+ sky130_fd_sc_hd__buf_2 _5450_ (.A(_1782_),
+    .X(_1783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4235_ (.A(_1693_),
+ sky130_fd_sc_hd__buf_2 _5451_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
     .X(_1784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4236_ (.A1(_1750_),
-    .A2(_1783_),
-    .B1(_1784_),
-    .B2(_1748_),
+ sky130_fd_sc_hd__and2_4 _5452_ (.A(_1783_),
+    .B(_1784_),
     .X(_1785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4237_ (.A1_N(_1785_),
-    .A2_N(_1766_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .B2(_1766_),
-    .X(_0179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4238_ (.A(_1390_),
+ sky130_fd_sc_hd__buf_2 _5453_ (.A(_1780_),
     .X(_1786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4239_ (.A(_1601_),
+ sky130_fd_sc_hd__o22a_4 _5454_ (.A1(_1779_),
+    .A2(_1785_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B2(_1786_),
+    .X(_0831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5455_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
     .X(_1787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4240_ (.A(_0771_),
+ sky130_fd_sc_hd__and2_4 _5456_ (.A(_1759_),
+    .B(_1787_),
     .X(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4241_ (.A(_0540_),
-    .Y(_1789_),
+ sky130_fd_sc_hd__o32a_4 _5457_ (.A1(_1765_),
+    .A2(_1788_),
+    .A3(_1778_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_1781_),
+    .X(_0830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4242_ (.A1(_1789_),
-    .A2(_0717_),
-    .B1(_1485_),
+ sky130_fd_sc_hd__buf_2 _5458_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .X(_1789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5459_ (.A(_1783_),
+    .B(_1789_),
     .X(_1790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4243_ (.A1(_0606_),
-    .A2(_1480_),
-    .B1(_1790_),
-    .C1(_1397_),
+ sky130_fd_sc_hd__o22a_4 _5460_ (.A1(_1779_),
+    .A2(_1790_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_1786_),
+    .X(_0829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5461_ (.A(_1759_),
+    .B(\u_spim_regs.spim_reg_wdata[3] ),
     .X(_1791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4244_ (.A(_1791_),
-    .Y(_1792_),
+ sky130_fd_sc_hd__o32a_4 _5462_ (.A1(_1765_),
+    .A2(_1791_),
+    .A3(_1778_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B2(_1781_),
+    .X(_0828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4245_ (.A1(_0540_),
-    .A2(_1787_),
-    .A3(_1788_),
-    .B1(_1452_),
-    .B2(_1792_),
+ sky130_fd_sc_hd__buf_2 _5463_ (.A(_1758_),
+    .X(_1792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5464_ (.A(_1792_),
+    .B(\u_spim_regs.spim_reg_wdata[2] ),
     .X(_1793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4246_ (.A1(_1261_),
-    .A2(_1759_),
-    .B1(_1761_),
-    .B2(_1791_),
+ sky130_fd_sc_hd__and2_4 _5465_ (.A(_1702_),
+    .B(\u_spim_regs.spi_init_state[6] ),
     .X(_1794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4247_ (.A(_1758_),
-    .B(_1794_),
+ sky130_fd_sc_hd__o32a_4 _5466_ (.A1(_1793_),
+    .A2(_1794_),
+    .A3(_1778_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B2(_1781_),
+    .X(_0827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5467_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
     .Y(_1795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4248_ (.A(\u_spim_regs.reg2spi_addr_len[2] ),
-    .B(_1787_),
-    .C(_1633_),
-    .D(_1756_),
+ sky130_fd_sc_hd__buf_2 _5468_ (.A(_1758_),
     .X(_1796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4249_ (.A1(_1786_),
-    .A2(_1793_),
-    .B1(_1795_),
-    .C1(_1796_),
+ sky130_fd_sc_hd__and2_4 _5469_ (.A(_1796_),
+    .B(\u_spim_regs.spim_reg_wdata[1] ),
     .X(_1797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4250_ (.A(_1797_),
-    .Y(_1798_),
+ sky130_fd_sc_hd__a211o_4 _5470_ (.A1(_1703_),
+    .A2(_1763_),
+    .B1(_1794_),
+    .C1(_1797_),
+    .X(_1798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4251_ (.A1(_1750_),
-    .A2(_1798_),
-    .B1(_1784_),
-    .B2(_1764_),
+ sky130_fd_sc_hd__a2bb2o_4 _5471_ (.A1_N(_1795_),
+    .A2_N(_1786_),
+    .B1(_1786_),
+    .B2(_1798_),
+    .X(_0826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5472_ (.A(_1762_),
+    .B(\u_spim_regs.spim_reg_wdata[0] ),
     .X(_1799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4252_ (.A1_N(_1799_),
-    .A2_N(_1766_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .B2(_1766_),
-    .X(_0178_),
+ sky130_fd_sc_hd__or3_4 _5473_ (.A(_1792_),
+    .B(\u_spim_regs.spi_init_state[5] ),
+    .C(_1764_),
+    .X(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4253_ (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .Y(_1800_),
+ sky130_fd_sc_hd__a32o_4 _5474_ (.A1(_1799_),
+    .A2(_1800_),
+    .A3(_1780_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .B2(_1779_),
+    .X(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4254_ (.A1(_0543_),
-    .A2(_1463_),
-    .B1(_0609_),
+ sky130_fd_sc_hd__buf_2 _5475_ (.A(_1782_),
     .X(_1801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4255_ (.A(_1652_),
-    .B(_1398_),
-    .C(_1801_),
+ sky130_fd_sc_hd__buf_2 _5476_ (.A(\u_spim_regs.spim_reg_wdata[15] ),
     .X(_1802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4256_ (.A1(_1276_),
-    .A2(_1760_),
-    .B1(_1761_),
-    .B2(_1802_),
+ sky130_fd_sc_hd__and2_4 _5477_ (.A(_1801_),
+    .B(_1802_),
     .X(_1803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4257_ (.A(_1758_),
-    .B(_1803_),
-    .X(_1804_),
+ sky130_fd_sc_hd__inv_2 _5478_ (.A(\u_spim_regs.spim_reg_be[1] ),
+    .Y(_1804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4258_ (.A(\u_spim_regs.reg2spi_addr_len[1] ),
-    .Y(_1805_),
+ sky130_fd_sc_hd__and2_4 _5479_ (.A(_1804_),
+    .B(_1769_),
+    .X(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4259_ (.A(_1629_),
+ sky130_fd_sc_hd__or2_4 _5480_ (.A(_1776_),
+    .B(_1805_),
     .X(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4260_ (.A1(psn_net_123),
-    .A2(_1806_),
-    .B1(_1488_),
-    .B2(_1802_),
+ sky130_fd_sc_hd__buf_2 _5481_ (.A(_1806_),
     .X(_1807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4261_ (.A1(_1743_),
-    .A2(_1757_),
-    .A3(_1805_),
-    .B1(_1423_),
-    .B2(_1807_),
-    .X(_1808_),
+ sky130_fd_sc_hd__inv_2 _5482_ (.A(_1806_),
+    .Y(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4262_ (.A(psn_net_112),
+ sky130_fd_sc_hd__buf_2 _5483_ (.A(_1808_),
     .X(_1809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4263_ (.A1(_1804_),
-    .A2(_1808_),
-    .A3(_1677_),
-    .B1(psn_net_142),
-    .B2(_1783_),
+ sky130_fd_sc_hd__o22a_4 _5484_ (.A1(_1803_),
+    .A2(_1807_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .B2(_1809_),
+    .X(_0824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5485_ (.A(_1758_),
     .X(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4264_ (.A1(_1800_),
-    .A2(psn_net_211),
-    .B1(_1650_),
-    .B2(_1810_),
+ sky130_fd_sc_hd__buf_2 _5486_ (.A(_1810_),
     .X(_1811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4265_ (.A(_1811_),
-    .Y(_0177_),
+ sky130_fd_sc_hd__buf_2 _5487_ (.A(\u_spim_regs.spim_reg_wdata[14] ),
+    .X(_1812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4266_ (.A(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .Y(_1812_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4267_ (.A(_1422_),
+ sky130_fd_sc_hd__and2_4 _5488_ (.A(_1811_),
+    .B(_1812_),
     .X(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4268_ (.A(\u_spim_regs.reg2spi_data_len[0] ),
-    .Y(_1814_),
+ sky130_fd_sc_hd__o22a_4 _5489_ (.A1(_1807_),
+    .A2(_1813_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .B2(_1809_),
+    .X(_0823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4269_ (.A(_1759_),
+ sky130_fd_sc_hd__buf_2 _5490_ (.A(\u_spim_regs.spim_reg_wdata[13] ),
+    .X(_1814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5491_ (.A(_1811_),
+    .B(_1814_),
     .X(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4270_ (.A1(_1814_),
-    .A2(_1651_),
-    .B1(_1485_),
+ sky130_fd_sc_hd__o22a_4 _5492_ (.A1(_1807_),
+    .A2(_1815_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .B2(_1809_),
+    .X(_0822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5493_ (.A(\u_spim_regs.spim_reg_wdata[12] ),
     .X(_1816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4271_ (.A1(_0610_),
-    .A2(_1697_),
-    .B1(_1816_),
-    .C1(_1398_),
+ sky130_fd_sc_hd__and2_4 _5494_ (.A(_1811_),
+    .B(_1816_),
     .X(_1817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4272_ (.A1(_1814_),
-    .A2(_1815_),
-    .A3(_1806_),
-    .B1(_1488_),
-    .B2(_1817_),
+ sky130_fd_sc_hd__o22a_4 _5495_ (.A1(_1807_),
+    .A2(_1817_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B2(_1809_),
+    .X(_0821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5496_ (.A(_1806_),
     .X(_1818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4273_ (.A(_1813_),
-    .B(_1818_),
+ sky130_fd_sc_hd__buf_2 _5497_ (.A(\u_spim_regs.spim_reg_wdata[11] ),
     .X(_1819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4274_ (.A1(_1290_),
-    .A2(_1815_),
-    .B1(_1761_),
-    .B2(_1817_),
+ sky130_fd_sc_hd__and2_4 _5498_ (.A(_1811_),
+    .B(_1819_),
     .X(_1820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4275_ (.A1(_1743_),
-    .A2(_1757_),
-    .A3(_1139_),
-    .B1(_1758_),
-    .B2(_1820_),
+ sky130_fd_sc_hd__buf_2 _5499_ (.A(_1808_),
     .X(_1821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4276_ (.A1(_1819_),
-    .A2(_1821_),
-    .A3(_1677_),
-    .B1(psn_net_142),
-    .B2(_1798_),
+ sky130_fd_sc_hd__o22a_4 _5500_ (.A1(_1818_),
+    .A2(_1820_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .B2(_1821_),
+    .X(_0820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5501_ (.A(_1810_),
     .X(_1822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4277_ (.A1(_1812_),
-    .A2(psn_net_211),
-    .B1(_1650_),
-    .B2(_1822_),
+ sky130_fd_sc_hd__buf_2 _5502_ (.A(\u_spim_regs.spim_reg_wdata[10] ),
     .X(_1823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4278_ (.A(_1823_),
-    .Y(_0176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4279_ (.A(_0762_),
+ sky130_fd_sc_hd__and2_4 _5503_ (.A(_1822_),
+    .B(_1823_),
     .X(_1824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4280_ (.A(_1824_),
+ sky130_fd_sc_hd__o22a_4 _5504_ (.A1(_1818_),
+    .A2(_1824_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .B2(_1821_),
+    .X(_0819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5505_ (.A(\u_spim_regs.spim_reg_wdata[9] ),
     .X(_1825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4281_ (.A(_0763_),
+ sky130_fd_sc_hd__and2_4 _5506_ (.A(_1822_),
+    .B(_1825_),
     .X(_1826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4282_ (.A(_1826_),
+ sky130_fd_sc_hd__o22a_4 _5507_ (.A1(_1818_),
+    .A2(_1826_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .B2(_1821_),
+    .X(_0818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5508_ (.A(_1822_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
     .X(_1827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4283_ (.A(_1827_),
+ sky130_fd_sc_hd__o22a_4 _5509_ (.A1(_1818_),
+    .A2(_1827_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .B2(_1821_),
+    .X(_0817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5510_ (.A(\u_spim_regs.spim_reg_be[2] ),
+    .B(_1767_),
     .X(_1828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4284_ (.A(\u_spictrl.u_txreg.counter[4] ),
-    .B(_1542_),
-    .C(\u_spictrl.u_txreg.counter[5] ),
-    .D(\u_spictrl.u_txreg.counter[6] ),
-    .X(_1829_),
+ sky130_fd_sc_hd__inv_2 _5511_ (.A(_1828_),
+    .Y(_1829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4285_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_1829_),
-    .C(\u_spictrl.u_txreg.counter[8] ),
-    .X(_1830_),
+ sky130_fd_sc_hd__inv_2 _5512_ (.A(rst_n),
+    .Y(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4286_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .B(_1830_),
-    .C(\u_spictrl.u_txreg.counter[10] ),
-    .X(_1831_),
+ sky130_fd_sc_hd__inv_2 _5513_ (.A(_1774_),
+    .Y(_1831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4287_ (.A(\u_spictrl.u_txreg.counter[11] ),
-    .B(_1831_),
+ sky130_fd_sc_hd__a211o_4 _5514_ (.A1(_1422_),
+    .A2(_1040_),
+    .B1(_1830_),
+    .C1(_1831_),
     .X(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4288_ (.A(\u_spictrl.u_txreg.counter[12] ),
+ sky130_fd_sc_hd__or2_4 _5515_ (.A(_1829_),
     .B(_1832_),
-    .C(\u_spictrl.u_txreg.counter[13] ),
-    .D(\u_spictrl.u_txreg.counter[14] ),
     .X(_1833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4289_ (.A(\u_spictrl.u_txreg.counter[15] ),
-    .B(_1833_),
+ sky130_fd_sc_hd__buf_2 _5516_ (.A(_1833_),
     .X(_1834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4290_ (.A(\u_spictrl.u_txreg.counter[15] ),
-    .B(_1833_),
-    .Y(_1835_),
+ sky130_fd_sc_hd__and2_4 _5517_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_1783_),
+    .X(_1835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4291_ (.A(_1394_),
-    .B(_1828_),
-    .C(_1834_),
-    .D(_1835_),
-    .X(_1836_),
+ sky130_fd_sc_hd__inv_2 _5518_ (.A(_1833_),
+    .Y(_1836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4292_ (.A(_1501_),
+ sky130_fd_sc_hd__buf_2 _5519_ (.A(_1836_),
     .X(_1837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4293_ (.A(_1837_),
+ sky130_fd_sc_hd__o22a_4 _5520_ (.A1(_1834_),
+    .A2(_1835_),
+    .B1(\u_spim_regs.cfg_m1_addr[23] ),
+    .B2(_1837_),
+    .X(_0816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5521_ (.A(_1822_),
+    .B(\u_spim_regs.spim_reg_wdata[22] ),
     .X(_1838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4294_ (.A1(_1825_),
-    .A2(_1836_),
-    .B1(_1838_),
-    .B2(\u_spictrl.u_txreg.counter[15] ),
-    .X(_0175_),
+ sky130_fd_sc_hd__o22a_4 _5522_ (.A1(_1834_),
+    .A2(_1838_),
+    .B1(\u_spim_regs.cfg_m1_addr[22] ),
+    .B2(_1837_),
+    .X(_0815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4295_ (.A(_1501_),
+ sky130_fd_sc_hd__buf_2 _5523_ (.A(_1810_),
     .X(_1839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4296_ (.A(_1839_),
+ sky130_fd_sc_hd__and2_4 _5524_ (.A(_1839_),
+    .B(\u_spim_regs.spim_reg_wdata[21] ),
     .X(_1840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4297_ (.A(\u_spictrl.u_txreg.counter[14] ),
-    .Y(_1841_),
+ sky130_fd_sc_hd__o22a_4 _5525_ (.A1(_1834_),
+    .A2(_1840_),
+    .B1(\u_spim_regs.cfg_m1_addr[21] ),
+    .B2(_1837_),
+    .X(_0814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4298_ (.A(\u_spictrl.u_txreg.counter[12] ),
-    .B(_1832_),
+ sky130_fd_sc_hd__and2_4 _5526_ (.A(_1839_),
+    .B(\u_spim_regs.spim_reg_wdata[20] ),
+    .X(_1841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5527_ (.A1(_1834_),
+    .A2(_1841_),
+    .B1(\u_spim_regs.cfg_m1_addr[20] ),
+    .B2(_1837_),
+    .X(_0813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5528_ (.A(_1833_),
     .X(_1842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4299_ (.A(\u_spictrl.u_txreg.counter[13] ),
-    .B(_1842_),
-    .Y(_1843_),
+ sky130_fd_sc_hd__and2_4 _5529_ (.A(_1839_),
+    .B(\u_spim_regs.spim_reg_wdata[19] ),
+    .X(_1843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4300_ (.A1(_1841_),
-    .A2(_1843_),
-    .B1(_1548_),
-    .C1(_1833_),
+ sky130_fd_sc_hd__buf_2 _5530_ (.A(_1836_),
     .X(_1844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4301_ (.A(_1504_),
+ sky130_fd_sc_hd__o22a_4 _5531_ (.A1(_1842_),
+    .A2(_1843_),
+    .B1(\u_spim_regs.cfg_m1_addr[19] ),
+    .B2(_1844_),
+    .X(_0812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5532_ (.A(_1839_),
+    .B(\u_spim_regs.spim_reg_wdata[18] ),
     .X(_1845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4302_ (.A1(_1840_),
-    .A2(_1844_),
-    .B1(_1845_),
-    .B2(_1841_),
-    .Y(_0174_),
+ sky130_fd_sc_hd__o22a_4 _5533_ (.A1(_1842_),
+    .A2(_1845_),
+    .B1(\u_spim_regs.cfg_m1_addr[18] ),
+    .B2(_1844_),
+    .X(_0811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4303_ (.A(\u_spictrl.u_txreg.counter[13] ),
-    .B(_1842_),
+ sky130_fd_sc_hd__buf_2 _5534_ (.A(_1810_),
     .X(_1846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4304_ (.A(_1394_),
-    .B(_1828_),
-    .C(_1843_),
-    .D(_1846_),
+ sky130_fd_sc_hd__and2_4 _5535_ (.A(_1846_),
+    .B(\u_spim_regs.spim_reg_wdata[17] ),
     .X(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4305_ (.A(_1839_),
+ sky130_fd_sc_hd__o22a_4 _5536_ (.A1(_1842_),
+    .A2(_1847_),
+    .B1(\u_spim_regs.cfg_m1_addr[17] ),
+    .B2(_1844_),
+    .X(_0810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5537_ (.A(_1782_),
+    .B(\u_spim_regs.spim_reg_wdata[16] ),
     .X(_1848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4306_ (.A1(_1825_),
-    .A2(_1847_),
-    .B1(_1848_),
-    .B2(\u_spictrl.u_txreg.counter[13] ),
-    .X(_0173_),
+ sky130_fd_sc_hd__o22a_4 _5538_ (.A1(_1842_),
+    .A2(_1848_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_1844_),
+    .X(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4307_ (.A(\u_spictrl.u_txreg.counter[12] ),
-    .Y(_1849_),
+ sky130_fd_sc_hd__or2_4 _5539_ (.A(_1770_),
+    .B(_1832_),
+    .X(_1849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4308_ (.A(_1832_),
-    .Y(_1850_),
+ sky130_fd_sc_hd__buf_2 _5540_ (.A(_1849_),
+    .X(_1850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4309_ (.A1(_1849_),
-    .A2(_1850_),
-    .B1(_1548_),
-    .C1(_1842_),
-    .X(_1851_),
+ sky130_fd_sc_hd__inv_2 _5541_ (.A(_1849_),
+    .Y(_1851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4310_ (.A1(_1840_),
-    .A2(_1851_),
-    .B1(_1845_),
-    .B2(_1849_),
-    .Y(_0172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4311_ (.A(\u_spictrl.u_txreg.counter[11] ),
-    .B(_1831_),
+ sky130_fd_sc_hd__buf_2 _5542_ (.A(_1851_),
     .X(_1852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4312_ (.A(_1393_),
-    .B(_1827_),
-    .C(_1850_),
-    .D(_1852_),
+ sky130_fd_sc_hd__o22a_4 _5543_ (.A1(_1761_),
+    .A2(_1850_),
+    .B1(\u_spim_regs.cfg_m1_addr[7] ),
+    .B2(_1852_),
+    .X(_0808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5544_ (.A1(_1785_),
+    .A2(_1850_),
+    .B1(\u_spim_regs.cfg_m1_addr[6] ),
+    .B2(_1852_),
+    .X(_0807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5545_ (.A1(_1788_),
+    .A2(_1850_),
+    .B1(\u_spim_regs.cfg_m1_addr[5] ),
+    .B2(_1852_),
+    .X(_0806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5546_ (.A1(_1790_),
+    .A2(_1850_),
+    .B1(\u_spim_regs.cfg_m1_addr[4] ),
+    .B2(_1852_),
+    .X(_0805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5547_ (.A(_1849_),
     .X(_1853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4313_ (.A1(_1825_),
-    .A2(_1853_),
-    .B1(_1848_),
-    .B2(\u_spictrl.u_txreg.counter[11] ),
-    .X(_0171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4314_ (.A(_1824_),
+ sky130_fd_sc_hd__buf_2 _5548_ (.A(_1851_),
     .X(_1854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4315_ (.A(\u_spictrl.u_txreg.counter[10] ),
-    .Y(_1855_),
+ sky130_fd_sc_hd__o22a_4 _5549_ (.A1(_1791_),
+    .A2(_1853_),
+    .B1(\u_spim_regs.cfg_m1_addr[3] ),
+    .B2(_1854_),
+    .X(_0804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4316_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .B(_1830_),
-    .Y(_1856_),
+ sky130_fd_sc_hd__o22a_4 _5550_ (.A1(_1793_),
+    .A2(_1853_),
+    .B1(\u_spim_regs.cfg_m1_addr[2] ),
+    .B2(_1854_),
+    .X(_0803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4317_ (.A1(_1855_),
-    .A2(_1856_),
-    .B1(_1548_),
-    .C1(_1831_),
+ sky130_fd_sc_hd__o22a_4 _5551_ (.A1(_1797_),
+    .A2(_1853_),
+    .B1(\u_spim_regs.cfg_m1_addr[1] ),
+    .B2(_1854_),
+    .X(_0802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5552_ (.A(_1759_),
+    .X(_1855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _5553_ (.A1(_1855_),
+    .A2(\u_spim_regs.spim_reg_wdata[0] ),
+    .A3(_1854_),
+    .B1(\u_spim_regs.cfg_m1_addr[0] ),
+    .B2(_1853_),
+    .X(_0801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5554_ (.A(_1423_),
+    .B(_1763_),
+    .X(_1856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _5555_ (.A1(_1278_),
+    .A2(_1773_),
+    .B1(_1856_),
+    .Y(_0800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5556_ (.A(_1692_),
     .X(_1857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4318_ (.A(_1857_),
-    .Y(_1858_),
+ sky130_fd_sc_hd__buf_2 _5557_ (.A(_1857_),
+    .X(_1858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4319_ (.A1(_1854_),
-    .A2(_1858_),
-    .B1(_1848_),
-    .B2(\u_spictrl.u_txreg.counter[10] ),
-    .X(_0170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4320_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .B(_1830_),
+ sky130_fd_sc_hd__and2_4 _5558_ (.A(_1762_),
+    .B(_1720_),
     .X(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4321_ (.A(_1393_),
-    .B(_1827_),
-    .C(_1856_),
-    .D(_1859_),
-    .X(_1860_),
+ sky130_fd_sc_hd__nor2_4 _5559_ (.A(\u_spim_regs.cfg_m1_req ),
+    .B(_1773_),
+    .Y(_1860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4322_ (.A1(_1854_),
-    .A2(_1860_),
-    .B1(_1848_),
-    .B2(\u_spictrl.u_txreg.counter[9] ),
-    .X(_0169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4323_ (.A(_1839_),
+ sky130_fd_sc_hd__or4_4 _5560_ (.A(\u_spim_regs.spi_init_state[2] ),
+    .B(\u_spim_regs.spi_init_state[1] ),
+    .C(\u_spim_regs.spi_init_state[3] ),
+    .D(_1860_),
     .X(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4324_ (.A(\u_spictrl.u_txreg.counter[8] ),
-    .Y(_1862_),
+ sky130_fd_sc_hd__o21ai_4 _5561_ (.A1(_1858_),
+    .A2(_1859_),
+    .B1(_1861_),
+    .Y(_0799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4325_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_1829_),
-    .Y(_1863_),
+ sky130_fd_sc_hd__or3_4 _5562_ (.A(_1691_),
+    .B(_1719_),
+    .C(_1285_),
+    .X(_1862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4326_ (.A1(_1862_),
-    .A2(_1863_),
-    .B1(_1547_),
-    .C1(_1830_),
+ sky130_fd_sc_hd__buf_2 _5563_ (.A(_1862_),
+    .X(_1863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5564_ (.A(spi_debug[24]),
+    .B(_1863_),
     .X(_1864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4327_ (.A1(_1861_),
-    .A2(_1864_),
-    .B1(_1845_),
-    .B2(_1862_),
-    .Y(_0168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4328_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_1829_),
+ sky130_fd_sc_hd__and2_4 _5565_ (.A(_0911_),
+    .B(_1285_),
     .X(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4329_ (.A(_1393_),
-    .B(_1827_),
-    .C(_1863_),
-    .D(_1865_),
+ sky130_fd_sc_hd__and2_4 _5566_ (.A(_1252_),
+    .B(_1865_),
     .X(_1866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4330_ (.A(_1837_),
-    .X(_1867_),
+ sky130_fd_sc_hd__inv_2 _5567_ (.A(_1866_),
+    .Y(_1867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4331_ (.A1(_1854_),
-    .A2(_1866_),
-    .B1(_1867_),
-    .B2(\u_spictrl.u_txreg.counter[7] ),
-    .X(_0167_),
+ sky130_fd_sc_hd__or2_4 _5568_ (.A(_1101_),
+    .B(_1694_),
+    .X(_1868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4332_ (.A(\u_spictrl.u_txreg.counter[6] ),
-    .Y(_1868_),
+ sky130_fd_sc_hd__or2_4 _5569_ (.A(_1867_),
+    .B(_1868_),
+    .X(_1869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4333_ (.A(\u_spictrl.u_txreg.counter[5] ),
-    .B(_1543_),
-    .Y(_1869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4334_ (.A1(_1868_),
-    .A2(_1869_),
-    .B1(_1547_),
-    .C1(_1829_),
+ sky130_fd_sc_hd__and3_4 _5570_ (.A(_1696_),
+    .B(_1864_),
+    .C(_1869_),
     .X(_1870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4335_ (.A1(_1861_),
-    .A2(_1870_),
-    .B1(_1845_),
-    .B2(_1868_),
-    .Y(_0166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4336_ (.A(\u_spictrl.u_txreg.counter[5] ),
-    .B(_1543_),
+ sky130_fd_sc_hd__or2_4 _5571_ (.A(_1082_),
+    .B(_1870_),
     .X(_1871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4337_ (.A(_1392_),
-    .B(_1826_),
-    .C(_1869_),
-    .D(_1871_),
+ sky130_fd_sc_hd__or2_4 _5572_ (.A(_1084_),
+    .B(_1871_),
     .X(_1872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4338_ (.A1(_1854_),
-    .A2(_1872_),
-    .B1(_1867_),
-    .B2(\u_spictrl.u_txreg.counter[5] ),
-    .X(_0165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4339_ (.A(_1824_),
+ sky130_fd_sc_hd__buf_2 _5573_ (.A(_1872_),
     .X(_1873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4340_ (.A(_1543_),
+ sky130_fd_sc_hd__inv_2 _5574_ (.A(_1873_),
     .Y(_1874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4341_ (.A(\u_spictrl.u_txreg.counter[4] ),
-    .B(_1542_),
-    .X(_1875_),
+ sky130_fd_sc_hd__o22a_4 _5575_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .A2(_1874_),
+    .B1(_1077_),
+    .B2(_1873_),
+    .X(_0798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4342_ (.A(_1392_),
-    .B(_1826_),
-    .C(_1874_),
-    .D(_1875_),
+ sky130_fd_sc_hd__a21oi_4 _5576_ (.A1(_1084_),
+    .A2(_1871_),
+    .B1(_1874_),
+    .Y(_0797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5577_ (.A(_1870_),
+    .Y(_1875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5578_ (.A1(_1080_),
+    .A2(_1875_),
+    .B1(_1871_),
+    .X(_0796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5579_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
     .X(_1876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4343_ (.A1(_1873_),
-    .A2(_1876_),
-    .B1(_1867_),
-    .B2(\u_spictrl.u_txreg.counter[4] ),
-    .X(_0164_),
+ sky130_fd_sc_hd__buf_2 _5580_ (.A(_1876_),
+    .X(_1877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4344_ (.A(_1542_),
-    .Y(_1877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4345_ (.A(\u_spictrl.u_txreg.counter[3] ),
-    .B(_1540_),
+ sky130_fd_sc_hd__buf_2 _5581_ (.A(_1877_),
     .X(_1878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4346_ (.A(_1392_),
-    .B(_1826_),
-    .C(_1877_),
-    .D(_1878_),
+ sky130_fd_sc_hd__buf_2 _5582_ (.A(_1081_),
     .X(_1879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4347_ (.A1(_1873_),
-    .A2(_1879_),
-    .B1(_1867_),
-    .B2(\u_spictrl.u_txreg.counter[3] ),
-    .X(_0163_),
+ sky130_fd_sc_hd__buf_2 _5583_ (.A(_1879_),
+    .X(_1880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4348_ (.A(_1540_),
-    .Y(_1880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4349_ (.A(\u_spictrl.u_txreg.counter[2] ),
-    .B(_1539_),
+ sky130_fd_sc_hd__buf_2 _5584_ (.A(_1880_),
     .X(_1881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4350_ (.A(\u_spictrl.u_txreg.tx_CS ),
-    .B(_0763_),
-    .C(_1880_),
-    .D(_1881_),
+ sky130_fd_sc_hd__buf_2 _5585_ (.A(_1881_),
     .X(_1882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4351_ (.A(_1837_),
-    .X(_1883_),
+ sky130_fd_sc_hd__inv_2 _5586_ (.A(\u_spictrl.gnt[1] ),
+    .Y(_1883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4352_ (.A1(_1873_),
-    .A2(_1882_),
-    .B1(_1883_),
-    .B2(\u_spictrl.u_txreg.counter[2] ),
-    .X(_0162_),
+ sky130_fd_sc_hd__and3_4 _5587_ (.A(_1150_),
+    .B(spi_debug[18]),
+    .C(_1136_),
+    .X(_1884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4353_ (.A(\u_spictrl.u_txreg.counter[1] ),
-    .Y(_1884_),
+ sky130_fd_sc_hd__buf_2 _5588_ (.A(psn_net_39),
+    .X(_1885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4354_ (.A(\u_spictrl.u_txreg.counter[0] ),
-    .Y(_1885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4355_ (.A1(_1884_),
-    .A2(_1885_),
-    .B1(_1539_),
-    .C1(_1547_),
+ sky130_fd_sc_hd__buf_2 _5589_ (.A(spi_debug[17]),
     .X(_1886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4356_ (.A(_1503_),
+ sky130_fd_sc_hd__or2_4 _5590_ (.A(_1408_),
+    .B(psn_net_41),
     .X(_1887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4357_ (.A(_1887_),
+ sky130_fd_sc_hd__or2_4 _5591_ (.A(_1161_),
+    .B(_1887_),
     .X(_1888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4358_ (.A1(_1861_),
-    .A2(_1886_),
-    .B1(_1888_),
-    .B2(_1884_),
-    .Y(_0161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4359_ (.A(_1839_),
+ sky130_fd_sc_hd__or2_4 _5592_ (.A(_1886_),
+    .B(_1888_),
     .X(_1889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4360_ (.A(\u_spictrl.u_txreg.counter[0] ),
-    .B(_1546_),
-    .X(_1890_),
+ sky130_fd_sc_hd__inv_2 _5593_ (.A(_1889_),
+    .Y(_1890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4361_ (.A(_1890_),
-    .Y(_1891_),
+ sky130_fd_sc_hd__buf_2 _5594_ (.A(_1886_),
+    .X(_1891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4362_ (.A1_N(_1861_),
-    .A2_N(_1885_),
-    .B1(_1889_),
-    .B2(_1891_),
-    .X(_0160_),
+ sky130_fd_sc_hd__inv_2 _5595_ (.A(_1888_),
+    .Y(_1892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4363_ (.A1(_1828_),
-    .A2(_1552_),
-    .B1(_1505_),
-    .B2(_1544_),
-    .X(_1892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4364_ (.A1(_1536_),
-    .A2(_1892_),
-    .B1(_1411_),
+ sky130_fd_sc_hd__buf_2 _5596_ (.A(_1892_),
     .X(_1893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4365_ (.A(_1893_),
-    .X(_1894_),
+ sky130_fd_sc_hd__inv_2 _5597_ (.A(_1100_),
+    .Y(spi_debug[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4366_ (.A(psn_net_2),
-    .X(_1895_),
+ sky130_fd_sc_hd__inv_2 _5598_ (.A(\u_spictrl.gnt[2] ),
+    .Y(_1894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4367_ (.A(\u_spictrl.u_txreg.data_int[29] ),
-    .Y(_1896_),
+ sky130_fd_sc_hd__inv_2 _5599_ (.A(_1021_),
+    .Y(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4368_ (.A(_1538_),
-    .X(_1897_),
+ sky130_fd_sc_hd__o22a_4 _5600_ (.A1(\u_spictrl.gnt[2] ),
+    .A2(spi_debug[23]),
+    .B1(_1894_),
+    .B2(_1895_),
+    .X(_1896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4369_ (.A(_1897_),
-    .X(_1898_),
+ sky130_fd_sc_hd__inv_2 _5601_ (.A(_1896_),
+    .Y(_1897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4370_ (.A1_N(_1896_),
-    .A2_N(_1898_),
-    .B1(\u_spictrl.u_txreg.data_int[26] ),
-    .B2(_1898_),
+ sky130_fd_sc_hd__inv_2 _5602_ (.A(\u_spictrl.u_txreg.tx_CS ),
+    .Y(_1898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5603_ (.A(_1898_),
     .X(_1899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4371_ (.A(_1893_),
+ sky130_fd_sc_hd__inv_2 _5604_ (.A(\u_spictrl.u_txreg.counter[10] ),
     .Y(_1900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4372_ (.A(_1900_),
-    .X(_1901_),
+ sky130_fd_sc_hd__inv_2 _5605_ (.A(\u_spictrl.u_txreg.counter[9] ),
+    .Y(_1901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4373_ (.A(_1901_),
-    .X(_1902_),
+ sky130_fd_sc_hd__inv_2 _5606_ (.A(\u_spictrl.u_txreg.counter[8] ),
+    .Y(_1902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4374_ (.A(psn_net_7),
+ sky130_fd_sc_hd__and2_4 _5607_ (.A(\u_spictrl.u_txreg.counter[1] ),
+    .B(\u_spictrl.u_txreg.counter[0] ),
     .X(_1903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4375_ (.A(psn_net_8),
+ sky130_fd_sc_hd__and2_4 _5608_ (.A(\u_spictrl.u_txreg.counter[2] ),
+    .B(_1903_),
     .X(_1904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4376_ (.A(_1533_),
-    .Y(_1905_),
+ sky130_fd_sc_hd__and4_4 _5609_ (.A(\u_spictrl.u_txreg.counter[4] ),
+    .B(\u_spictrl.u_txreg.counter[3] ),
+    .C(\u_spictrl.u_txreg.counter[5] ),
+    .D(_1904_),
+    .X(_1905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4377_ (.A(_1905_),
+ sky130_fd_sc_hd__and2_4 _5610_ (.A(\u_spictrl.u_txreg.counter[6] ),
+    .B(_1905_),
     .X(_1906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4378_ (.A(\u_spim_regs.spim_wb_addr[22] ),
-    .B(psn_net_78),
+ sky130_fd_sc_hd__and2_4 _5611_ (.A(\u_spictrl.u_txreg.counter[7] ),
+    .B(_1906_),
     .X(_1907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4379_ (.A(_1787_),
-    .X(_1908_),
+ sky130_fd_sc_hd__inv_2 _5612_ (.A(_1907_),
+    .Y(_1908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4380_ (.A1(_1904_),
-    .A2(_1907_),
-    .B1(_1908_),
+ sky130_fd_sc_hd__or3_4 _5613_ (.A(_1901_),
+    .B(_1902_),
+    .C(_1908_),
     .X(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4381_ (.A(_1683_),
+ sky130_fd_sc_hd__or2_4 _5614_ (.A(_1900_),
+    .B(_1909_),
     .X(_1910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4382_ (.A(psn_net_27),
+ sky130_fd_sc_hd__a21bo_4 _5615_ (.A1(_1900_),
+    .A2(_1909_),
+    .B1_N(_1910_),
     .X(_1911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4383_ (.A(psn_net_85),
-    .B(_1523_),
-    .C(psn_net_63),
-    .D(\u_spim_regs.reg2spi_wdata[30] ),
-    .X(_1912_),
+ sky130_fd_sc_hd__inv_2 _5616_ (.A(_1911_),
+    .Y(_1912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4384_ (.A(_1518_),
+ sky130_fd_sc_hd__inv_2 _5617_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
     .Y(_1913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4385_ (.A(_1913_),
-    .B(psn_net_80),
+ sky130_fd_sc_hd__o22a_4 _5618_ (.A1(\u_spictrl.u_txreg.counter_trgt[10] ),
+    .A2(_1912_),
+    .B1(_1913_),
+    .B2(_1911_),
     .X(_1914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4386_ (.A(_1914_),
+ sky130_fd_sc_hd__inv_2 _5619_ (.A(\u_spictrl.u_txreg.counter[11] ),
     .Y(_1915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4387_ (.A(\u_spim_regs.reg2spi_mode[6] ),
-    .B(_1915_),
+ sky130_fd_sc_hd__or4_4 _5620_ (.A(_1901_),
+    .B(_1902_),
+    .C(_1915_),
+    .D(_1900_),
     .X(_1916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4388_ (.A(_1519_),
-    .B(_1905_),
-    .X(_1917_),
+ sky130_fd_sc_hd__nor2_4 _5621_ (.A(_1908_),
+    .B(_1916_),
+    .Y(_1917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4389_ (.A(_1917_),
+ sky130_fd_sc_hd__and3_4 _5622_ (.A(\u_spictrl.u_txreg.counter[13] ),
+    .B(\u_spictrl.u_txreg.counter[12] ),
+    .C(_1917_),
     .X(_1918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4390_ (.A(_1519_),
-    .B(_1534_),
+ sky130_fd_sc_hd__and2_4 _5623_ (.A(\u_spictrl.u_txreg.counter[14] ),
+    .B(_1918_),
     .X(_1919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4391_ (.A(_1919_),
+ sky130_fd_sc_hd__inv_2 _5624_ (.A(\u_spictrl.u_txreg.counter[15] ),
     .Y(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4392_ (.A(_1920_),
-    .X(_1921_),
+ sky130_fd_sc_hd__inv_2 _5625_ (.A(_1919_),
+    .Y(_1921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4393_ (.A1_N(_0823_),
-    .A2_N(_1918_),
-    .B1(\u_spim_regs.reg2spi_cmd[6] ),
+ sky130_fd_sc_hd__o22a_4 _5626_ (.A1(\u_spictrl.u_txreg.counter[15] ),
+    .A2(_1919_),
+    .B1(_1920_),
     .B2(_1921_),
     .X(_1922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4394_ (.A(_1910_),
-    .B(_1922_),
-    .C(_1916_),
-    .D(_1912_),
-    .X(_1923_),
+ sky130_fd_sc_hd__inv_2 _5627_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .Y(_1923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4395_ (.A(_1923_),
-    .B(_1903_),
-    .C(_1909_),
+ sky130_fd_sc_hd__and2_4 _5628_ (.A(\u_spictrl.u_txreg.counter[8] ),
+    .B(_1907_),
     .X(_1924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4396_ (.A1(_1899_),
-    .A2(_1895_),
-    .B1(_1902_),
-    .B2(_1924_),
+ sky130_fd_sc_hd__o21a_4 _5629_ (.A1(\u_spictrl.u_txreg.counter[9] ),
+    .A2(_1924_),
+    .B1(_1909_),
     .X(_1925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4397_ (.A1(_1873_),
-    .A2(_1925_),
-    .B1(_1883_),
-    .B2(\u_spictrl.u_txreg.data_int[30] ),
-    .X(_0159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4398_ (.A(_1824_),
+ sky130_fd_sc_hd__o21a_4 _5630_ (.A1(\u_spictrl.u_txreg.counter[14] ),
+    .A2(_1918_),
+    .B1(_1921_),
     .X(_1926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4399_ (.A(\u_spictrl.u_txreg.data_int[28] ),
-    .Y(_1927_),
+ sky130_fd_sc_hd__buf_2 _5631_ (.A(_1904_),
+    .X(_1927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4400_ (.A(_1538_),
+ sky130_fd_sc_hd__and2_4 _5632_ (.A(\u_spictrl.u_txreg.counter[3] ),
+    .B(_1927_),
     .X(_1928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4401_ (.A(_1928_),
+ sky130_fd_sc_hd__and2_4 _5633_ (.A(\u_spictrl.u_txreg.counter[4] ),
+    .B(_1928_),
     .X(_1929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4402_ (.A1_N(_1927_),
-    .A2_N(_1929_),
-    .B1(\u_spictrl.u_txreg.data_int[25] ),
-    .B2(_1929_),
-    .X(_1930_),
+ sky130_fd_sc_hd__inv_2 _5634_ (.A(_1905_),
+    .Y(_1930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4403_ (.A(psn_net_104),
+ sky130_fd_sc_hd__o21a_4 _5635_ (.A1(\u_spictrl.u_txreg.counter[5] ),
+    .A2(_1929_),
+    .B1(_1930_),
     .X(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4404_ (.A(_1931_),
+ sky130_fd_sc_hd__a2bb2o_4 _5636_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .A2_N(_1931_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .B2(_1931_),
     .X(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4405_ (.A(\u_spim_regs.spim_wb_addr[21] ),
-    .B(psn_net_78),
-    .X(_1933_),
+ sky130_fd_sc_hd__inv_2 _5637_ (.A(_1932_),
+    .Y(_1933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4406_ (.A1(psn_net_9),
-    .A2(_1933_),
-    .B1(_1908_),
-    .X(_1934_),
+ sky130_fd_sc_hd__inv_2 _5638_ (.A(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .Y(_1934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4407_ (.A(psn_net_82),
-    .B(_1523_),
-    .C(psn_net_63),
-    .D(\u_spim_regs.reg2spi_wdata[29] ),
+ sky130_fd_sc_hd__buf_2 _5639_ (.A(\u_spictrl.u_txreg.counter[0] ),
     .X(_1935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4408_ (.A(\u_spim_regs.reg2spi_mode[5] ),
-    .B(_1915_),
-    .X(_1936_),
+ sky130_fd_sc_hd__inv_2 _5640_ (.A(_1935_),
+    .Y(_1936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4409_ (.A1_N(_0839_),
-    .A2_N(_1918_),
-    .B1(\u_spim_regs.reg2spi_cmd[5] ),
-    .B2(_1920_),
+ sky130_fd_sc_hd__o22a_4 _5641_ (.A1(_1934_),
+    .A2(_1935_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .B2(_1936_),
     .X(_1937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4410_ (.A(_1910_),
-    .B(_1937_),
-    .C(_1936_),
-    .D(_1935_),
-    .X(_1938_),
+ sky130_fd_sc_hd__inv_2 _5642_ (.A(_1903_),
+    .Y(_1938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4411_ (.A(_1938_),
-    .B(_1903_),
-    .C(_1934_),
+ sky130_fd_sc_hd__o21a_4 _5643_ (.A1(\u_spictrl.u_txreg.counter[1] ),
+    .A2(_1935_),
+    .B1(_1938_),
     .X(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4412_ (.A1(_1930_),
-    .A2(_1895_),
-    .B1(_1939_),
-    .B2(_1932_),
+ sky130_fd_sc_hd__a2bb2o_4 _5644_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .A2_N(_1939_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .B2(_1939_),
     .X(_1940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4413_ (.A1(_1926_),
-    .A2(_1940_),
-    .B1(_1883_),
-    .B2(\u_spictrl.u_txreg.data_int[29] ),
-    .X(_0158_),
+ sky130_fd_sc_hd__inv_2 _5645_ (.A(_1940_),
+    .Y(_1941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4414_ (.A(psn_net_5),
-    .B(_1900_),
-    .X(_1941_),
+ sky130_fd_sc_hd__inv_2 _5646_ (.A(_1927_),
+    .Y(_1942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4415_ (.A(_1815_),
-    .X(_1942_),
+ sky130_fd_sc_hd__o21a_4 _5647_ (.A1(\u_spictrl.u_txreg.counter[2] ),
+    .A2(_1903_),
+    .B1(_1942_),
+    .X(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4416_ (.A(\u_spim_regs.reg2spi_mode[4] ),
-    .Y(_1943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4417_ (.A(_1913_),
-    .B(_1906_),
+ sky130_fd_sc_hd__a2bb2o_4 _5648_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .A2_N(_1943_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .B2(_1943_),
     .X(_1944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4418_ (.A1(_1943_),
-    .A2(_1914_),
-    .B1(_0856_),
-    .B2(psn_net_76),
-    .X(_1945_),
+ sky130_fd_sc_hd__inv_2 _5649_ (.A(_1944_),
+    .Y(_1945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4419_ (.A1(_1226_),
-    .A2(_1919_),
-    .B1(_1945_),
+ sky130_fd_sc_hd__or4_4 _5650_ (.A(\u_spictrl.u_txreg.counter[15] ),
+    .B(_1937_),
+    .C(_1941_),
+    .D(_1945_),
     .X(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4420_ (.A(_1918_),
-    .X(_1947_),
+ sky130_fd_sc_hd__inv_2 _5651_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .Y(_1947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4421_ (.A1(\u_spim_regs.reg2spi_addr[28] ),
-    .A2(_1653_),
-    .B1(\u_spim_regs.spim_wb_addr[20] ),
-    .B2(_1603_),
-    .X(_1948_),
+ sky130_fd_sc_hd__inv_2 _5652_ (.A(_1928_),
+    .Y(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4422_ (.A(_1948_),
-    .Y(_1949_),
+ sky130_fd_sc_hd__o21a_4 _5653_ (.A1(\u_spictrl.u_txreg.counter[3] ),
+    .A2(_1927_),
+    .B1(_1948_),
+    .X(_1949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4423_ (.A1(_1942_),
-    .A2(_1946_),
+ sky130_fd_sc_hd__a2bb2o_4 _5654_ (.A1_N(_1947_),
+    .A2_N(_1949_),
     .B1(_1947_),
     .B2(_1949_),
     .X(_1950_),
@@ -13517,9370 +8381,31760 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4424_ (.A(psn_net_3),
-    .X(_1951_),
+ sky130_fd_sc_hd__inv_2 _5655_ (.A(_1906_),
+    .Y(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4425_ (.A(_1538_),
+ sky130_fd_sc_hd__o21a_4 _5656_ (.A1(\u_spictrl.u_txreg.counter[6] ),
+    .A2(_1905_),
+    .B1(_1951_),
     .X(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4426_ (.A(\u_spictrl.u_txreg.data_int[24] ),
-    .Y(_1953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4427_ (.A1_N(\u_spictrl.u_txreg.data_int[27] ),
+ sky130_fd_sc_hd__a2bb2o_4 _5657_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[6] ),
     .A2_N(_1952_),
-    .B1(_1953_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
     .B2(_1952_),
-    .X(_1954_),
+    .X(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4428_ (.A1(_1950_),
-    .A2(psn_net_103),
-    .B1(_1951_),
-    .B2(_1954_),
-    .X(_1955_),
+ sky130_fd_sc_hd__inv_2 _5658_ (.A(_1953_),
+    .Y(_1954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4429_ (.A1_N(_1888_),
-    .A2_N(_1955_),
-    .B1(_1888_),
-    .B2(\u_spictrl.u_txreg.data_int[28] ),
-    .X(_0157_),
+ sky130_fd_sc_hd__inv_2 _5659_ (.A(_1929_),
+    .Y(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4430_ (.A(_1503_),
+ sky130_fd_sc_hd__o21a_4 _5660_ (.A1(\u_spictrl.u_txreg.counter[4] ),
+    .A2(_1928_),
+    .B1(_1955_),
     .X(_1956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4431_ (.A(_1956_),
+ sky130_fd_sc_hd__a2bb2o_4 _5661_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .A2_N(_1956_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B2(_1956_),
     .X(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4432_ (.A(_1894_),
-    .X(_1958_),
+ sky130_fd_sc_hd__inv_2 _5662_ (.A(_1957_),
+    .Y(_1958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4433_ (.A(psn_net_1),
+ sky130_fd_sc_hd__or4_4 _5663_ (.A(_1958_),
+    .B(_1950_),
+    .C(_1954_),
+    .D(_1946_),
     .X(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4434_ (.A(\u_spictrl.u_txreg.data_int[26] ),
-    .Y(_1960_),
+ sky130_fd_sc_hd__o21a_4 _5664_ (.A1(\u_spictrl.u_txreg.counter[7] ),
+    .A2(_1906_),
+    .B1(_1908_),
+    .X(_1960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4435_ (.A(_1952_),
+ sky130_fd_sc_hd__a2bb2o_4 _5665_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .A2_N(_1960_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B2(_1960_),
     .X(_1961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4436_ (.A1_N(_1960_),
-    .A2_N(_1961_),
-    .B1(\u_spictrl.u_txreg.data_int[23] ),
-    .B2(_1961_),
-    .X(_1962_),
+ sky130_fd_sc_hd__inv_2 _5666_ (.A(_1961_),
+    .Y(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4437_ (.A(_1901_),
+ sky130_fd_sc_hd__and2_4 _5667_ (.A(\u_spictrl.u_txreg.counter[12] ),
+    .B(_1917_),
     .X(_1963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4438_ (.A(_1703_),
-    .X(_1964_),
+ sky130_fd_sc_hd__inv_2 _5668_ (.A(_1963_),
+    .Y(_1964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4439_ (.A(_1964_),
+ sky130_fd_sc_hd__o21a_4 _5669_ (.A1(\u_spictrl.u_txreg.counter[12] ),
+    .A2(_1917_),
+    .B1(_1964_),
     .X(_1965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4440_ (.A(psn_net_78),
+ sky130_fd_sc_hd__or4_4 _5670_ (.A(_1933_),
+    .B(_1965_),
+    .C(_1962_),
+    .D(_1959_),
     .X(_1966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4441_ (.A1(\u_spim_regs.spim_wb_addr[19] ),
-    .A2(_1966_),
-    .B1(_1904_),
-    .Y(_1967_),
+ sky130_fd_sc_hd__a211o_4 _5671_ (.A1(_1923_),
+    .A2(_1925_),
+    .B1(_1926_),
+    .C1(_1966_),
+    .X(_1967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4442_ (.A(_1602_),
+ sky130_fd_sc_hd__a21o_4 _5672_ (.A1(_1902_),
+    .A2(_1908_),
+    .B1(_1924_),
     .X(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4443_ (.A(_0640_),
-    .B(_1919_),
-    .X(_1969_),
+ sky130_fd_sc_hd__inv_2 _5673_ (.A(_1968_),
+    .Y(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4444_ (.A(_1915_),
-    .X(_1970_),
+ sky130_fd_sc_hd__inv_2 _5674_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .Y(_1970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4445_ (.A(\u_spim_regs.reg2spi_mode[3] ),
-    .B(_1970_),
-    .Y(_1971_),
+ sky130_fd_sc_hd__o22a_4 _5675_ (.A1(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .A2(_1969_),
+    .B1(_1970_),
+    .B2(_1968_),
+    .X(_1971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4446_ (.A1(_0872_),
-    .A2(psn_net_77),
-    .B1(_0871_),
-    .B2(_1947_),
-    .X(_1972_),
+ sky130_fd_sc_hd__nor2_4 _5676_ (.A(_1923_),
+    .B(_1925_),
+    .Y(_1972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4447_ (.A(_1968_),
-    .B(_1969_),
-    .C(_1971_),
-    .D(_1972_),
-    .X(_1973_),
+ sky130_fd_sc_hd__inv_2 _5677_ (.A(_1918_),
+    .Y(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4448_ (.A1(_1965_),
-    .A2(_1967_),
-    .B1(psn_net_6),
-    .C1(_1973_),
+ sky130_fd_sc_hd__o21a_4 _5678_ (.A1(\u_spictrl.u_txreg.counter[13] ),
+    .A2(_1963_),
+    .B1(_1973_),
     .X(_1974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4449_ (.A(_1974_),
+ sky130_fd_sc_hd__a21oi_4 _5679_ (.A1(_1915_),
+    .A2(_1910_),
+    .B1(_1917_),
     .Y(_1975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4450_ (.A1(_1962_),
-    .A2(_1959_),
-    .B1(_1963_),
-    .B2(_1975_),
+ sky130_fd_sc_hd__or4_4 _5680_ (.A(_1971_),
+    .B(_1972_),
+    .C(_1974_),
+    .D(_1975_),
     .X(_1976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4451_ (.A1(_1883_),
-    .A2(\u_spictrl.u_txreg.data_int[27] ),
-    .B1(_1957_),
-    .B2(_1976_),
-    .X(_0156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4452_ (.A(_0886_),
-    .B(_1603_),
+ sky130_fd_sc_hd__or4_4 _5681_ (.A(_1914_),
+    .B(_1922_),
+    .C(_1976_),
+    .D(_1967_),
     .X(_1977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4453_ (.A(_1944_),
+ sky130_fd_sc_hd__buf_2 _5682_ (.A(_1977_),
     .X(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4454_ (.A1(_1947_),
-    .A2(_1977_),
-    .B1(_0888_),
-    .B2(psn_net_74),
+ sky130_fd_sc_hd__or2_4 _5683_ (.A(_1899_),
+    .B(_1978_),
     .X(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4455_ (.A1(\u_spim_regs.reg2spi_cmd[2] ),
-    .A2(_1921_),
-    .B1(\u_spim_regs.reg2spi_mode[2] ),
-    .B2(_1970_),
-    .Y(_1980_),
+ sky130_fd_sc_hd__and4_4 _5684_ (.A(_1979_),
+    .B(_1891_),
+    .C(_1893_),
+    .D(_1897_),
+    .X(_1980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4456_ (.A1(_1979_),
-    .A2(_1980_),
-    .B1(_1965_),
+ sky130_fd_sc_hd__or3_4 _5685_ (.A(psn_net_38),
+    .B(_1890_),
+    .C(_1980_),
     .X(_1981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4457_ (.A(\u_spim_regs.spim_wb_addr[18] ),
+ sky130_fd_sc_hd__nand2_4 _5686_ (.A(_1981_),
+    .B(\u_spictrl.tx_data_ready ),
     .Y(_1982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4458_ (.A(psn_net_10),
-    .B(_1966_),
-    .C(_1977_),
-    .D(_1982_),
+ sky130_fd_sc_hd__or2_4 _5687_ (.A(_1883_),
+    .B(_1982_),
     .X(_1983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4459_ (.A(_1541_),
+ sky130_fd_sc_hd__or2_4 _5688_ (.A(_1882_),
+    .B(_1983_),
     .X(_1984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4460_ (.A(_1984_),
-    .X(_1985_),
+ sky130_fd_sc_hd__inv_2 _5689_ (.A(psn_net_56),
+    .Y(_1985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4461_ (.A(_1928_),
+ sky130_fd_sc_hd__buf_2 _5690_ (.A(_1085_),
     .X(_1986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4462_ (.A(_1986_),
+ sky130_fd_sc_hd__buf_2 _5691_ (.A(_1986_),
     .X(_1987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4463_ (.A1(\u_spictrl.u_txreg.data_int[25] ),
-    .A2(_1985_),
-    .B1(\u_spictrl.u_txreg.data_int[22] ),
-    .B2(_1987_),
-    .Y(_1988_),
+ sky130_fd_sc_hd__or2_4 _5692_ (.A(_1987_),
+    .B(_1984_),
+    .X(_1988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4464_ (.A1(_1959_),
-    .A2(_1981_),
-    .A3(_1983_),
-    .B1(_1963_),
+ sky130_fd_sc_hd__a32o_4 _5693_ (.A1(_1985_),
+    .A2(_1878_),
+    .A3(_1078_),
+    .B1(\u_m1_cmd_fifo.rd_ptr[2] ),
     .B2(_1988_),
+    .X(_0795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5694_ (.A1(_1878_),
+    .A2(_1985_),
+    .B1(_1988_),
+    .X(_0794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _5695_ (.A1(_1882_),
+    .A2(psn_net_57),
+    .B1(psn_net_55),
+    .Y(_0793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _5696_ (.A(_0995_),
+    .B(_1020_),
+    .C(_1689_),
+    .D(_0933_),
     .X(_1989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4465_ (.A1(_1888_),
-    .A2(_1960_),
-    .B1(_1989_),
-    .B2(_1840_),
-    .Y(_0155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4466_ (.A(_1837_),
+ sky130_fd_sc_hd__and2_4 _5697_ (.A(_1225_),
+    .B(_1989_),
     .X(_1990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4467_ (.A1_N(_1953_),
-    .A2_N(_1961_),
-    .B1(\u_spictrl.u_txreg.data_int[21] ),
-    .B2(_1961_),
+ sky130_fd_sc_hd__or2_4 _5698_ (.A(_1208_),
+    .B(_1990_),
     .X(_1991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4468_ (.A(\u_spim_regs.spim_wb_addr[17] ),
-    .B(_1966_),
+ sky130_fd_sc_hd__or2_4 _5699_ (.A(_0993_),
+    .B(_1991_),
     .X(_1992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4469_ (.A1(_1904_),
-    .A2(_1992_),
-    .B1(_1604_),
-    .X(_1993_),
+ sky130_fd_sc_hd__inv_2 _5700_ (.A(_1992_),
+    .Y(_1993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4470_ (.A(_1703_),
+ sky130_fd_sc_hd__buf_2 _5701_ (.A(_1993_),
     .X(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4471_ (.A(_1917_),
-    .Y(_1995_),
+ sky130_fd_sc_hd__buf_2 _5702_ (.A(_1994_),
+    .X(_1995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4472_ (.A(_1995_),
+ sky130_fd_sc_hd__o22a_4 _5703_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .A2(_1995_),
+    .B1(_0996_),
+    .B2(_1992_),
+    .X(_0792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5704_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .B(_1991_),
     .X(_1996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4473_ (.A(\u_spim_regs.reg2spi_addr[25] ),
-    .B(_1996_),
-    .X(_1997_),
+ sky130_fd_sc_hd__inv_2 _5705_ (.A(_1996_),
+    .Y(_1997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4474_ (.A(\u_spim_regs.reg2spi_cmd[1] ),
-    .B(_1921_),
+ sky130_fd_sc_hd__buf_2 _5706_ (.A(_1997_),
     .X(_1998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4475_ (.A1_N(_0902_),
-    .A2_N(_1978_),
-    .B1(\u_spim_regs.reg2spi_mode[1] ),
-    .B2(_1970_),
+ sky130_fd_sc_hd__buf_2 _5707_ (.A(_1998_),
     .X(_1999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4476_ (.A(_1994_),
-    .B(_1997_),
-    .C(_1998_),
-    .D(_1999_),
+ sky130_fd_sc_hd__a21o_4 _5708_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .A2(_1991_),
+    .B1(_1999_),
+    .X(_0791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5709_ (.A(_0994_),
     .X(_2000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4477_ (.A(_1903_),
-    .B(_1993_),
-    .C(_2000_),
+ sky130_fd_sc_hd__buf_2 _5710_ (.A(_2000_),
     .X(_2001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4478_ (.A1(_1991_),
-    .A2(_1959_),
-    .B1(_1963_),
-    .B2(_2001_),
+ sky130_fd_sc_hd__buf_2 _5711_ (.A(_2001_),
     .X(_2002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4479_ (.A1(_1990_),
-    .A2(\u_spictrl.u_txreg.data_int[25] ),
-    .B1(_1957_),
-    .B2(_2002_),
-    .X(_0154_),
+ sky130_fd_sc_hd__buf_2 _5712_ (.A(_1894_),
+    .X(_2003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4480_ (.A(\u_spictrl.u_txreg.data_int[23] ),
-    .Y(_2003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4481_ (.A1_N(_2003_),
-    .A2_N(_1898_),
-    .B1(\u_spictrl.u_txreg.data_int[20] ),
-    .B2(_1898_),
+ sky130_fd_sc_hd__buf_2 _5713_ (.A(_2003_),
     .X(_2004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4482_ (.A(\u_spim_regs.spim_wb_addr[16] ),
-    .B(_1966_),
+ sky130_fd_sc_hd__buf_2 _5714_ (.A(_2004_),
     .X(_2005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4483_ (.A1(_1904_),
-    .A2(_2005_),
-    .B1(_1604_),
+ sky130_fd_sc_hd__buf_2 _5715_ (.A(_2005_),
     .X(_2006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4484_ (.A(\u_spim_regs.reg2spi_addr[24] ),
-    .B(_1996_),
+ sky130_fd_sc_hd__or2_4 _5716_ (.A(_2006_),
+    .B(psn_net_58),
     .X(_2007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4485_ (.A(\u_spim_regs.reg2spi_cmd[0] ),
-    .B(_1921_),
+ sky130_fd_sc_hd__or2_4 _5717_ (.A(_2002_),
+    .B(_2007_),
     .X(_2008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4486_ (.A1_N(_0916_),
-    .A2_N(_1978_),
-    .B1(\u_spim_regs.reg2spi_mode[0] ),
-    .B2(_1970_),
-    .X(_2009_),
+ sky130_fd_sc_hd__inv_2 _5718_ (.A(_2008_),
+    .Y(_2009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4487_ (.A(_1994_),
-    .B(_2007_),
-    .C(_2008_),
-    .D(_2009_),
+ sky130_fd_sc_hd__o22a_4 _5719_ (.A1(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .A2(_2009_),
+    .B1(_0997_),
+    .B2(_2008_),
+    .X(_0790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _5720_ (.A1(_2002_),
+    .A2(_2007_),
+    .B1(_2009_),
+    .Y(_0789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5721_ (.A(_1209_),
+    .B(spi_debug[13]),
     .X(_2010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4488_ (.A(_2010_),
-    .B(_1903_),
-    .C(_2006_),
+ sky130_fd_sc_hd__buf_2 _5722_ (.A(_2010_),
     .X(_2011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4489_ (.A1(_1959_),
-    .A2(_2004_),
-    .B1(_1963_),
-    .B2(_2011_),
+ sky130_fd_sc_hd__buf_2 _5723_ (.A(_2011_),
     .X(_2012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4490_ (.A1(_1990_),
-    .A2(\u_spictrl.u_txreg.data_int[24] ),
-    .B1(_1825_),
-    .B2(_2012_),
-    .X(_0153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4491_ (.A(_1887_),
+ sky130_fd_sc_hd__buf_2 _5724_ (.A(_1419_),
     .X(_2013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4492_ (.A(_1995_),
-    .X(_2014_),
+ sky130_fd_sc_hd__inv_2 _5725_ (.A(_2013_),
+    .Y(_2014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4493_ (.A(_2014_),
+ sky130_fd_sc_hd__and2_4 _5726_ (.A(_1208_),
+    .B(_2014_),
     .X(_2015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4494_ (.A(_1523_),
+ sky130_fd_sc_hd__o21a_4 _5727_ (.A1(_2012_),
+    .A2(_2015_),
+    .B1(_1301_),
+    .X(_0788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _5728_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
+    .B(_1418_),
+    .C(_2012_),
+    .D(_1990_),
     .X(_2016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4495_ (.A(_2016_),
-    .X(_2017_),
+ sky130_fd_sc_hd__inv_2 _5729_ (.A(_2016_),
+    .Y(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4496_ (.A(psn_net_64),
-    .X(_2018_),
+ sky130_fd_sc_hd__inv_2 _5730_ (.A(\u_spim_regs.cur_cnt[4] ),
+    .Y(_2017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4497_ (.A(_2018_),
-    .X(_2019_),
+ sky130_fd_sc_hd__inv_2 _5731_ (.A(\u_spim_regs.cur_cnt[3] ),
+    .Y(_2018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4498_ (.A(psn_net_83),
-    .X(_2020_),
+ sky130_fd_sc_hd__inv_2 _5732_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .Y(_2019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4499_ (.A(_2017_),
-    .B(_2019_),
-    .C(_2020_),
-    .D(\u_spim_regs.reg2spi_wdata[23] ),
-    .X(_2021_),
+ sky130_fd_sc_hd__inv_2 _5733_ (.A(\u_spim_regs.cur_cnt[1] ),
+    .Y(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4500_ (.A1(\u_spim_regs.reg2spi_addr[23] ),
-    .A2(_2015_),
-    .B1(_1965_),
-    .C1(_2021_),
+ sky130_fd_sc_hd__inv_2 _5734_ (.A(\u_spim_regs.cur_cnt[0] ),
+    .Y(_2021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5735_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .B(\u_spim_regs.cfg_m1_spi_seq[0] ),
     .X(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4501_ (.A(_1996_),
-    .X(_2023_),
+ sky130_fd_sc_hd__nor2_4 _5736_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .B(_2022_),
+    .Y(_2023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4502_ (.A(_2023_),
+ sky130_fd_sc_hd__or2_4 _5737_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .B(_2023_),
     .X(_2024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4503_ (.A(_1968_),
-    .X(_2025_),
+ sky130_fd_sc_hd__inv_2 _5738_ (.A(_2024_),
+    .Y(_2025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4504_ (.A1(\u_spim_regs.spim_wb_addr[15] ),
-    .A2(_2024_),
-    .B1(_2025_),
-    .X(_2026_),
+ sky130_fd_sc_hd__inv_2 _5739_ (.A(_1165_),
+    .Y(_2026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4505_ (.A(_1984_),
-    .X(_2027_),
+ sky130_fd_sc_hd__inv_2 _5740_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .Y(_2027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4506_ (.A1(\u_spictrl.u_txreg.data_int[22] ),
-    .A2(_1929_),
-    .B1(\u_spictrl.u_txreg.data_int[19] ),
-    .B2(_2027_),
+ sky130_fd_sc_hd__and4_4 _5741_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .B(_2026_),
+    .C(_2027_),
+    .D(_1187_),
     .X(_2028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4507_ (.A1(_1895_),
-    .A2(_2022_),
-    .A3(_2026_),
-    .B1(_1932_),
-    .B2(_2028_),
+ sky130_fd_sc_hd__or2_4 _5742_ (.A(_2025_),
+    .B(_2028_),
     .X(_2029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4508_ (.A(_2029_),
-    .Y(_2030_),
+ sky130_fd_sc_hd__or2_4 _5743_ (.A(_1862_),
+    .B(_2029_),
+    .X(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4509_ (.A1_N(_2013_),
-    .A2_N(_2030_),
-    .B1(_2013_),
-    .B2(\u_spictrl.u_txreg.data_int[23] ),
-    .X(_0152_),
+ sky130_fd_sc_hd__inv_2 _5744_ (.A(_2030_),
+    .Y(_2031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4510_ (.A(_2017_),
-    .B(_2019_),
-    .C(_2020_),
-    .D(\u_spim_regs.reg2spi_wdata[22] ),
-    .X(_2031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4511_ (.A1(\u_spim_regs.reg2spi_addr[22] ),
-    .A2(_2015_),
-    .B1(_1965_),
-    .C1(_2031_),
+ sky130_fd_sc_hd__and3_4 _5745_ (.A(_1719_),
+    .B(_1285_),
+    .C(_1251_),
     .X(_2032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4512_ (.A1(\u_spim_regs.spim_wb_addr[14] ),
-    .A2(_2024_),
-    .B1(_2025_),
+ sky130_fd_sc_hd__and2_4 _5746_ (.A(_2032_),
+    .B(_1695_),
     .X(_2033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4513_ (.A(_1897_),
-    .X(_2034_),
+ sky130_fd_sc_hd__inv_2 _5747_ (.A(_0913_),
+    .Y(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4514_ (.A1(\u_spictrl.u_txreg.data_int[21] ),
-    .A2(_2034_),
-    .B1(\u_spictrl.u_txreg.data_int[18] ),
-    .B2(_2027_),
+ sky130_fd_sc_hd__a2bb2o_4 _5748_ (.A1_N(_1088_),
+    .A2_N(_1862_),
+    .B1(_2034_),
+    .B2(_0930_),
     .X(_2035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4515_ (.A1(_1895_),
-    .A2(_2032_),
-    .A3(_2033_),
-    .B1(_1932_),
-    .B2(_2035_),
+ sky130_fd_sc_hd__o21a_4 _5749_ (.A1(_2033_),
+    .A2(_2035_),
+    .B1(_1294_),
     .X(_2036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4516_ (.A(_2036_),
-    .Y(_2037_),
+ sky130_fd_sc_hd__or2_4 _5750_ (.A(_2034_),
+    .B(_2032_),
+    .X(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4517_ (.A1_N(_2013_),
-    .A2_N(_2037_),
-    .B1(_2013_),
-    .B2(\u_spictrl.u_txreg.data_int[22] ),
-    .X(_0151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4518_ (.A(_1887_),
+ sky130_fd_sc_hd__or3_4 _5751_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
+    .B(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .C(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .X(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4519_ (.A(_1964_),
+ sky130_fd_sc_hd__or2_4 _5752_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
+    .B(_2038_),
     .X(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4520_ (.A(_2017_),
-    .B(_2019_),
-    .C(_2020_),
-    .D(\u_spim_regs.reg2spi_wdata[21] ),
+ sky130_fd_sc_hd__or2_4 _5753_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .B(_2039_),
     .X(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4521_ (.A1(\u_spim_regs.reg2spi_addr[21] ),
-    .A2(_2015_),
-    .B1(_2039_),
-    .C1(_2040_),
+ sky130_fd_sc_hd__or2_4 _5754_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B(_2040_),
     .X(_2041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4522_ (.A1(\u_spim_regs.spim_wb_addr[13] ),
-    .A2(_2024_),
-    .B1(_2025_),
-    .X(_2042_),
+ sky130_fd_sc_hd__nor2_4 _5755_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
+    .B(_2041_),
+    .Y(_2042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4523_ (.A(_1958_),
+ sky130_fd_sc_hd__a21o_4 _5756_ (.A1(_0950_),
+    .A2(_2041_),
+    .B1(_2042_),
     .X(_2043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4524_ (.A1(\u_spictrl.u_txreg.data_int[20] ),
-    .A2(_2034_),
-    .B1(\u_spictrl.u_txreg.data_int[17] ),
-    .B2(_2027_),
-    .X(_2044_),
+ sky130_fd_sc_hd__nor2_4 _5757_ (.A(_2017_),
+    .B(_2043_),
+    .Y(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4525_ (.A1(_2043_),
-    .A2(_2041_),
-    .A3(_2042_),
-    .B1(_1932_),
-    .B2(_2044_),
+ sky130_fd_sc_hd__and2_4 _5758_ (.A(_2017_),
+    .B(_2043_),
     .X(_2045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4526_ (.A(_2045_),
+ sky130_fd_sc_hd__inv_2 _5759_ (.A(_1042_),
     .Y(_2046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4527_ (.A1_N(_2038_),
-    .A2_N(_2046_),
+ sky130_fd_sc_hd__nor2_4 _5760_ (.A(_1065_),
+    .B(_1075_),
+    .Y(_2047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5761_ (.A1(_2046_),
+    .A2(_2047_),
     .B1(_2038_),
-    .B2(\u_spictrl.u_txreg.data_int[21] ),
-    .X(_0150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4528_ (.A(psn_net_83),
-    .X(_2047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4529_ (.A(_2047_),
     .X(_2048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4530_ (.A(_2017_),
-    .B(_2019_),
-    .C(_2048_),
-    .D(\u_spim_regs.reg2spi_wdata[20] ),
-    .X(_2049_),
+ sky130_fd_sc_hd__inv_2 _5762_ (.A(_2048_),
+    .Y(_2049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4531_ (.A1(\u_spim_regs.reg2spi_addr[20] ),
-    .A2(_2015_),
-    .B1(_2039_),
-    .C1(_2049_),
+ sky130_fd_sc_hd__o22a_4 _5763_ (.A1(_2021_),
+    .A2(_2048_),
+    .B1(\u_spim_regs.cur_cnt[0] ),
+    .B2(_2049_),
     .X(_2050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4532_ (.A(_1908_),
+ sky130_fd_sc_hd__a21bo_4 _5764_ (.A1(_1013_),
+    .A2(_2038_),
+    .B1_N(_2039_),
     .X(_2051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4533_ (.A1(\u_spim_regs.spim_wb_addr[12] ),
-    .A2(_2024_),
-    .B1(_2051_),
-    .X(_2052_),
+ sky130_fd_sc_hd__inv_2 _5765_ (.A(_2051_),
+    .Y(_2052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4534_ (.A(_1931_),
+ sky130_fd_sc_hd__o22a_4 _5766_ (.A1(_2020_),
+    .A2(_2052_),
+    .B1(\u_spim_regs.cur_cnt[1] ),
+    .B2(_2051_),
     .X(_2053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4535_ (.A(_1984_),
+ sky130_fd_sc_hd__a21bo_4 _5767_ (.A1(_0984_),
+    .A2(_2039_),
+    .B1_N(_2040_),
     .X(_2054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4536_ (.A1(\u_spictrl.u_txreg.data_int[19] ),
-    .A2(_2034_),
-    .B1(\u_spictrl.u_txreg.data_int[16] ),
+ sky130_fd_sc_hd__a2bb2o_4 _5768_ (.A1_N(_2019_),
+    .A2_N(_2054_),
+    .B1(_2019_),
     .B2(_2054_),
     .X(_2055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4537_ (.A1(_2043_),
-    .A2(_2050_),
-    .A3(_2052_),
-    .B1(_2053_),
-    .B2(_2055_),
+ sky130_fd_sc_hd__a21bo_4 _5769_ (.A1(_0974_),
+    .A2(_2040_),
+    .B1_N(_2041_),
     .X(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4538_ (.A(_2056_),
-    .Y(_2057_),
+ sky130_fd_sc_hd__a2bb2o_4 _5770_ (.A1_N(_2018_),
+    .A2_N(_2056_),
+    .B1(_2018_),
+    .B2(_2056_),
+    .X(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4539_ (.A1_N(_2038_),
-    .A2_N(_2057_),
-    .B1(_2038_),
-    .B2(\u_spictrl.u_txreg.data_int[20] ),
-    .X(_0149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4540_ (.A(_1887_),
+ sky130_fd_sc_hd__or4_4 _5771_ (.A(_2050_),
+    .B(_2053_),
+    .C(_2055_),
+    .D(_2057_),
     .X(_2058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4541_ (.A(_2014_),
-    .X(_2059_),
+ sky130_fd_sc_hd__inv_2 _5772_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .Y(_2059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4542_ (.A(_2016_),
-    .X(_2060_),
+ sky130_fd_sc_hd__inv_2 _5773_ (.A(\u_spim_regs.cur_cnt[5] ),
+    .Y(_2060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4543_ (.A(_2018_),
+ sky130_fd_sc_hd__a2bb2o_4 _5774_ (.A1_N(_2060_),
+    .A2_N(_2042_),
+    .B1(_2060_),
+    .B2(_2042_),
     .X(_2061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4544_ (.A(_2060_),
-    .B(_2061_),
-    .C(_2048_),
-    .D(\u_spim_regs.reg2spi_wdata[19] ),
+ sky130_fd_sc_hd__a2bb2o_4 _5775_ (.A1_N(_2059_),
+    .A2_N(_2061_),
+    .B1(_2059_),
+    .B2(_2061_),
     .X(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4545_ (.A1(\u_spim_regs.reg2spi_addr[19] ),
-    .A2(_2059_),
-    .B1(_2039_),
-    .C1(_2062_),
+ sky130_fd_sc_hd__or4_4 _5776_ (.A(_2044_),
+    .B(_2045_),
+    .C(_2058_),
+    .D(_2062_),
     .X(_2063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4546_ (.A(_2023_),
-    .X(_2064_),
+ sky130_fd_sc_hd__nand2_4 _5777_ (.A(_2037_),
+    .B(_2063_),
+    .Y(_2064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4547_ (.A1(\u_spim_regs.spim_wb_addr[11] ),
-    .A2(_2064_),
-    .B1(_2051_),
+ sky130_fd_sc_hd__and3_4 _5778_ (.A(_1293_),
+    .B(_1863_),
+    .C(_2064_),
     .X(_2065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4548_ (.A1(\u_spictrl.u_txreg.data_int[18] ),
-    .A2(_2034_),
-    .B1(\u_spictrl.u_txreg.data_int[15] ),
-    .B2(_2054_),
+ sky130_fd_sc_hd__a211o_4 _5779_ (.A1(_1294_),
+    .A2(_2031_),
+    .B1(_2036_),
+    .C1(_2065_),
     .X(_2066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4549_ (.A1(_2043_),
-    .A2(_2063_),
-    .A3(_2065_),
-    .B1(_2053_),
-    .B2(_2066_),
+ sky130_fd_sc_hd__or2_4 _5780_ (.A(_2021_),
+    .B(_2066_),
     .X(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4550_ (.A(_2067_),
-    .Y(_2068_),
+ sky130_fd_sc_hd__or2_4 _5781_ (.A(_2020_),
+    .B(_2067_),
+    .X(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4551_ (.A1_N(_2058_),
-    .A2_N(_2068_),
-    .B1(_2058_),
-    .B2(\u_spictrl.u_txreg.data_int[19] ),
-    .X(_0148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4552_ (.A(_2060_),
-    .B(_2061_),
-    .C(_2048_),
-    .D(\u_spim_regs.reg2spi_wdata[18] ),
+ sky130_fd_sc_hd__or2_4 _5782_ (.A(_2019_),
+    .B(_2068_),
     .X(_2069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4553_ (.A1(\u_spim_regs.reg2spi_addr[18] ),
-    .A2(_2059_),
-    .B1(_2039_),
-    .C1(_2069_),
+ sky130_fd_sc_hd__or2_4 _5783_ (.A(_2018_),
+    .B(_2069_),
     .X(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4554_ (.A1(\u_spim_regs.spim_wb_addr[10] ),
-    .A2(_2064_),
-    .B1(_2051_),
+ sky130_fd_sc_hd__or2_4 _5784_ (.A(_2017_),
+    .B(_2070_),
     .X(_2071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4555_ (.A(_1928_),
-    .X(_2072_),
+ sky130_fd_sc_hd__inv_2 _5785_ (.A(_2071_),
+    .Y(_2072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4556_ (.A1(\u_spictrl.u_txreg.data_int[17] ),
-    .A2(_2072_),
-    .B1(\u_spictrl.u_txreg.data_int[14] ),
-    .B2(_2054_),
+ sky130_fd_sc_hd__or2_4 _5786_ (.A(\u_spim_regs.cur_cnt[5] ),
+    .B(_2072_),
     .X(_2073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4557_ (.A1(_2043_),
-    .A2(_2070_),
-    .A3(_2071_),
-    .B1(_2053_),
-    .B2(_2073_),
+ sky130_fd_sc_hd__a21o_4 _5787_ (.A1(_1295_),
+    .A2(_2037_),
+    .B1(_2066_),
     .X(_2074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4558_ (.A(_2074_),
-    .Y(_2075_),
+ sky130_fd_sc_hd__or2_4 _5788_ (.A(_2060_),
+    .B(_2071_),
+    .X(_2075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4559_ (.A1_N(_2058_),
-    .A2_N(_2075_),
-    .B1(_2058_),
-    .B2(\u_spictrl.u_txreg.data_int[18] ),
-    .X(_0147_),
+ sky130_fd_sc_hd__and3_4 _5789_ (.A(_2073_),
+    .B(_2074_),
+    .C(_2075_),
+    .X(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4560_ (.A(_1503_),
-    .X(_2076_),
+ sky130_fd_sc_hd__inv_2 _5790_ (.A(_2074_),
+    .Y(_2076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4561_ (.A(_2076_),
+ sky130_fd_sc_hd__a211o_4 _5791_ (.A1(_2017_),
+    .A2(_2070_),
+    .B1(_2072_),
+    .C1(_2076_),
     .X(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4562_ (.A(_1964_),
-    .X(_2078_),
+ sky130_fd_sc_hd__inv_2 _5792_ (.A(_2077_),
+    .Y(_0785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4563_ (.A(_2060_),
-    .B(_2061_),
-    .C(_2048_),
-    .D(\u_spim_regs.reg2spi_wdata[17] ),
-    .X(_2079_),
+ sky130_fd_sc_hd__nand2_4 _5793_ (.A(_2018_),
+    .B(_2069_),
+    .Y(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4564_ (.A1(\u_spim_regs.reg2spi_addr[17] ),
-    .A2(_2059_),
-    .B1(_2078_),
-    .C1(_2079_),
+ sky130_fd_sc_hd__and3_4 _5794_ (.A(_2070_),
+    .B(_2074_),
+    .C(_2078_),
+    .X(_0784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5795_ (.A(_2068_),
+    .Y(_2079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5796_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .B(_2079_),
     .X(_2080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4565_ (.A1(\u_spim_regs.spim_wb_addr[9] ),
-    .A2(_2064_),
-    .B1(_2051_),
+ sky130_fd_sc_hd__and3_4 _5797_ (.A(_2069_),
+    .B(_2074_),
+    .C(_2080_),
+    .X(_0783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _5798_ (.A1(_2020_),
+    .A2(_2067_),
+    .B1(_2079_),
+    .C1(_2076_),
     .X(_2081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4566_ (.A(_1958_),
-    .X(_2082_),
+ sky130_fd_sc_hd__inv_2 _5799_ (.A(_2081_),
+    .Y(_0782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4567_ (.A1(\u_spictrl.u_txreg.data_int[16] ),
-    .A2(_2072_),
-    .B1(\u_spictrl.u_txreg.data_int[13] ),
-    .B2(_2054_),
+ sky130_fd_sc_hd__inv_2 _5800_ (.A(_2066_),
+    .Y(_2082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5801_ (.A(_1295_),
+    .B(_1863_),
+    .C(_2082_),
     .X(_2083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4568_ (.A1(_2082_),
-    .A2(_2081_),
-    .A3(_2080_),
-    .B1(_2053_),
-    .B2(_2083_),
+ sky130_fd_sc_hd__o21a_4 _5802_ (.A1(\u_spim_regs.cur_cnt[0] ),
+    .A2(_2083_),
+    .B1(_2067_),
+    .X(_0781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5803_ (.A(_1783_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
     .X(_2084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4569_ (.A(_2084_),
-    .Y(_2085_),
+ sky130_fd_sc_hd__buf_2 _5804_ (.A(_1767_),
+    .X(_2085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4570_ (.A1_N(_2077_),
-    .A2_N(_2085_),
-    .B1(_2077_),
-    .B2(\u_spictrl.u_txreg.data_int[17] ),
-    .X(_0146_),
+ sky130_fd_sc_hd__nor2_4 _5805_ (.A(\u_spim_regs.spim_reg_be[3] ),
+    .B(_2085_),
+    .Y(_2086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4571_ (.A(_2047_),
-    .X(_2086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4572_ (.A(_2060_),
-    .B(_2061_),
-    .C(_2086_),
-    .D(\u_spim_regs.reg2spi_wdata[16] ),
+ sky130_fd_sc_hd__or2_4 _5806_ (.A(_1776_),
+    .B(_2086_),
     .X(_2087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4573_ (.A1(\u_spim_regs.reg2spi_addr[16] ),
-    .A2(_2059_),
-    .B1(_2078_),
-    .C1(_2087_),
+ sky130_fd_sc_hd__buf_2 _5807_ (.A(_2087_),
     .X(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4574_ (.A(_1908_),
-    .X(_2089_),
+ sky130_fd_sc_hd__inv_2 _5808_ (.A(_2087_),
+    .Y(_2089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4575_ (.A1(\u_spim_regs.spim_wb_addr[8] ),
-    .A2(_2064_),
-    .B1(_2089_),
+ sky130_fd_sc_hd__buf_2 _5809_ (.A(_2089_),
     .X(_2090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4576_ (.A(_1931_),
+ sky130_fd_sc_hd__o22a_4 _5810_ (.A1(_2084_),
+    .A2(_2088_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B2(_2090_),
+    .X(_0780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5811_ (.A(_1846_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
     .X(_2091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4577_ (.A(_1541_),
+ sky130_fd_sc_hd__o22a_4 _5812_ (.A1(_2088_),
+    .A2(_2091_),
+    .B1(_0950_),
+    .B2(_2090_),
+    .X(_0779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5813_ (.A(_1846_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
     .X(_2092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4578_ (.A1(\u_spictrl.u_txreg.data_int[15] ),
-    .A2(_2072_),
-    .B1(\u_spictrl.u_txreg.data_int[12] ),
-    .B2(_2092_),
+ sky130_fd_sc_hd__o22a_4 _5814_ (.A1(_2088_),
+    .A2(_2092_),
+    .B1(_0974_),
+    .B2(_2090_),
+    .X(_0778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5815_ (.A(_1846_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
     .X(_2093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4579_ (.A1(_2082_),
-    .A2(_2088_),
-    .A3(_2090_),
-    .B1(_2091_),
-    .B2(_2093_),
+ sky130_fd_sc_hd__o22a_4 _5816_ (.A1(_2088_),
+    .A2(_2093_),
+    .B1(_0984_),
+    .B2(_2090_),
+    .X(_0777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5817_ (.A(_2087_),
     .X(_2094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4580_ (.A(_2094_),
-    .Y(_2095_),
+ sky130_fd_sc_hd__and2_4 _5818_ (.A(_1801_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
+    .X(_2095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4581_ (.A1_N(_2077_),
-    .A2_N(_2095_),
-    .B1(_2077_),
-    .B2(\u_spictrl.u_txreg.data_int[16] ),
-    .X(_0145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4582_ (.A(_2076_),
+ sky130_fd_sc_hd__buf_2 _5819_ (.A(_2089_),
     .X(_2096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4583_ (.A(_2014_),
+ sky130_fd_sc_hd__o22a_4 _5820_ (.A1(_2094_),
+    .A2(_2095_),
+    .B1(_1013_),
+    .B2(_2096_),
+    .X(_0776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5821_ (.A(_1801_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
     .X(_2097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4584_ (.A(_2016_),
-    .X(_2098_),
+ sky130_fd_sc_hd__o22a_4 _5822_ (.A1(_2094_),
+    .A2(_2097_),
+    .B1(_1042_),
+    .B2(_2096_),
+    .X(_0775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4585_ (.A(_2018_),
-    .X(_2099_),
+ sky130_fd_sc_hd__inv_2 _5823_ (.A(_1065_),
+    .Y(_2098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4586_ (.A(_2098_),
-    .B(_2099_),
-    .C(_2086_),
-    .D(\u_spim_regs.reg2spi_wdata[15] ),
+ sky130_fd_sc_hd__inv_2 _5824_ (.A(_1856_),
+    .Y(_2099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5825_ (.A(_1782_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
     .X(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4587_ (.A1(\u_spim_regs.reg2spi_addr[15] ),
-    .A2(_2097_),
-    .B1(_2078_),
-    .C1(_2100_),
-    .X(_2101_),
+ sky130_fd_sc_hd__nor2_4 _5826_ (.A(_2099_),
+    .B(_2100_),
+    .Y(_2101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4588_ (.A(_2023_),
+ sky130_fd_sc_hd__o22a_4 _5827_ (.A1(_2098_),
+    .A2(_2096_),
+    .B1(_2094_),
+    .B2(_2101_),
     .X(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4589_ (.A1(\u_spim_regs.spim_wb_addr[7] ),
-    .A2(_2102_),
-    .B1(_2089_),
+ sky130_fd_sc_hd__inv_2 _5828_ (.A(_2102_),
+    .Y(_0774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _5829_ (.A(_1801_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
     .X(_2103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4590_ (.A1(\u_spictrl.u_txreg.data_int[14] ),
-    .A2(_2072_),
-    .B1(\u_spictrl.u_txreg.data_int[11] ),
-    .B2(_2092_),
+ sky130_fd_sc_hd__o22a_4 _5830_ (.A1(_2094_),
+    .A2(_2103_),
+    .B1(_1075_),
+    .B2(_2096_),
+    .X(_0773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _5831_ (.A1(_1250_),
+    .A2(_1828_),
+    .B1(_1775_),
     .X(_2104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4591_ (.A1(_2082_),
-    .A2(_2103_),
-    .A3(_2101_),
-    .B1(_2091_),
-    .B2(_2104_),
-    .X(_2105_),
+ sky130_fd_sc_hd__inv_2 _5832_ (.A(_2104_),
+    .Y(_2105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4592_ (.A(_2105_),
-    .Y(_2106_),
+ sky130_fd_sc_hd__buf_2 _5833_ (.A(_2105_),
+    .X(_2106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4593_ (.A1_N(_2096_),
-    .A2_N(_2106_),
-    .B1(_2096_),
-    .B2(\u_spictrl.u_txreg.data_int[15] ),
-    .X(_0144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4594_ (.A(_2098_),
-    .B(_2099_),
-    .C(_2086_),
-    .D(\u_spim_regs.reg2spi_wdata[14] ),
+ sky130_fd_sc_hd__buf_2 _5834_ (.A(_2104_),
     .X(_2107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4595_ (.A1(\u_spim_regs.reg2spi_addr[14] ),
-    .A2(_2097_),
-    .B1(_2078_),
-    .C1(_2107_),
+ sky130_fd_sc_hd__o22a_4 _5835_ (.A1(_1835_),
+    .A2(_2106_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B2(_2107_),
+    .X(_0772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5836_ (.A1(_1838_),
+    .A2(_2106_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B2(_2107_),
+    .X(_0771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5837_ (.A1(_1840_),
+    .A2(_2106_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B2(_2107_),
+    .X(_0770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5838_ (.A1(_1841_),
+    .A2(_2106_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B2(_2107_),
+    .X(_0769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5839_ (.A(_2105_),
     .X(_2108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4596_ (.A1(\u_spim_regs.spim_wb_addr[6] ),
-    .A2(_2102_),
-    .B1(_2089_),
+ sky130_fd_sc_hd__buf_2 _5840_ (.A(_2104_),
     .X(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4597_ (.A(_1928_),
-    .X(_2110_),
+ sky130_fd_sc_hd__o22a_4 _5841_ (.A1(_1843_),
+    .A2(_2108_),
+    .B1(_1155_),
+    .B2(_2109_),
+    .X(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4598_ (.A1(\u_spictrl.u_txreg.data_int[13] ),
-    .A2(_2110_),
-    .B1(\u_spictrl.u_txreg.data_int[10] ),
-    .B2(_2092_),
+ sky130_fd_sc_hd__o22a_4 _5842_ (.A1(_1845_),
+    .A2(_2108_),
+    .B1(_1166_),
+    .B2(_2109_),
+    .X(_0767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5843_ (.A1(_1847_),
+    .A2(_2108_),
+    .B1(_1179_),
+    .B2(_2109_),
+    .X(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _5844_ (.A(_1848_),
+    .B(_2099_),
+    .Y(_2110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5845_ (.A1(_1188_),
+    .A2(_2109_),
+    .B1(_2108_),
+    .B2(_2110_),
     .X(_2111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4599_ (.A1(_2082_),
-    .A2(_2109_),
-    .A3(_2108_),
-    .B1(_2091_),
-    .B2(_2111_),
+ sky130_fd_sc_hd__inv_2 _5846_ (.A(_2111_),
+    .Y(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5847_ (.A(_1769_),
     .X(_2112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4600_ (.A(_2112_),
-    .Y(_2113_),
+ sky130_fd_sc_hd__and3_4 _5848_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .B(_2112_),
+    .C(_1319_),
+    .X(_2113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4601_ (.A1_N(_2096_),
-    .A2_N(_2113_),
-    .B1(_2096_),
-    .B2(\u_spictrl.u_txreg.data_int[14] ),
-    .X(_0143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4602_ (.A(_2076_),
+ sky130_fd_sc_hd__buf_2 _5849_ (.A(\u_spim_regs.spim_reg_wdata[8] ),
     .X(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4603_ (.A(_1910_),
+ sky130_fd_sc_hd__a2bb2o_4 _5850_ (.A1_N(_1295_),
+    .A2_N(_2113_),
+    .B1(_2114_),
+    .B2(_2113_),
+    .X(_0764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5851_ (.A(_1766_),
+    .B(_2085_),
     .X(_2115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4604_ (.A(_2098_),
-    .B(_2099_),
-    .C(_2086_),
-    .D(\u_spim_regs.reg2spi_wdata[13] ),
-    .X(_2116_),
+ sky130_fd_sc_hd__nor2_4 _5852_ (.A(_1364_),
+    .B(_2115_),
+    .Y(_2116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4605_ (.A1(\u_spim_regs.reg2spi_addr[13] ),
-    .A2(_2097_),
-    .B1(_2115_),
-    .C1(_2116_),
+ sky130_fd_sc_hd__buf_2 _5853_ (.A(_2116_),
     .X(_2117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4606_ (.A1(_1149_),
-    .A2(_2102_),
-    .B1(_2089_),
+ sky130_fd_sc_hd__buf_2 _5854_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
     .X(_2118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4607_ (.A(psn_net_3),
+ sky130_fd_sc_hd__a2bb2o_4 _5855_ (.A1_N(_1363_),
+    .A2_N(_2117_),
+    .B1(_2118_),
+    .B2(_2117_),
+    .X(_0763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5856_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
     .X(_2119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4608_ (.A1(\u_spictrl.u_txreg.data_int[12] ),
-    .A2(_2110_),
-    .B1(\u_spictrl.u_txreg.data_int[9] ),
-    .B2(_2092_),
+ sky130_fd_sc_hd__a2bb2o_4 _5857_ (.A1_N(_1376_),
+    .A2_N(_2117_),
+    .B1(_2119_),
+    .B2(_2117_),
+    .X(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5858_ (.A(_2116_),
     .X(_2120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4609_ (.A1(_2119_),
-    .A2(_2117_),
-    .A3(_2118_),
-    .B1(_2091_),
-    .B2(_2120_),
+ sky130_fd_sc_hd__buf_2 _5859_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
     .X(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4610_ (.A(_2121_),
-    .Y(_2122_),
+ sky130_fd_sc_hd__a2bb2o_4 _5860_ (.A1_N(_1388_),
+    .A2_N(_2120_),
+    .B1(_2121_),
+    .B2(_2120_),
+    .X(_0761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4611_ (.A1_N(_2114_),
-    .A2_N(_2122_),
-    .B1(_2114_),
-    .B2(\u_spictrl.u_txreg.data_int[13] ),
-    .X(_0142_),
+ sky130_fd_sc_hd__buf_2 _5861_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
+    .X(_2122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4612_ (.A(_2047_),
+ sky130_fd_sc_hd__a2bb2o_4 _5862_ (.A1_N(_1405_),
+    .A2_N(_2120_),
+    .B1(_2122_),
+    .B2(_2120_),
+    .X(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _5863_ (.A1(_1423_),
+    .A2(_1297_),
+    .B1(_1770_),
+    .C1(_1831_),
     .X(_2123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4613_ (.A(_2098_),
-    .B(_2099_),
-    .C(_2123_),
-    .D(\u_spim_regs.reg2spi_wdata[12] ),
+ sky130_fd_sc_hd__buf_2 _5864_ (.A(_2123_),
     .X(_2124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4614_ (.A1(\u_spim_regs.reg2spi_addr[12] ),
-    .A2(_2097_),
-    .B1(_2115_),
-    .C1(_2124_),
+ sky130_fd_sc_hd__buf_2 _5865_ (.A(_2124_),
     .X(_2125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4615_ (.A(_1968_),
-    .X(_2126_),
+ sky130_fd_sc_hd__inv_2 _5866_ (.A(_2123_),
+    .Y(_2126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4616_ (.A1(_1151_),
-    .A2(_2102_),
-    .B1(_2126_),
+ sky130_fd_sc_hd__buf_2 _5867_ (.A(_2126_),
     .X(_2127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4617_ (.A(_1931_),
+ sky130_fd_sc_hd__o22a_4 _5868_ (.A1(_1761_),
+    .A2(_2125_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_2127_),
+    .X(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5869_ (.A1(_1785_),
+    .A2(_2125_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_2127_),
+    .X(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5870_ (.A(_2126_),
     .X(_2128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4618_ (.A(_1541_),
-    .X(_2129_),
+ sky130_fd_sc_hd__o22a_4 _5871_ (.A1(_1788_),
+    .A2(_2125_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B2(_2128_),
+    .X(_0757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4619_ (.A1(\u_spictrl.u_txreg.data_int[11] ),
-    .A2(_2110_),
-    .B1(\u_spictrl.u_txreg.data_int[8] ),
-    .B2(_2129_),
+ sky130_fd_sc_hd__o22a_4 _5872_ (.A1(_1790_),
+    .A2(_2125_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B2(_2128_),
+    .X(_0756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5873_ (.A1(_1791_),
+    .A2(_2124_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .B2(_2128_),
+    .X(_0755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5874_ (.A1(_1793_),
+    .A2(_2124_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .B2(_2128_),
+    .X(_0754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5875_ (.A1(_1797_),
+    .A2(_2124_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .B2(_2126_),
+    .X(_0753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5876_ (.A(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .Y(_2129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5877_ (.A1_N(_2129_),
+    .A2_N(_2127_),
+    .B1(_1799_),
+    .B2(_2127_),
+    .X(_0752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5878_ (.A(\u_spim_regs.spim_reg_be[1] ),
+    .B(_2112_),
+    .C(_1184_),
     .X(_2130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4620_ (.A1(_2119_),
-    .A2(_2125_),
-    .A3(_2127_),
-    .B1(_2128_),
-    .B2(_2130_),
+ sky130_fd_sc_hd__buf_2 _5879_ (.A(_2130_),
     .X(_2131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4621_ (.A(_2131_),
-    .Y(_2132_),
+ sky130_fd_sc_hd__a2bb2o_4 _5880_ (.A1_N(_1197_),
+    .A2_N(_2131_),
+    .B1(_1802_),
+    .B2(_2131_),
+    .X(_0751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4622_ (.A1_N(_2114_),
+ sky130_fd_sc_hd__a2bb2o_4 _5881_ (.A1_N(_1214_),
+    .A2_N(_2131_),
+    .B1(_1812_),
+    .B2(_2131_),
+    .X(_0750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5882_ (.A(_2130_),
+    .X(_2132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5883_ (.A1_N(_1229_),
     .A2_N(_2132_),
-    .B1(_2114_),
-    .B2(\u_spictrl.u_txreg.data_int[12] ),
-    .X(_0141_),
+    .B1(_1814_),
+    .B2(_2132_),
+    .X(_0749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4623_ (.A(_2076_),
+ sky130_fd_sc_hd__a2bb2o_4 _5884_ (.A1_N(_1241_),
+    .A2_N(_2132_),
+    .B1(_1816_),
+    .B2(_2132_),
+    .X(_0748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5885_ (.A(_2130_),
     .X(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4624_ (.A(_1996_),
+ sky130_fd_sc_hd__a2bb2o_4 _5886_ (.A1_N(_1255_),
+    .A2_N(_2133_),
+    .B1(_1819_),
+    .B2(_2133_),
+    .X(_0747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5887_ (.A1_N(_1268_),
+    .A2_N(_2133_),
+    .B1(_1823_),
+    .B2(_2133_),
+    .X(_0746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5888_ (.A(_2130_),
     .X(_2134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4625_ (.A(_2016_),
+ sky130_fd_sc_hd__a2bb2o_4 _5889_ (.A1_N(_1286_),
+    .A2_N(_2134_),
+    .B1(_1825_),
+    .B2(_2134_),
+    .X(_0745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5890_ (.A1_N(_1298_),
+    .A2_N(_2134_),
+    .B1(_2114_),
+    .B2(_2134_),
+    .X(_0744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5891_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .B(_1769_),
+    .C(_1184_),
     .X(_2135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4626_ (.A(_2018_),
+ sky130_fd_sc_hd__buf_2 _5892_ (.A(_2135_),
     .X(_2136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4627_ (.A(_2135_),
-    .B(_2136_),
-    .C(_2123_),
-    .D(\u_spim_regs.reg2spi_wdata[11] ),
+ sky130_fd_sc_hd__a2bb2o_4 _5893_ (.A1_N(_1316_),
+    .A2_N(_2136_),
+    .B1(_1760_),
+    .B2(_2136_),
+    .X(_0743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5894_ (.A1_N(_1330_),
+    .A2_N(_2136_),
+    .B1(_1784_),
+    .B2(_2136_),
+    .X(_0742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5895_ (.A(_2135_),
     .X(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4628_ (.A1(\u_spim_regs.reg2spi_addr[11] ),
-    .A2(_2134_),
-    .B1(_2115_),
-    .C1(_2137_),
+ sky130_fd_sc_hd__a2bb2o_4 _5896_ (.A1_N(_1340_),
+    .A2_N(_2137_),
+    .B1(_1787_),
+    .B2(_2137_),
+    .X(_0741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5897_ (.A1_N(_1350_),
+    .A2_N(_2137_),
+    .B1(_1789_),
+    .B2(_2137_),
+    .X(_0740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5898_ (.A(_2135_),
     .X(_2138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4629_ (.A(_2014_),
+ sky130_fd_sc_hd__a2bb2o_4 _5899_ (.A1_N(_1362_),
+    .A2_N(_2138_),
+    .B1(_2118_),
+    .B2(_2138_),
+    .X(_0739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5900_ (.A1_N(_1375_),
+    .A2_N(_2138_),
+    .B1(_2119_),
+    .B2(_2138_),
+    .X(_0738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5901_ (.A(_2135_),
     .X(_2139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4630_ (.A1(_1148_),
-    .A2(_2139_),
-    .B1(_2126_),
-    .X(_2140_),
+ sky130_fd_sc_hd__a2bb2o_4 _5902_ (.A1_N(_1387_),
+    .A2_N(_2139_),
+    .B1(_2121_),
+    .B2(_2139_),
+    .X(_0737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4631_ (.A1(\u_spictrl.u_txreg.data_int[10] ),
-    .A2(_2110_),
-    .B1(\u_spictrl.u_txreg.data_int[7] ),
-    .B2(_2129_),
+ sky130_fd_sc_hd__a2bb2o_4 _5903_ (.A1_N(_1404_),
+    .A2_N(_2139_),
+    .B1(_2122_),
+    .B2(_2139_),
+    .X(_0736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5904_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .Y(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5905_ (.A(\u_spim_regs.spim_reg_be[3] ),
+    .B(_0967_),
+    .C(_2112_),
     .X(_2141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4632_ (.A1(_2119_),
-    .A2(_2138_),
-    .A3(_2140_),
-    .B1(_2128_),
-    .B2(_2141_),
+ sky130_fd_sc_hd__buf_2 _5906_ (.A(_2141_),
     .X(_2142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4633_ (.A(_2142_),
+ sky130_fd_sc_hd__a2bb2o_4 _5907_ (.A1_N(_2140_),
+    .A2_N(_2142_),
+    .B1(\u_spim_regs.spim_reg_wdata[31] ),
+    .B2(_2142_),
+    .X(_0735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5908_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
     .Y(_2143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4634_ (.A1_N(_2133_),
-    .A2_N(_2143_),
-    .B1(_2133_),
-    .B2(\u_spictrl.u_txreg.data_int[11] ),
-    .X(_0140_),
+ sky130_fd_sc_hd__a2bb2o_4 _5909_ (.A1_N(_2143_),
+    .A2_N(_2142_),
+    .B1(\u_spim_regs.spim_reg_wdata[30] ),
+    .B2(_2142_),
+    .X(_0734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4635_ (.A(_2135_),
-    .B(_2136_),
-    .C(_2123_),
-    .D(\u_spim_regs.reg2spi_wdata[10] ),
-    .X(_2144_),
+ sky130_fd_sc_hd__inv_2 _5910_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .Y(_2144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4636_ (.A1(\u_spim_regs.reg2spi_addr[10] ),
-    .A2(_2134_),
-    .B1(_2115_),
-    .C1(_2144_),
+ sky130_fd_sc_hd__buf_2 _5911_ (.A(_2141_),
     .X(_2145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4637_ (.A1(_2571_),
-    .A2(_2139_),
-    .B1(_2126_),
-    .X(_2146_),
+ sky130_fd_sc_hd__a2bb2o_4 _5912_ (.A1_N(_2144_),
+    .A2_N(_2145_),
+    .B1(\u_spim_regs.spim_reg_wdata[29] ),
+    .B2(_2145_),
+    .X(_0733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4638_ (.A1(\u_spictrl.u_txreg.data_int[9] ),
-    .A2(_1986_),
-    .B1(\u_spictrl.u_txreg.data_int[6] ),
-    .B2(_2129_),
+ sky130_fd_sc_hd__inv_2 _5913_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .Y(_2146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5914_ (.A1_N(_2146_),
+    .A2_N(_2145_),
+    .B1(\u_spim_regs.spim_reg_wdata[28] ),
+    .B2(_2145_),
+    .X(_0732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5915_ (.A(_2141_),
     .X(_2147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4639_ (.A1(_2119_),
-    .A2(_2145_),
-    .A3(_2146_),
-    .B1(_2128_),
+ sky130_fd_sc_hd__a2bb2o_4 _5916_ (.A1_N(_1015_),
+    .A2_N(_2147_),
+    .B1(\u_spim_regs.spim_reg_wdata[27] ),
     .B2(_2147_),
-    .X(_2148_),
+    .X(_0731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4640_ (.A(_2148_),
+ sky130_fd_sc_hd__inv_2 _5917_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .Y(_2148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5918_ (.A1_N(_2148_),
+    .A2_N(_2147_),
+    .B1(\u_spim_regs.spim_reg_wdata[26] ),
+    .B2(_2147_),
+    .X(_0730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5919_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
     .Y(_2149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4641_ (.A1_N(_2133_),
-    .A2_N(_2149_),
-    .B1(_2133_),
-    .B2(\u_spictrl.u_txreg.data_int[10] ),
-    .X(_0139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4642_ (.A(_1956_),
+ sky130_fd_sc_hd__buf_2 _5920_ (.A(_2141_),
     .X(_2150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4643_ (.A(_1910_),
-    .X(_2151_),
+ sky130_fd_sc_hd__a2bb2o_4 _5921_ (.A1_N(_2149_),
+    .A2_N(_2150_),
+    .B1(\u_spim_regs.spim_reg_wdata[25] ),
+    .B2(_2150_),
+    .X(_0729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4644_ (.A(_2135_),
-    .B(_2136_),
-    .C(_2123_),
-    .D(\u_spim_regs.reg2spi_wdata[9] ),
-    .X(_2152_),
+ sky130_fd_sc_hd__inv_2 _5922_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .Y(_2151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4645_ (.A1(\u_spim_regs.reg2spi_addr[9] ),
-    .A2(_2134_),
-    .B1(_2151_),
-    .C1(_2152_),
+ sky130_fd_sc_hd__a2bb2o_4 _5923_ (.A1_N(_2151_),
+    .A2_N(_2150_),
+    .B1(\u_spim_regs.spim_reg_wdata[24] ),
+    .B2(_2150_),
+    .X(_0728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _5924_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .Y(_2152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _5925_ (.A(\u_spim_regs.spim_reg_be[2] ),
+    .B(_0967_),
+    .C(_2112_),
     .X(_2153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4646_ (.A1(\u_spim_regs.spim_wb_addr[1] ),
-    .A2(_2139_),
-    .B1(_2126_),
+ sky130_fd_sc_hd__buf_2 _5926_ (.A(_2153_),
     .X(_2154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4647_ (.A1(\u_spictrl.u_txreg.data_int[8] ),
-    .A2(_1986_),
-    .B1(\u_spictrl.u_txreg.data_int[5] ),
-    .B2(_2129_),
+ sky130_fd_sc_hd__a2bb2o_4 _5927_ (.A1_N(_2152_),
+    .A2_N(_2154_),
+    .B1(\u_spim_regs.spim_reg_wdata[23] ),
+    .B2(_2154_),
+    .X(_0727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5928_ (.A1_N(_1106_),
+    .A2_N(_2154_),
+    .B1(\u_spim_regs.spim_reg_wdata[22] ),
+    .B2(_2154_),
+    .X(_0726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5929_ (.A(_2153_),
     .X(_2155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4648_ (.A1(_1951_),
-    .A2(_2154_),
-    .A3(_2153_),
-    .B1(_2128_),
+ sky130_fd_sc_hd__a2bb2o_4 _5930_ (.A1_N(_1119_),
+    .A2_N(_2155_),
+    .B1(\u_spim_regs.spim_reg_wdata[21] ),
     .B2(_2155_),
+    .X(_0725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5931_ (.A1_N(_1140_),
+    .A2_N(_2155_),
+    .B1(\u_spim_regs.spim_reg_wdata[20] ),
+    .B2(_2155_),
+    .X(_0724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5932_ (.A(_2153_),
     .X(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4649_ (.A(_2156_),
-    .Y(_2157_),
+ sky130_fd_sc_hd__a2bb2o_4 _5933_ (.A1_N(_1154_),
+    .A2_N(_2156_),
+    .B1(\u_spim_regs.spim_reg_wdata[19] ),
+    .B2(_2156_),
+    .X(_0723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4650_ (.A1_N(_2150_),
+ sky130_fd_sc_hd__a2bb2o_4 _5934_ (.A1_N(_1164_),
+    .A2_N(_2156_),
+    .B1(\u_spim_regs.spim_reg_wdata[18] ),
+    .B2(_2156_),
+    .X(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5935_ (.A(_2153_),
+    .X(_2157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5936_ (.A1_N(_1178_),
     .A2_N(_2157_),
-    .B1(_2150_),
-    .B2(\u_spictrl.u_txreg.data_int[9] ),
-    .X(_0138_),
+    .B1(\u_spim_regs.spim_reg_wdata[17] ),
+    .B2(_2157_),
+    .X(_0721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4651_ (.A(_2135_),
-    .B(_2136_),
-    .C(_2047_),
-    .D(\u_spim_regs.reg2spi_wdata[8] ),
-    .X(_2158_),
+ sky130_fd_sc_hd__inv_2 _5937_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
+    .Y(_2158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4652_ (.A1(\u_spim_regs.reg2spi_addr[8] ),
-    .A2(_2134_),
-    .B1(_2151_),
-    .C1(_2158_),
-    .X(_2159_),
+ sky130_fd_sc_hd__a2bb2o_4 _5938_ (.A1_N(_2158_),
+    .A2_N(_2157_),
+    .B1(\u_spim_regs.spim_reg_wdata[16] ),
+    .B2(_2157_),
+    .X(_0720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4653_ (.A1(\u_spim_regs.spim_wb_addr[0] ),
-    .A2(_2139_),
-    .B1(_1604_),
+ sky130_fd_sc_hd__nor2_4 _5939_ (.A(_1324_),
+    .B(_2115_),
+    .Y(_2159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5940_ (.A(_2159_),
     .X(_2160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4654_ (.A1(\u_spictrl.u_txreg.data_int[7] ),
-    .A2(_1986_),
-    .B1(\u_spictrl.u_txreg.data_int[4] ),
-    .B2(_1984_),
+ sky130_fd_sc_hd__a2bb2o_4 _5941_ (.A1_N(_1323_),
+    .A2_N(_2160_),
+    .B1(_1760_),
+    .B2(_2160_),
+    .X(_0719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5942_ (.A1_N(_1334_),
+    .A2_N(_2160_),
+    .B1(_1784_),
+    .B2(_2160_),
+    .X(_0718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5943_ (.A(_2159_),
     .X(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4655_ (.A1(_1951_),
-    .A2(_2160_),
-    .A3(_2159_),
-    .B1(_1901_),
+ sky130_fd_sc_hd__a2bb2o_4 _5944_ (.A1_N(_1344_),
+    .A2_N(_2161_),
+    .B1(_1787_),
     .B2(_2161_),
+    .X(_0717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5945_ (.A1_N(_1356_),
+    .A2_N(_2161_),
+    .B1(_1789_),
+    .B2(_2161_),
+    .X(_0716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5946_ (.A(_2159_),
     .X(_2162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4656_ (.A(_2162_),
-    .Y(_2163_),
+ sky130_fd_sc_hd__a2bb2o_4 _5947_ (.A1_N(_1368_),
+    .A2_N(_2162_),
+    .B1(_2118_),
+    .B2(_2162_),
+    .X(_0715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4657_ (.A1_N(_2150_),
+ sky130_fd_sc_hd__a2bb2o_4 _5948_ (.A1_N(_1380_),
+    .A2_N(_2162_),
+    .B1(_2119_),
+    .B2(_2162_),
+    .X(_0714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5949_ (.A(_2159_),
+    .X(_2163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5950_ (.A1_N(_1392_),
     .A2_N(_2163_),
-    .B1(_2150_),
-    .B2(\u_spictrl.u_txreg.data_int[8] ),
-    .X(_0137_),
+    .B1(_2121_),
+    .B2(_2163_),
+    .X(_0713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4658_ (.A(_1956_),
+ sky130_fd_sc_hd__a2bb2o_4 _5951_ (.A1_N(_1407_),
+    .A2_N(_2163_),
+    .B1(_2122_),
+    .B2(_2163_),
+    .X(_0712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _5952_ (.A(_1804_),
+    .B(_2085_),
+    .C(_1324_),
     .X(_2164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4659_ (.A(_1947_),
-    .X(_2165_),
+ sky130_fd_sc_hd__inv_2 _5953_ (.A(_2164_),
+    .Y(_2165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4660_ (.A(psn_net_75),
+ sky130_fd_sc_hd__a2bb2o_4 _5954_ (.A1_N(_1301_),
+    .A2_N(_2165_),
+    .B1(_2114_),
+    .B2(_2165_),
+    .X(_0711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _5955_ (.A(_1804_),
+    .B(_2085_),
+    .C(_1196_),
     .X(_2166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4661_ (.A1(_1170_),
-    .A2(_2165_),
-    .B1(_1176_),
-    .B2(_2166_),
-    .X(_2167_),
+ sky130_fd_sc_hd__inv_2 _5956_ (.A(_2166_),
+    .Y(_2167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4662_ (.A(_1942_),
-    .B(_1941_),
+ sky130_fd_sc_hd__buf_2 _5957_ (.A(_2167_),
     .X(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4663_ (.A(_2168_),
+ sky130_fd_sc_hd__a2bb2o_4 _5958_ (.A1_N(_1194_),
+    .A2_N(_2168_),
+    .B1(_1802_),
+    .B2(_2168_),
+    .X(_0710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5959_ (.A1_N(_1211_),
+    .A2_N(_2168_),
+    .B1(_1812_),
+    .B2(_2168_),
+    .X(_0709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5960_ (.A(_2167_),
     .X(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4664_ (.A(_1951_),
+ sky130_fd_sc_hd__a2bb2o_4 _5961_ (.A1_N(_1228_),
+    .A2_N(_2169_),
+    .B1(_1814_),
+    .B2(_2169_),
+    .X(_0708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5962_ (.A1_N(_1240_),
+    .A2_N(_2169_),
+    .B1(_1816_),
+    .B2(_2169_),
+    .X(_0707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5963_ (.A(_2167_),
     .X(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4665_ (.A(\u_spictrl.u_txreg.data_int[3] ),
-    .Y(_2171_),
+ sky130_fd_sc_hd__a2bb2o_4 _5964_ (.A1_N(_1254_),
+    .A2_N(_2170_),
+    .B1(_1819_),
+    .B2(_2170_),
+    .X(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4666_ (.A1_N(\u_spictrl.u_txreg.data_int[6] ),
-    .A2_N(_1987_),
-    .B1(_2171_),
-    .B2(_1987_),
+ sky130_fd_sc_hd__a2bb2o_4 _5965_ (.A1_N(_1267_),
+    .A2_N(_2170_),
+    .B1(_1823_),
+    .B2(_2170_),
+    .X(_0705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5966_ (.A(_2167_),
+    .X(_2171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5967_ (.A1_N(_1283_),
+    .A2_N(_2171_),
+    .B1(_1825_),
+    .B2(_2171_),
+    .X(_0704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _5968_ (.A1_N(_1304_),
+    .A2_N(_2171_),
+    .B1(_2114_),
+    .B2(_2171_),
+    .X(_0703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _5969_ (.A(_1832_),
+    .B(_2086_),
     .X(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4667_ (.A1(_2167_),
-    .A2(_2169_),
-    .B1(_2172_),
-    .B2(_2170_),
+ sky130_fd_sc_hd__buf_2 _5970_ (.A(_2172_),
     .X(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4668_ (.A1_N(_2164_),
-    .A2_N(_2173_),
-    .B1(_2164_),
-    .B2(\u_spictrl.u_txreg.data_int[7] ),
-    .X(_0136_),
+ sky130_fd_sc_hd__inv_2 _5971_ (.A(_2172_),
+    .Y(_2174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4669_ (.A1(_1190_),
-    .A2(_2165_),
-    .B1(_1184_),
-    .B2(_2166_),
-    .X(_2174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4670_ (.A(_1952_),
+ sky130_fd_sc_hd__buf_2 _5972_ (.A(_2174_),
     .X(_2175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4671_ (.A(\u_spictrl.u_txreg.data_int[2] ),
-    .Y(_2176_),
+ sky130_fd_sc_hd__o22a_4 _5973_ (.A1(_2084_),
+    .A2(_2173_),
+    .B1(\u_spim_regs.cfg_m1_addr[31] ),
+    .B2(_2175_),
+    .X(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4672_ (.A1_N(\u_spictrl.u_txreg.data_int[5] ),
-    .A2_N(_2175_),
-    .B1(_2176_),
+ sky130_fd_sc_hd__o22a_4 _5974_ (.A1(_2091_),
+    .A2(_2173_),
+    .B1(\u_spim_regs.cfg_m1_addr[30] ),
     .B2(_2175_),
+    .X(_0701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5975_ (.A1(_2092_),
+    .A2(_2173_),
+    .B1(\u_spim_regs.cfg_m1_addr[29] ),
+    .B2(_2175_),
+    .X(_0700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5976_ (.A1(_2093_),
+    .A2(_2173_),
+    .B1(\u_spim_regs.cfg_m1_addr[28] ),
+    .B2(_2175_),
+    .X(_0699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5977_ (.A(_2172_),
+    .X(_2176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5978_ (.A(_2174_),
     .X(_2177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4673_ (.A1(_2169_),
-    .A2(_2174_),
-    .B1(_2177_),
-    .B2(_2170_),
+ sky130_fd_sc_hd__o22a_4 _5979_ (.A1(_2095_),
+    .A2(_2176_),
+    .B1(\u_spim_regs.cfg_m1_addr[27] ),
+    .B2(_2177_),
+    .X(_0698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5980_ (.A1(_2097_),
+    .A2(_2176_),
+    .B1(\u_spim_regs.cfg_m1_addr[26] ),
+    .B2(_2177_),
+    .X(_0697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5981_ (.A1(_2100_),
+    .A2(_2176_),
+    .B1(\u_spim_regs.cfg_m1_addr[25] ),
+    .B2(_2177_),
+    .X(_0696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _5982_ (.A1(_2103_),
+    .A2(_2176_),
+    .B1(\u_spim_regs.cfg_m1_addr[24] ),
+    .B2(_2177_),
+    .X(_0695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _5983_ (.A(_1866_),
     .X(_2178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4674_ (.A1_N(_2164_),
-    .A2_N(_2178_),
-    .B1(_2164_),
-    .B2(\u_spictrl.u_txreg.data_int[6] ),
-    .X(_0135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4675_ (.A(_1956_),
+ sky130_fd_sc_hd__and3_4 _5984_ (.A(_1719_),
+    .B(_1690_),
+    .C(_1691_),
     .X(_2179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4676_ (.A1(_1206_),
-    .A2(_2165_),
-    .B1(_1202_),
-    .B2(_2166_),
+ sky130_fd_sc_hd__or2_4 _5985_ (.A(_2178_),
+    .B(_2179_),
     .X(_2180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4677_ (.A(\u_spictrl.u_txreg.data_int[1] ),
+ sky130_fd_sc_hd__inv_2 _5986_ (.A(_2180_),
     .Y(_2181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4678_ (.A1_N(\u_spictrl.u_txreg.data_int[4] ),
-    .A2_N(_2175_),
-    .B1(_2181_),
-    .B2(_2175_),
-    .X(_2182_),
+ sky130_fd_sc_hd__inv_2 _5987_ (.A(_1155_),
+    .Y(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4679_ (.A1(_2169_),
-    .A2(_2180_),
-    .B1(_2182_),
-    .B2(_2170_),
+ sky130_fd_sc_hd__buf_2 _5988_ (.A(_2182_),
     .X(_2183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4680_ (.A1_N(_2179_),
-    .A2_N(_2183_),
-    .B1(_2179_),
-    .B2(\u_spictrl.u_txreg.data_int[5] ),
-    .X(_0134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4681_ (.A1(_1218_),
-    .A2(_2165_),
-    .B1(_1222_),
-    .B2(_2166_),
+ sky130_fd_sc_hd__o32a_4 _5989_ (.A1(_2027_),
+    .A2(_1186_),
+    .A3(_2183_),
+    .B1(_1179_),
+    .B2(_1188_),
     .X(_2184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4682_ (.A1(\u_spictrl.u_txreg.data_int[3] ),
-    .A2(_1929_),
-    .B1(\u_spictrl.u_txreg.data_int[0] ),
-    .B2(_2027_),
+ sky130_fd_sc_hd__or3_4 _5990_ (.A(_1166_),
+    .B(_2181_),
+    .C(_2184_),
     .X(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4683_ (.A(_2185_),
-    .Y(_2186_),
+ sky130_fd_sc_hd__buf_2 _5991_ (.A(_1866_),
+    .X(_2186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4684_ (.A1(_2169_),
-    .A2(_2184_),
-    .B1(_2186_),
-    .B2(_2170_),
+ sky130_fd_sc_hd__buf_2 _5992_ (.A(_2186_),
     .X(_2187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4685_ (.A1_N(_2179_),
-    .A2_N(_2187_),
-    .B1(_2179_),
-    .B2(\u_spictrl.u_txreg.data_int[4] ),
-    .X(_0133_),
+ sky130_fd_sc_hd__or4_4 _5993_ (.A(_2187_),
+    .B(_2179_),
+    .C(_2037_),
+    .D(_2031_),
+    .X(_2188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4686_ (.A(_2168_),
-    .Y(_2188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4687_ (.A(psn_net_62),
+ sky130_fd_sc_hd__and4_4 _5994_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .B(_1188_),
+    .C(_2183_),
+    .D(_2026_),
     .X(_2189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4688_ (.A(_2020_),
-    .X(_2190_),
+ sky130_fd_sc_hd__nor2_4 _5995_ (.A(_2029_),
+    .B(_2189_),
+    .Y(_2190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4689_ (.A(_2023_),
-    .X(_2191_),
+ sky130_fd_sc_hd__inv_2 _5996_ (.A(_2036_),
+    .Y(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4690_ (.A1(_2189_),
-    .A2(_2190_),
-    .A3(\u_spim_regs.reg2spi_wdata[3] ),
-    .B1(\u_spim_regs.reg2spi_addr[3] ),
-    .B2(_2191_),
+ sky130_fd_sc_hd__or4_4 _5997_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+    .B(_2030_),
+    .C(spi_debug[24]),
+    .D(_2189_),
     .X(_2192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4691_ (.A1(\u_spictrl.u_txreg.data_int[2] ),
-    .A2(_1985_),
-    .A3(_1902_),
-    .B1(_2188_),
-    .B2(_2192_),
-    .X(_2193_),
+ sky130_fd_sc_hd__nand2_4 _5998_ (.A(_1265_),
+    .B(_1693_),
+    .Y(_2193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4692_ (.A1_N(_1889_),
-    .A2_N(_2171_),
-    .B1(_1889_),
-    .B2(_2193_),
-    .X(_0132_),
+ sky130_fd_sc_hd__inv_2 _5999_ (.A(_0929_),
+    .Y(_2194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4693_ (.A1(_2189_),
-    .A2(_2190_),
-    .A3(\u_spim_regs.reg2spi_wdata[2] ),
-    .B1(\u_spim_regs.reg2spi_addr[2] ),
-    .B2(_2191_),
-    .X(_2194_),
+ sky130_fd_sc_hd__a22oi_4 _6000_ (.A1(_1690_),
+    .A2(_2193_),
+    .B1(_2194_),
+    .B2(_1865_),
+    .Y(_2195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4694_ (.A1(\u_spictrl.u_txreg.data_int[1] ),
-    .A2(_1985_),
-    .A3(_1902_),
-    .B1(_2188_),
-    .B2(_2194_),
-    .X(_2195_),
+ sky130_fd_sc_hd__inv_2 _6001_ (.A(_1868_),
+    .Y(_2196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4695_ (.A1_N(_1889_),
-    .A2_N(_2176_),
-    .B1(_1838_),
-    .B2(_2195_),
-    .X(_0131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4696_ (.A1(_2190_),
-    .A2(_2189_),
-    .A3(\u_spim_regs.reg2spi_wdata[1] ),
-    .B1(\u_spim_regs.reg2spi_addr[1] ),
-    .B2(_2191_),
-    .X(_2196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4697_ (.A1(\u_spictrl.u_txreg.data_int[0] ),
-    .A2(_1985_),
-    .A3(_1902_),
-    .B1(_2188_),
-    .B2(_2196_),
+ sky130_fd_sc_hd__or2_4 _6002_ (.A(_2182_),
+    .B(_2023_),
     .X(_2197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4698_ (.A1_N(_1838_),
-    .A2_N(_2181_),
-    .B1(_1838_),
-    .B2(_2197_),
-    .X(_0130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4699_ (.A1(_2189_),
-    .A2(psn_net_106),
-    .A3(\u_spim_regs.reg2spi_wdata[0] ),
-    .B1(\u_spim_regs.reg2spi_addr[0] ),
-    .B2(_2191_),
+ sky130_fd_sc_hd__and2_4 _6003_ (.A(_2196_),
+    .B(_2197_),
     .X(_2198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4700_ (.A1(psn_net_102),
-    .A2(_1990_),
-    .A3(_2198_),
-    .B1(_1926_),
-    .B2(\u_spictrl.u_txreg.data_int[0] ),
-    .X(_0129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4701_ (.A(_1809_),
+ sky130_fd_sc_hd__o22a_4 _6004_ (.A1(_1252_),
+    .A2(_2195_),
+    .B1(_1867_),
+    .B2(_2198_),
     .X(_2199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4702_ (.A(\u_spim_regs.spim_wb_addr[23] ),
-    .B(_1759_),
-    .C(_1995_),
+ sky130_fd_sc_hd__or2_4 _6005_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+    .B(_2199_),
     .X(_2200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4703_ (.A1(\u_spim_regs.reg2spi_cmd[7] ),
-    .A2(_1683_),
-    .B1(_1920_),
+ sky130_fd_sc_hd__and3_4 _6006_ (.A(_2191_),
+    .B(_2192_),
+    .C(_2200_),
     .X(_2201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4704_ (.A(\u_spim_regs.reg2spi_mode[7] ),
-    .B(_1602_),
-    .C(_1915_),
+ sky130_fd_sc_hd__and2_4 _6007_ (.A(_1294_),
+    .B(_2201_),
     .X(_2202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4705_ (.A1(_0670_),
-    .A2(_1918_),
-    .B1(_0674_),
-    .B2(_1944_),
+ sky130_fd_sc_hd__o21a_4 _6008_ (.A1(_2181_),
+    .A2(_2190_),
+    .B1(_2202_),
     .X(_2203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4706_ (.A(_1653_),
-    .B(_2203_),
+ sky130_fd_sc_hd__inv_2 _6009_ (.A(_2201_),
     .Y(_2204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4707_ (.A(_2200_),
-    .B(_2201_),
-    .C(_2202_),
-    .D(_2204_),
-    .X(_2205_),
+ sky130_fd_sc_hd__a32o_4 _6010_ (.A1(_2185_),
+    .A2(_2188_),
+    .A3(_2203_),
+    .B1(_1691_),
+    .B2(_2204_),
+    .X(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4708_ (.A(\u_spictrl.u_txreg.data_int[30] ),
-    .Y(_2206_),
+ sky130_fd_sc_hd__inv_2 _6011_ (.A(_2063_),
+    .Y(_2205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4709_ (.A1_N(_2206_),
-    .A2_N(_1897_),
-    .B1(\u_spictrl.u_txreg.data_int[27] ),
-    .B2(_1897_),
+ sky130_fd_sc_hd__a211o_4 _6012_ (.A1(_1252_),
+    .A2(_2205_),
+    .B1(_1265_),
+    .C1(_2180_),
+    .X(_2206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6013_ (.A1_N(_1265_),
+    .A2_N(_2201_),
+    .B1(_2203_),
+    .B2(_2206_),
+    .X(_0693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _6014_ (.A(_1155_),
+    .B(_2026_),
+    .C(_2027_),
+    .D(_1186_),
     .X(_2207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4710_ (.A1(_1901_),
-    .A2(_2205_),
-    .B1(_2207_),
-    .B2(_1958_),
+ sky130_fd_sc_hd__or4_4 _6015_ (.A(_2029_),
+    .B(_2189_),
+    .C(_2181_),
+    .D(_2207_),
     .X(_2208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4711_ (.A1(psn_net_0),
-    .A2(_1990_),
-    .A3(_2199_),
-    .B1(_1926_),
-    .B2(io_out[5]),
-    .X(_0128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4712_ (.A1(_1925_),
-    .A2(_1502_),
-    .A3(_2199_),
-    .B1(_1926_),
-    .B2(io_out[4]),
-    .X(_0127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4713_ (.A1(_1940_),
-    .A2(_1502_),
-    .A3(_2199_),
-    .B1(_1504_),
-    .B2(io_out[3]),
-    .X(_0126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4714_ (.A(psn_net_112),
+ sky130_fd_sc_hd__a211o_4 _6016_ (.A1(_1165_),
+    .A2(_2022_),
+    .B1(_1863_),
+    .C1(_2024_),
     .X(_2209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4715_ (.A(_2209_),
-    .X(_2210_),
+ sky130_fd_sc_hd__inv_2 _6017_ (.A(_2209_),
+    .Y(_2210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4716_ (.A(_2210_),
-    .B(_1955_),
-    .Y(_2211_),
+ sky130_fd_sc_hd__and2_4 _6018_ (.A(_2032_),
+    .B(_2063_),
+    .X(_2211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4717_ (.A(_2209_),
-    .B(_2208_),
+ sky130_fd_sc_hd__or4_4 _6019_ (.A(_1865_),
+    .B(_2179_),
+    .C(_2210_),
+    .D(_2211_),
     .X(_2212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4718_ (.A1(_2212_),
-    .A2(_1502_),
-    .A3(_2211_),
-    .B1(_1504_),
-    .B2(io_out[2]),
-    .X(_0125_),
+ sky130_fd_sc_hd__a32o_4 _6020_ (.A1(_2202_),
+    .A2(_2208_),
+    .A3(_2212_),
+    .B1(_1690_),
+    .B2(_2204_),
+    .X(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4719_ (.A(\u_spictrl.u_txreg.counter_trgt[15] ),
+ sky130_fd_sc_hd__inv_2 _6021_ (.A(_1409_),
     .Y(_2213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4720_ (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .B(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .C(\u_spictrl.u_txreg.counter_trgt[2] ),
+ sky130_fd_sc_hd__buf_2 _6022_ (.A(_2213_),
     .X(_2214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4721_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .B(_2214_),
+ sky130_fd_sc_hd__buf_2 _6023_ (.A(_2214_),
     .X(_2215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4722_ (.A(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B(_2215_),
+ sky130_fd_sc_hd__buf_2 _6024_ (.A(_2215_),
     .X(_2216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4723_ (.A(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .B(_2216_),
+ sky130_fd_sc_hd__buf_2 _6025_ (.A(_2216_),
     .X(_2217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4724_ (.A(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B(_2217_),
+ sky130_fd_sc_hd__buf_2 _6026_ (.A(_2217_),
     .X(_2218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4725_ (.A(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B(_2218_),
-    .X(_2219_),
+ sky130_fd_sc_hd__inv_2 _6027_ (.A(_1977_),
+    .Y(_2219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4726_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .B(_2219_),
-    .X(_2220_),
+ sky130_fd_sc_hd__inv_2 _6028_ (.A(\u_spictrl.u_txreg.en_quad ),
+    .Y(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4727_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .B(_2220_),
+ sky130_fd_sc_hd__o22a_4 _6029_ (.A1(\u_spictrl.u_txreg.en_quad ),
+    .A2(_1929_),
+    .B1(_2220_),
+    .B2(_1927_),
     .X(_2221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4728_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
+ sky130_fd_sc_hd__or2_4 _6030_ (.A(_2219_),
     .B(_2221_),
     .X(_2222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4729_ (.A(\u_spictrl.u_txreg.counter_trgt[11] ),
+ sky130_fd_sc_hd__or2_4 _6031_ (.A(_1898_),
     .B(_2222_),
     .X(_2223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4730_ (.A(\u_spictrl.u_txreg.counter_trgt[12] ),
-    .B(_2223_),
-    .X(_2224_),
+ sky130_fd_sc_hd__inv_2 _6032_ (.A(_2223_),
+    .Y(_2224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4731_ (.A(\u_spictrl.u_txreg.counter_trgt[13] ),
-    .B(_2224_),
+ sky130_fd_sc_hd__or4_4 _6033_ (.A(_1408_),
+    .B(psn_net_41),
+    .C(psn_net_12),
+    .D(psn_net_53),
     .X(_2225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4732_ (.A(\u_spictrl.u_txreg.counter_trgt[14] ),
-    .B(_2225_),
+ sky130_fd_sc_hd__inv_2 _6034_ (.A(_2225_),
     .Y(_2226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4733_ (.A(_2213_),
-    .B(_2226_),
-    .C(_1836_),
+ sky130_fd_sc_hd__and2_4 _6035_ (.A(psn_net_10),
+    .B(_1175_),
     .X(_2227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4734_ (.A(_2227_),
-    .Y(_2228_),
+ sky130_fd_sc_hd__or2_4 _6036_ (.A(_2226_),
+    .B(psn_net_9),
+    .X(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _4735_ (.A1(\u_spictrl.u_txreg.counter_trgt[14] ),
-    .A2(_2225_),
-    .B1(_2226_),
+ sky130_fd_sc_hd__inv_2 _6037_ (.A(\u_spictrl.tx_data_ready ),
     .Y(_2229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4736_ (.A1_N(_1844_),
-    .A2_N(_2229_),
-    .B1(_1844_),
-    .B2(_2229_),
+ sky130_fd_sc_hd__and2_4 _6038_ (.A(_1885_),
+    .B(_1886_),
     .X(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4737_ (.A(_2230_),
-    .Y(_2231_),
+ sky130_fd_sc_hd__and2_4 _6039_ (.A(_2229_),
+    .B(psn_net_36),
+    .X(_2231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4738_ (.A1(_2213_),
-    .A2(_2226_),
-    .B1(_1836_),
+ sky130_fd_sc_hd__or2_4 _6040_ (.A(_1160_),
+    .B(_1886_),
     .X(_2232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4739_ (.A1(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .A2(_1890_),
-    .B1(_1812_),
-    .B2(_1891_),
+ sky130_fd_sc_hd__or2_4 _6041_ (.A(_2232_),
+    .B(_1887_),
     .X(_2233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4740_ (.A(_1800_),
-    .B(_1812_),
-    .X(_2234_),
+ sky130_fd_sc_hd__inv_2 _6042_ (.A(_2233_),
+    .Y(_2234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _4741_ (.A1(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .A2(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .B1(_2234_),
-    .Y(_2235_),
+ sky130_fd_sc_hd__and2_4 _6043_ (.A(_1897_),
+    .B(_1892_),
+    .X(_2235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4742_ (.A1_N(_1886_),
-    .A2_N(_2235_),
-    .B1(_1886_),
-    .B2(_2235_),
+ sky130_fd_sc_hd__or4_4 _6044_ (.A(_2228_),
+    .B(_2231_),
+    .C(_2234_),
+    .D(_2235_),
     .X(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4743_ (.A(_2236_),
-    .Y(_2237_),
+ sky130_fd_sc_hd__or2_4 _6045_ (.A(_2224_),
+    .B(_2236_),
+    .X(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4744_ (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .Y(_2238_),
+ sky130_fd_sc_hd__and2_4 _6046_ (.A(\u_spictrl.spi_fall ),
+    .B(_2237_),
+    .X(_2238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4745_ (.A1(_2238_),
-    .A2(_2234_),
-    .B1(_2214_),
-    .X(_2239_),
+ sky130_fd_sc_hd__inv_2 _6047_ (.A(_2238_),
+    .Y(_2239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4746_ (.A1_N(_1882_),
-    .A2_N(_2239_),
-    .B1(_1882_),
-    .B2(_2239_),
+ sky130_fd_sc_hd__buf_2 _6048_ (.A(\u_spictrl.u_txreg.tx_CS ),
     .X(_2240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4747_ (.A(_0762_),
-    .B(_2233_),
-    .C(_2237_),
-    .D(_2240_),
+ sky130_fd_sc_hd__or2_4 _6049_ (.A(\u_spictrl.spi_fall ),
+    .B(psn_net_25),
     .X(_2241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4748_ (.A1(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .A2(_2214_),
-    .B1_N(_2215_),
+ sky130_fd_sc_hd__inv_2 _6050_ (.A(_2241_),
     .Y(_2242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4749_ (.A1_N(_1879_),
-    .A2_N(_2242_),
-    .B1(_1879_),
+ sky130_fd_sc_hd__a2bb2o_4 _6051_ (.A1_N(_2218_),
+    .A2_N(_2239_),
+    .B1(_2240_),
     .B2(_2242_),
-    .X(_2243_),
+    .X(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4750_ (.A1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .A2(_2215_),
-    .B1_N(_2216_),
-    .Y(_2244_),
+ sky130_fd_sc_hd__inv_2 _6052_ (.A(_2236_),
+    .Y(_2243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4751_ (.A1_N(_1876_),
-    .A2_N(_2244_),
-    .B1(_1876_),
-    .B2(_2244_),
+ sky130_fd_sc_hd__or2_4 _6053_ (.A(_2224_),
+    .B(_2243_),
+    .X(_2244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6054_ (.A(_2244_),
+    .Y(_0059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6055_ (.A(psn_net_22),
+    .B(_0059_),
     .X(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4752_ (.A1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .A2(_2216_),
-    .B1_N(_2217_),
+ sky130_fd_sc_hd__and2_4 _6056_ (.A(_2241_),
+    .B(_2245_),
     .X(_2246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4753_ (.A1_N(_1872_),
-    .A2_N(_2246_),
-    .B1(_1872_),
-    .B2(_2246_),
+ sky130_fd_sc_hd__buf_2 _6057_ (.A(_2246_),
     .X(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4754_ (.A(_2247_),
+ sky130_fd_sc_hd__inv_2 _6058_ (.A(\u_spictrl.spi_fall ),
     .Y(_2248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4755_ (.A(_2241_),
-    .B(_2243_),
-    .C(_2245_),
-    .D(_2248_),
+ sky130_fd_sc_hd__or2_4 _6059_ (.A(_2248_),
+    .B(psn_net_19),
     .X(_2249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4756_ (.A1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .A2(_2217_),
-    .B1_N(_2218_),
+ sky130_fd_sc_hd__buf_2 _6060_ (.A(_2249_),
     .X(_2250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4757_ (.A1_N(_1870_),
-    .A2_N(_2250_),
-    .B1(_1870_),
-    .B2(_2250_),
+ sky130_fd_sc_hd__or2_4 _6061_ (.A(\u_spictrl.s_spi_mode[1] ),
+    .B(\u_spictrl.s_spi_mode[0] ),
     .X(_2251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4758_ (.A1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .A2(_2218_),
-    .B1_N(_2219_),
+ sky130_fd_sc_hd__inv_2 _6062_ (.A(_2251_),
     .Y(_2252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4759_ (.A1_N(_1866_),
-    .A2_N(_2252_),
-    .B1(_1866_),
-    .B2(_2252_),
+ sky130_fd_sc_hd__buf_2 _6063_ (.A(_2252_),
     .X(_2253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4760_ (.A1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .A2(_2219_),
-    .B1_N(_2220_),
-    .Y(_2254_),
+ sky130_fd_sc_hd__or2_4 _6064_ (.A(_2244_),
+    .B(_2253_),
+    .X(_2254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4761_ (.A1_N(_1864_),
-    .A2_N(_2254_),
-    .B1(_1864_),
+ sky130_fd_sc_hd__o22a_4 _6065_ (.A1(_2220_),
+    .A2(_2247_),
+    .B1(_2250_),
     .B2(_2254_),
     .X(_2255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4762_ (.A(_2255_),
-    .Y(_2256_),
+ sky130_fd_sc_hd__inv_2 _6066_ (.A(_2255_),
+    .Y(_0690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4763_ (.A(_2249_),
-    .B(_2251_),
-    .C(_2253_),
-    .D(_2256_),
-    .X(_2257_),
+ sky130_fd_sc_hd__buf_2 _6067_ (.A(_2247_),
+    .X(_2256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4764_ (.A1(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .A2(_2220_),
-    .B1_N(_2221_),
+ sky130_fd_sc_hd__inv_2 _6068_ (.A(\u_spictrl.cfg_data_cnt[7] ),
+    .Y(_2257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6069_ (.A(_2246_),
     .Y(_2258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4765_ (.A1_N(_1860_),
-    .A2_N(_2258_),
-    .B1(_1860_),
-    .B2(_2258_),
+ sky130_fd_sc_hd__buf_2 _6070_ (.A(_2251_),
     .X(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4766_ (.A1(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .A2(_2221_),
-    .B1_N(_2222_),
+ sky130_fd_sc_hd__buf_2 _6071_ (.A(_2259_),
     .X(_2260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4767_ (.A(_2260_),
-    .Y(_2261_),
+ sky130_fd_sc_hd__buf_2 _6072_ (.A(_2260_),
+    .X(_2261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4768_ (.A1(_1858_),
-    .A2(_2260_),
-    .B1(_1857_),
-    .B2(_2261_),
+ sky130_fd_sc_hd__buf_2 _6073_ (.A(_2261_),
     .X(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _4769_ (.A1(\u_spictrl.u_txreg.counter_trgt[11] ),
-    .A2(_2222_),
-    .B1_N(_2223_),
-    .Y(_2263_),
+ sky130_fd_sc_hd__buf_2 _6074_ (.A(_2262_),
+    .X(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4770_ (.A1_N(_1853_),
-    .A2_N(_2263_),
-    .B1(_1853_),
-    .B2(_2263_),
+ sky130_fd_sc_hd__or4_4 _6075_ (.A(_2257_),
+    .B(_1889_),
+    .C(_2258_),
+    .D(_2263_),
     .X(_2264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4771_ (.A(_2257_),
-    .B(_2259_),
-    .C(_2262_),
-    .D(_2264_),
-    .X(_2265_),
+ sky130_fd_sc_hd__o21ai_4 _6076_ (.A1(_1913_),
+    .A2(_2256_),
+    .B1(_2264_),
+    .Y(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4772_ (.A(_1847_),
-    .Y(_2266_),
+ sky130_fd_sc_hd__inv_2 _6077_ (.A(\u_spictrl.cfg_data_cnt[6] ),
+    .Y(_2265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4773_ (.A1(\u_spictrl.u_txreg.counter_trgt[13] ),
-    .A2(_2224_),
-    .B1_N(_2225_),
+ sky130_fd_sc_hd__or4_4 _6078_ (.A(_2258_),
+    .B(_2263_),
+    .C(_2265_),
+    .D(_1889_),
+    .X(_2266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _6079_ (.A1(_1923_),
+    .A2(_2256_),
+    .B1(_2266_),
+    .Y(_0688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6080_ (.A(_2241_),
     .X(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4774_ (.A(_2266_),
-    .B(_2267_),
+ sky130_fd_sc_hd__buf_2 _6081_ (.A(_2267_),
     .X(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4775_ (.A(_2266_),
-    .B(_2267_),
-    .Y(_2269_),
+ sky130_fd_sc_hd__buf_2 _6082_ (.A(_1890_),
+    .X(_2269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4776_ (.A1(\u_spictrl.u_txreg.counter_trgt[12] ),
-    .A2(_2223_),
-    .B1_N(_2224_),
+ sky130_fd_sc_hd__buf_2 _6083_ (.A(_2269_),
     .X(_2270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4777_ (.A1_N(_1851_),
-    .A2_N(_2270_),
-    .B1(_1851_),
-    .B2(_2270_),
+ sky130_fd_sc_hd__buf_2 _6084_ (.A(_2270_),
     .X(_2271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4778_ (.A1(_2213_),
-    .A2(_2226_),
-    .B1(_2271_),
+ sky130_fd_sc_hd__buf_2 _6085_ (.A(_2252_),
     .X(_2272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4779_ (.A(_2265_),
-    .B(_2268_),
-    .C(_2269_),
-    .D(_2272_),
+ sky130_fd_sc_hd__buf_2 _6086_ (.A(_2272_),
     .X(_2273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4780_ (.A(_2228_),
-    .B(_2231_),
-    .C(_2232_),
-    .D(_2273_),
+ sky130_fd_sc_hd__buf_2 _6087_ (.A(_2273_),
     .X(_2274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4781_ (.A(_2274_),
-    .B(_1555_),
+ sky130_fd_sc_hd__and2_4 _6088_ (.A(\u_spictrl.cfg_data_cnt[5] ),
+    .B(_2270_),
     .X(_2275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4782_ (.A1(_1840_),
-    .A2(_1828_),
-    .B1(_2275_),
-    .Y(_0124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4783_ (.A1_N(_1957_),
-    .A2_N(_1628_),
-    .B1(_1957_),
-    .B2(_1987_),
-    .X(_0123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4784_ (.A(_0735_),
-    .B(_1407_),
-    .C(_1406_),
-    .D(_1739_),
+ sky130_fd_sc_hd__a32o_4 _6089_ (.A1(\u_spictrl.cfg_data_cnt[7] ),
+    .A2(_2271_),
+    .A3(_2262_),
+    .B1(_2274_),
+    .B2(_2275_),
     .X(_2276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4785_ (.A1(psn_net_118),
-    .A2(_1492_),
-    .B1(_2276_),
-    .Y(_2277_),
+ sky130_fd_sc_hd__buf_2 _6090_ (.A(_2258_),
+    .X(_2277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4786_ (.A(psn_net_261),
-    .B(_2277_),
-    .X(_2278_),
+ sky130_fd_sc_hd__a32o_4 _6091_ (.A1(_2268_),
+    .A2(_2245_),
+    .A3(_2276_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .B2(_2277_),
+    .X(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4787_ (.A(_2278_),
+ sky130_fd_sc_hd__inv_2 _6092_ (.A(\u_spictrl.cfg_data_cnt[4] ),
+    .Y(_2278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6093_ (.A(_2261_),
     .X(_2279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4788_ (.A(_1391_),
+ sky130_fd_sc_hd__a2bb2o_4 _6094_ (.A1_N(_2278_),
+    .A2_N(_2279_),
+    .B1(\u_spictrl.cfg_data_cnt[6] ),
+    .B2(_2279_),
     .X(_2280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4789_ (.A(_2280_),
+ sky130_fd_sc_hd__buf_2 _6095_ (.A(_2247_),
     .X(_2281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4790_ (.A(_1526_),
+ sky130_fd_sc_hd__a32o_4 _6096_ (.A1(_2271_),
+    .A2(_2280_),
+    .A3(_2281_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B2(_2277_),
+    .X(_0686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6097_ (.A(_2273_),
     .X(_2282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4791_ (.A(_2282_),
+ sky130_fd_sc_hd__a32o_4 _6098_ (.A1(\u_spictrl.cfg_data_cnt[3] ),
+    .A2(_2270_),
+    .A3(_2282_),
+    .B1(_2262_),
+    .B2(_2275_),
     .X(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4792_ (.A(_0802_),
-    .Y(_2284_),
+ sky130_fd_sc_hd__a32o_4 _6099_ (.A1(_2268_),
+    .A2(_2245_),
+    .A3(_2283_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .B2(_2277_),
+    .X(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4793_ (.A(_2284_),
-    .B(psn_net_40),
+ sky130_fd_sc_hd__a2bb2o_4 _6100_ (.A1_N(_2278_),
+    .A2_N(_2274_),
+    .B1(\u_spictrl.cfg_data_cnt[2] ),
+    .B2(_2274_),
+    .X(_2284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6101_ (.A(_2258_),
     .X(_2285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4794_ (.A1_N(_2283_),
-    .A2_N(_2285_),
-    .B1(psn_net_233),
-    .B2(_2283_),
+ sky130_fd_sc_hd__a32o_4 _6102_ (.A1(_2271_),
+    .A2(_2284_),
+    .A3(_2281_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .B2(_2285_),
+    .X(_0684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6103_ (.A(_2234_),
     .X(_2286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4795_ (.A1(_0775_),
-    .A2(_0779_),
-    .B1(_0790_),
+ sky130_fd_sc_hd__o21a_4 _6104_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
+    .B1(_2286_),
     .X(_2287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4796_ (.A1(_1387_),
-    .A2(_2287_),
-    .B1(_1241_),
-    .B2(_0779_),
+ sky130_fd_sc_hd__buf_2 _6105_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
     .X(_2288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4797_ (.A(_1397_),
-    .B(_2288_),
+ sky130_fd_sc_hd__buf_2 _6106_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
     .X(_2289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4798_ (.A1(_0779_),
-    .A2(_1640_),
-    .B1(_1406_),
-    .B2(_1445_),
+ sky130_fd_sc_hd__buf_2 _6107_ (.A(_2289_),
     .X(_2290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4799_ (.A(_2289_),
-    .B(_2290_),
+ sky130_fd_sc_hd__buf_2 _6108_ (.A(_2290_),
     .X(_2291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4800_ (.A(_2291_),
+ sky130_fd_sc_hd__buf_2 _6109_ (.A(_2230_),
     .X(_2292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4801_ (.A(_2292_),
+ sky130_fd_sc_hd__o21a_4 _6110_ (.A1(_2288_),
+    .A2(_2291_),
+    .B1(psn_net_35),
     .X(_2293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4802_ (.A1(_2281_),
-    .A2(_1632_),
-    .B1(_2286_),
-    .B2(_2293_),
+ sky130_fd_sc_hd__buf_2 _6111_ (.A(_2293_),
     .X(_2294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4803_ (.A(_2294_),
-    .Y(_2295_),
+ sky130_fd_sc_hd__a211o_4 _6112_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_2269_),
+    .B1(_2287_),
+    .C1(_2294_),
+    .X(_2295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4804_ (.A(_2278_),
-    .Y(_2296_),
+ sky130_fd_sc_hd__a32o_4 _6113_ (.A1(\u_spictrl.cfg_data_cnt[3] ),
+    .A2(_2270_),
+    .A3(_2262_),
+    .B1(_2282_),
+    .B2(_2295_),
+    .X(_2296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4805_ (.A(_2296_),
+ sky130_fd_sc_hd__a32o_4 _6114_ (.A1(_2268_),
+    .A2(_2245_),
+    .A3(_2296_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B2(_2285_),
+    .X(_0683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6115_ (.A(_2282_),
     .X(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4806_ (.A1(_1628_),
-    .A2(_2279_),
-    .A3(_2295_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[15] ),
-    .B2(_2297_),
-    .X(_0122_),
+ sky130_fd_sc_hd__inv_2 _6116_ (.A(_2289_),
+    .Y(_2298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4807_ (.A(_1677_),
-    .X(_2298_),
+ sky130_fd_sc_hd__buf_2 _6117_ (.A(_2298_),
+    .X(_2299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4808_ (.A(_1659_),
-    .Y(_2299_),
+ sky130_fd_sc_hd__buf_2 _6118_ (.A(_2299_),
+    .X(_2300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4809_ (.A(_2291_),
-    .Y(_2300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4810_ (.A(_1526_),
+ sky130_fd_sc_hd__buf_2 _6119_ (.A(_2292_),
     .X(_2301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4811_ (.A(_2301_),
+ sky130_fd_sc_hd__buf_2 _6120_ (.A(psn_net_32),
     .X(_2302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4812_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[14] ),
-    .B(_1787_),
-    .C(_2284_),
+ sky130_fd_sc_hd__a2bb2o_4 _6121_ (.A1_N(\u_spictrl.cfg_dummy_cnt[0] ),
+    .A2_N(_2233_),
+    .B1(\u_spictrl.cfg_data_cnt[0] ),
+    .B2(_2269_),
     .X(_2303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4813_ (.A(_1441_),
+ sky130_fd_sc_hd__a211o_4 _6122_ (.A1(_2300_),
+    .A2(_2302_),
+    .B1(_2228_),
+    .C1(_2303_),
     .X(_2304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4814_ (.A1(_2302_),
-    .A2(_2303_),
-    .B1(_2299_),
+ sky130_fd_sc_hd__a32o_4 _6123_ (.A1(\u_spictrl.cfg_data_cnt[2] ),
+    .A2(_2271_),
+    .A3(_2279_),
+    .B1(_2297_),
     .B2(_2304_),
     .X(_2305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4815_ (.A1(_2299_),
-    .A2(_1788_),
-    .A3(_1713_),
-    .B1(_2300_),
+ sky130_fd_sc_hd__a2bb2o_4 _6124_ (.A1_N(_1947_),
+    .A2_N(_2256_),
+    .B1(_2256_),
     .B2(_2305_),
+    .X(_0682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6125_ (.A(_2289_),
     .X(_2306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4816_ (.A1(_2298_),
-    .A2(_2279_),
-    .A3(_2306_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[14] ),
-    .B2(_2297_),
-    .X(_0121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4817_ (.A1_N(_1436_),
-    .A2_N(_2302_),
-    .B1(psn_net_18),
-    .B2(_2302_),
+ sky130_fd_sc_hd__buf_2 _6126_ (.A(_2306_),
     .X(_2307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4818_ (.A1(_2280_),
-    .A2(_1672_),
-    .B1(_2292_),
-    .B2(_2307_),
-    .X(_2308_),
+ sky130_fd_sc_hd__inv_2 _6127_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .Y(_2308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4819_ (.A(_1809_),
+ sky130_fd_sc_hd__buf_2 _6128_ (.A(_2308_),
     .X(_2309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4820_ (.A1(_1678_),
-    .A2(_2308_),
-    .B1(_2309_),
-    .B2(_2294_),
+ sky130_fd_sc_hd__inv_2 _6129_ (.A(psn_net_34),
     .Y(_2310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4821_ (.A(_2279_),
+ sky130_fd_sc_hd__or2_4 _6130_ (.A(_2309_),
+    .B(_2310_),
     .X(_2311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4822_ (.A1(_2297_),
-    .A2(_2310_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[13] ),
-    .B2(_2311_),
-    .X(_0120_),
+ sky130_fd_sc_hd__inv_2 _6131_ (.A(_2311_),
+    .Y(_2312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4823_ (.A(_0561_),
-    .B(_1760_),
-    .C(_1806_),
-    .D(_1391_),
-    .X(_2312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4824_ (.A(_0560_),
-    .B(_2301_),
+ sky130_fd_sc_hd__buf_2 _6132_ (.A(_2312_),
     .X(_2313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4825_ (.A(\u_spim_regs.reg2spi_dummy_rd_len[12] ),
-    .B(_2282_),
-    .Y(_2314_),
+ sky130_fd_sc_hd__a32o_4 _6133_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
+    .A3(_2286_),
+    .B1(_2307_),
+    .B2(_2313_),
+    .X(_2314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4826_ (.A(_1815_),
-    .B(_2313_),
-    .C(_2314_),
-    .D(_2291_),
+ sky130_fd_sc_hd__o22a_4 _6134_ (.A1(_2274_),
+    .A2(_2295_),
+    .B1(_2263_),
+    .B2(_2314_),
     .X(_2315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4827_ (.A(_2312_),
-    .B(_2315_),
+ sky130_fd_sc_hd__o22a_4 _6135_ (.A1(_2277_),
+    .A2(_2315_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .B2(_2281_),
+    .X(_0681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6136_ (.A(_2279_),
     .X(_2316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4828_ (.A(_2316_),
-    .Y(_2317_),
+ sky130_fd_sc_hd__a32o_4 _6137_ (.A1(_2316_),
+    .A2(_2304_),
+    .A3(_2281_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .B2(_2285_),
+    .X(_0680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4829_ (.A1(_2317_),
-    .A2(_2209_),
-    .B1(_1627_),
-    .B2(_2306_),
+ sky130_fd_sc_hd__a32o_4 _6138_ (.A1(_2316_),
+    .A2(_2314_),
+    .A3(_2247_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .B2(_2285_),
+    .X(_0679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6139_ (.A(_2240_),
+    .B(_1410_),
+    .X(_2317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6140_ (.A(_2317_),
     .X(_2318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4830_ (.A1(_2297_),
-    .A2(_2318_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[12] ),
-    .B2(_2311_),
-    .X(_0119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4831_ (.A(_2296_),
+ sky130_fd_sc_hd__and4_4 _6141_ (.A(\u_spictrl.u_txreg.tx_CS ),
+    .B(psn_net_28),
+    .C(_2221_),
+    .D(psn_net_61),
     .X(_2319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4832_ (.A1_N(psn_net_45),
-    .A2_N(_2283_),
-    .B1(_0719_),
-    .B2(_2283_),
+ sky130_fd_sc_hd__or2_4 _6142_ (.A(_2242_),
+    .B(_2319_),
     .X(_2320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4833_ (.A1(_2281_),
-    .A2(_1689_),
-    .B1(_2293_),
-    .B2(_2320_),
-    .X(_2321_),
+ sky130_fd_sc_hd__inv_2 _6143_ (.A(_2320_),
+    .Y(_2321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4834_ (.A1(_2308_),
-    .A2(_1784_),
-    .B1(_2309_),
-    .B2(_2321_),
+ sky130_fd_sc_hd__buf_2 _6144_ (.A(_2321_),
     .X(_2322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4835_ (.A1(\u_spictrl.u_rxreg.counter_trgt[11] ),
-    .A2(_2319_),
-    .B1_N(_2322_),
-    .X(_0118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4836_ (.A(_0567_),
-    .B(_1704_),
-    .C(_1705_),
-    .D(_1786_),
+ sky130_fd_sc_hd__buf_2 _6145_ (.A(_2320_),
     .X(_2323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4837_ (.A(_2290_),
+ sky130_fd_sc_hd__buf_2 _6146_ (.A(_2323_),
     .X(_2324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4838_ (.A(_1526_),
+ sky130_fd_sc_hd__a32o_4 _6147_ (.A1(_1922_),
+    .A2(_2318_),
+    .A3(_2322_),
+    .B1(\u_spictrl.u_txreg.counter[15] ),
+    .B2(_2324_),
+    .X(_0678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6148_ (.A1(_1926_),
+    .A2(_2318_),
+    .A3(_2322_),
+    .B1(\u_spictrl.u_txreg.counter[14] ),
+    .B2(_2324_),
+    .X(_0677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6149_ (.A1(_1974_),
+    .A2(_2318_),
+    .A3(_2322_),
+    .B1(\u_spictrl.u_txreg.counter[13] ),
+    .B2(_2324_),
+    .X(_0676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6150_ (.A1(_1965_),
+    .A2(_2318_),
+    .A3(_2322_),
+    .B1(\u_spictrl.u_txreg.counter[12] ),
+    .B2(_2324_),
+    .X(_0675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6151_ (.A(_2317_),
     .X(_2325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4839_ (.A(_0567_),
-    .B(_2325_),
+ sky130_fd_sc_hd__buf_2 _6152_ (.A(_2323_),
     .X(_2326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4840_ (.A(_0509_),
-    .B(_1441_),
+ sky130_fd_sc_hd__a32o_4 _6153_ (.A1(_1975_),
+    .A2(_2325_),
+    .A3(_2321_),
+    .B1(\u_spictrl.u_txreg.counter[11] ),
+    .B2(_2326_),
+    .X(_0674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6154_ (.A(psn_net_60),
+    .B(_2321_),
     .X(_2327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4841_ (.A(_1703_),
-    .B(_2289_),
+ sky130_fd_sc_hd__buf_2 _6155_ (.A(_2327_),
     .X(_2328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4842_ (.A(_2324_),
-    .B(_2326_),
-    .C(_2327_),
-    .D(_2328_),
+ sky130_fd_sc_hd__buf_2 _6156_ (.A(_2328_),
     .X(_2329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4843_ (.A(_2323_),
-    .B(_2329_),
+ sky130_fd_sc_hd__a32o_4 _6157_ (.A1(_1912_),
+    .A2(_2325_),
+    .A3(_2329_),
+    .B1(\u_spictrl.u_txreg.counter[10] ),
+    .B2(_2326_),
+    .X(_0673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6158_ (.A1(_1925_),
+    .A2(_2325_),
+    .A3(_2329_),
+    .B1(\u_spictrl.u_txreg.counter[9] ),
+    .B2(_2326_),
+    .X(_0672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6159_ (.A1(_1969_),
+    .A2(_2325_),
+    .A3(_2329_),
+    .B1(\u_spictrl.u_txreg.counter[8] ),
+    .B2(_2326_),
+    .X(_0671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6160_ (.A(_2317_),
     .X(_2330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4844_ (.A1(_2316_),
-    .A2(_1784_),
-    .B1(_2309_),
-    .B2(_2330_),
+ sky130_fd_sc_hd__buf_2 _6161_ (.A(_2323_),
     .X(_2331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4845_ (.A1(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .A2(_2319_),
-    .B1_N(_2331_),
-    .X(_0117_),
+ sky130_fd_sc_hd__a32o_4 _6162_ (.A1(_1960_),
+    .A2(_2330_),
+    .A3(_2329_),
+    .B1(\u_spictrl.u_txreg.counter[7] ),
+    .B2(_2331_),
+    .X(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4846_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .Y(_2332_),
+ sky130_fd_sc_hd__buf_2 _6163_ (.A(_2327_),
+    .X(_2332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4847_ (.A(_2279_),
+ sky130_fd_sc_hd__a32o_4 _6164_ (.A1(_1952_),
+    .A2(_2330_),
+    .A3(_2332_),
+    .B1(\u_spictrl.u_txreg.counter[6] ),
+    .B2(_2331_),
+    .X(_0669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6165_ (.A1(_1931_),
+    .A2(_2330_),
+    .A3(_2332_),
+    .B1(\u_spictrl.u_txreg.counter[5] ),
+    .B2(_2331_),
+    .X(_0668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6166_ (.A1(_1956_),
+    .A2(_2330_),
+    .A3(_2332_),
+    .B1(\u_spictrl.u_txreg.counter[4] ),
+    .B2(_2331_),
+    .X(_0667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6167_ (.A(_2317_),
     .X(_2333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4848_ (.A(_1707_),
-    .Y(_2334_),
+ sky130_fd_sc_hd__buf_2 _6168_ (.A(_2323_),
+    .X(_2334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4849_ (.A(_2301_),
-    .X(_2335_),
+ sky130_fd_sc_hd__a32o_4 _6169_ (.A1(_1949_),
+    .A2(_2333_),
+    .A3(_2332_),
+    .B1(\u_spictrl.u_txreg.counter[3] ),
+    .B2(_2334_),
+    .X(_0666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4850_ (.A1(_1428_),
-    .A2(_2335_),
-    .B1(_2334_),
-    .B2(_1442_),
+ sky130_fd_sc_hd__a32o_4 _6170_ (.A1(psn_net_63),
+    .A2(_2333_),
+    .A3(_2328_),
+    .B1(\u_spictrl.u_txreg.counter[2] ),
+    .B2(_2334_),
+    .X(_0665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6171_ (.A1(_1939_),
+    .A2(_2333_),
+    .A3(_2328_),
+    .B1(\u_spictrl.u_txreg.counter[1] ),
+    .B2(_2334_),
+    .X(_0664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6172_ (.A1(_1936_),
+    .A2(_2333_),
+    .A3(_2328_),
+    .B1(_1935_),
+    .B2(_2334_),
+    .X(_0663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6173_ (.A(\u_spictrl.u_txreg.data_int[31] ),
+    .Y(_2335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6174_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .B(_2298_),
     .X(_2336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4851_ (.A1(_2334_),
-    .A2(_1788_),
-    .A3(_1813_),
-    .B1(_2300_),
-    .B2(_2336_),
+ sky130_fd_sc_hd__buf_2 _6175_ (.A(_2336_),
     .X(_2337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4852_ (.A(_2337_),
-    .Y(_2338_),
+ sky130_fd_sc_hd__buf_2 _6176_ (.A(_2337_),
+    .X(_2338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4853_ (.A1(_2298_),
-    .A2(_2321_),
-    .B1(_2338_),
-    .B2(_2199_),
+ sky130_fd_sc_hd__buf_2 _6177_ (.A(_2298_),
     .X(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4854_ (.A1(_2332_),
-    .A2(_2333_),
-    .B1(_2339_),
-    .Y(_0116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4855_ (.A(_2296_),
+ sky130_fd_sc_hd__buf_2 _6178_ (.A(_1894_),
     .X(_2340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4856_ (.A(_1693_),
+ sky130_fd_sc_hd__buf_2 _6179_ (.A(_2340_),
     .X(_2341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4857_ (.A(_2282_),
+ sky130_fd_sc_hd__buf_2 _6180_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
     .X(_2342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4858_ (.A1_N(_1427_),
-    .A2_N(_2342_),
-    .B1(psn_net_229),
-    .B2(_2342_),
+ sky130_fd_sc_hd__buf_2 _6181_ (.A(_2342_),
     .X(_2343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4859_ (.A1(_2281_),
-    .A2(_1714_),
-    .B1(_2293_),
-    .B2(_2343_),
-    .X(_2344_),
+ sky130_fd_sc_hd__inv_2 _6182_ (.A(\u_m0_cmd_fifo.mem[0][23] ),
+    .Y(_2344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4860_ (.A1(_2341_),
-    .A2(_2330_),
-    .B1(_2344_),
-    .B2(_2309_),
-    .X(_2345_),
+ sky130_fd_sc_hd__inv_2 _6183_ (.A(\u_m0_cmd_fifo.mem[1][23] ),
+    .Y(_2345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4861_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .A2(_2340_),
-    .B1_N(_2345_),
-    .X(_0115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4862_ (.A1_N(_2342_),
-    .A2_N(psn_net_31),
-    .B1(_1720_),
-    .B2(_2342_),
+ sky130_fd_sc_hd__o22a_4 _6184_ (.A1(_2343_),
+    .A2(_2344_),
+    .B1(_2001_),
+    .B2(_2345_),
     .X(_2346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4863_ (.A1(_2281_),
-    .A2(_1721_),
-    .B1(_2293_),
-    .B2(_2346_),
+ sky130_fd_sc_hd__buf_2 _6185_ (.A(\u_spictrl.gnt[2] ),
     .X(_2347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4864_ (.A1(_2341_),
-    .A2(_2338_),
-    .B1(_1670_),
-    .B2(_2347_),
+ sky130_fd_sc_hd__buf_2 _6186_ (.A(_2347_),
     .X(_2348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4865_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .A2(_2340_),
-    .B1_N(_2348_),
-    .X(_0114_),
+ sky130_fd_sc_hd__buf_2 _6187_ (.A(_1879_),
+    .X(_2349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4866_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .Y(_2349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4867_ (.A(_1727_),
-    .B(_1994_),
-    .C(_1705_),
-    .D(_1786_),
+ sky130_fd_sc_hd__buf_2 _6188_ (.A(_2349_),
     .X(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4868_ (.A(_1727_),
-    .B(_2301_),
+ sky130_fd_sc_hd__buf_2 _6189_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
     .X(_2351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4869_ (.A(_1186_),
-    .B(_1441_),
+ sky130_fd_sc_hd__buf_2 _6190_ (.A(_2351_),
     .X(_2352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4870_ (.A(_2324_),
-    .B(_2351_),
-    .C(_2352_),
-    .D(_2328_),
+ sky130_fd_sc_hd__buf_2 _6191_ (.A(_2352_),
     .X(_2353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4871_ (.A(_2350_),
-    .B(_2353_),
+ sky130_fd_sc_hd__and2_4 _6192_ (.A(_2353_),
+    .B(\u_m1_cmd_fifo.mem[3][23] ),
     .X(_2354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4872_ (.A1(_2298_),
-    .A2(_2344_),
-    .B1(_2354_),
-    .B2(psn_net_170),
+ sky130_fd_sc_hd__a211o_4 _6193_ (.A1(_2350_),
+    .A2(\u_m1_cmd_fifo.mem[2][23] ),
+    .B1(_1986_),
+    .C1(_2354_),
     .X(_2355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4873_ (.A1(_2349_),
-    .A2(_2333_),
-    .B1(_2355_),
-    .Y(_0113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _4874_ (.A1(\u_spim_regs.reg2spi_dummy_rd_len[5] ),
-    .A2(_1760_),
-    .A3(_2335_),
-    .B1(_0721_),
-    .B2(_2304_),
+ sky130_fd_sc_hd__buf_2 _6194_ (.A(_2351_),
     .X(_2356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4875_ (.A1(_0721_),
-    .A2(_1788_),
-    .A3(_1813_),
-    .B1(_2300_),
-    .B2(_2356_),
+ sky130_fd_sc_hd__buf_2 _6195_ (.A(_2356_),
     .X(_2357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4876_ (.A(_2357_),
-    .Y(_2358_),
+ sky130_fd_sc_hd__and2_4 _6196_ (.A(_2349_),
+    .B(\u_m1_cmd_fifo.mem[0][23] ),
+    .X(_2358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4877_ (.A1(_2347_),
-    .A2(_2341_),
-    .B1(_1670_),
-    .B2(_2358_),
+ sky130_fd_sc_hd__a211o_4 _6197_ (.A1(_2357_),
+    .A2(\u_m1_cmd_fifo.mem[1][23] ),
+    .B1(_1877_),
+    .C1(_2358_),
     .X(_2359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4878_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .A2(_2340_),
-    .B1_N(_2359_),
-    .X(_0112_),
+ sky130_fd_sc_hd__nand2_4 _6198_ (.A(_2355_),
+    .B(_2359_),
+    .Y(_2360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4879_ (.A(_1751_),
-    .B(_1654_),
-    .C(_1655_),
-    .D(_1786_),
-    .X(_2360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4880_ (.A1_N(\u_spim_regs.reg2spi_dummy_rd_len[4] ),
-    .A2_N(_2282_),
-    .B1(_1751_),
-    .B2(_2325_),
+ sky130_fd_sc_hd__o22a_4 _6199_ (.A1(_2341_),
+    .A2(_2346_),
+    .B1(_2348_),
+    .B2(_2360_),
     .X(_2361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4881_ (.A(_1704_),
-    .B(_2289_),
-    .C(_2361_),
-    .D(_2324_),
+ sky130_fd_sc_hd__or2_4 _6200_ (.A(_2308_),
+    .B(\u_spictrl.cfg_addr_cnt[0] ),
     .X(_2362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4882_ (.A(_2360_),
-    .B(_2362_),
+ sky130_fd_sc_hd__buf_2 _6201_ (.A(_2362_),
     .X(_2363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4883_ (.A1(_2341_),
-    .A2(_2354_),
-    .B1(_2363_),
-    .B2(_1670_),
+ sky130_fd_sc_hd__buf_2 _6202_ (.A(_2363_),
     .X(_2364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _4884_ (.A1(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .A2(_2340_),
-    .B1_N(_2364_),
-    .X(_0111_),
+ sky130_fd_sc_hd__buf_2 _6203_ (.A(_2340_),
+    .X(_2365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4885_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .Y(_2365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4886_ (.A1(\u_spim_regs.reg2spi_dummy_rd_len[3] ),
-    .A2(_1968_),
-    .B1(_2335_),
+ sky130_fd_sc_hd__buf_2 _6204_ (.A(_2365_),
     .X(_2366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4887_ (.A1(_0538_),
-    .A2(_1603_),
-    .B1(_1442_),
+ sky130_fd_sc_hd__buf_2 _6205_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
     .X(_2367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4888_ (.A1(_2366_),
-    .A2(_2367_),
-    .A3(_2300_),
-    .B1(_1813_),
-    .B2(_1769_),
+ sky130_fd_sc_hd__buf_2 _6206_ (.A(_2367_),
     .X(_2368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4889_ (.A(_2368_),
+ sky130_fd_sc_hd__inv_2 _6207_ (.A(\u_m0_cmd_fifo.mem[0][31] ),
     .Y(_2369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4890_ (.A1(_2298_),
-    .A2(_2358_),
-    .B1(_2369_),
-    .B2(psn_net_170),
+ sky130_fd_sc_hd__buf_2 _6208_ (.A(_2000_),
     .X(_2370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4891_ (.A1(_2365_),
-    .A2(_2333_),
-    .B1(_2370_),
-    .Y(_0110_),
+ sky130_fd_sc_hd__buf_2 _6209_ (.A(_2370_),
+    .X(_2371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4892_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .Y(_2371_),
+ sky130_fd_sc_hd__inv_2 _6210_ (.A(\u_m0_cmd_fifo.mem[1][31] ),
+    .Y(_2372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4893_ (.A(_1789_),
-    .B(_1994_),
-    .C(_1806_),
-    .D(_1391_),
-    .X(_2372_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _4894_ (.A1_N(\u_spim_regs.reg2spi_dummy_rd_len[2] ),
-    .A2_N(_2325_),
-    .B1(_1789_),
-    .B2(_2325_),
+ sky130_fd_sc_hd__o22a_4 _6211_ (.A1(_2368_),
+    .A2(_2369_),
+    .B1(_2371_),
+    .B2(_2372_),
     .X(_2373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4895_ (.A(_1964_),
-    .B(_2289_),
-    .C(_2373_),
-    .D(_2324_),
+ sky130_fd_sc_hd__buf_2 _6212_ (.A(_1879_),
     .X(_2374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4896_ (.A(_2372_),
-    .B(_2374_),
+ sky130_fd_sc_hd__buf_2 _6213_ (.A(_2374_),
     .X(_2375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4897_ (.A1(_1678_),
-    .A2(_2363_),
-    .B1(_2375_),
-    .B2(psn_net_170),
+ sky130_fd_sc_hd__buf_2 _6214_ (.A(_1085_),
     .X(_2376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4898_ (.A1(_2371_),
-    .A2(_2333_),
-    .B1(_2376_),
-    .Y(_0109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4899_ (.A(_0543_),
-    .B(_2151_),
-    .C(_1631_),
-    .D(_2280_),
+ sky130_fd_sc_hd__buf_2 _6215_ (.A(_2376_),
     .X(_2377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4900_ (.A(_0543_),
-    .B(_2302_),
+ sky130_fd_sc_hd__buf_2 _6216_ (.A(_2352_),
     .X(_2378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4901_ (.A(_1277_),
-    .B(_2304_),
+ sky130_fd_sc_hd__and2_4 _6217_ (.A(_2378_),
+    .B(\u_m1_cmd_fifo.mem[3][31] ),
     .X(_2379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4902_ (.A(_1942_),
-    .B(_2378_),
-    .C(_2379_),
-    .D(_2292_),
+ sky130_fd_sc_hd__a211o_4 _6218_ (.A1(_2375_),
+    .A2(\u_m1_cmd_fifo.mem[2][31] ),
+    .B1(_2377_),
+    .C1(_2379_),
     .X(_2380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4903_ (.A1(_2377_),
-    .A2(_2380_),
-    .A3(_1627_),
-    .B1(_2369_),
-    .B2(_1750_),
+ sky130_fd_sc_hd__buf_2 _6219_ (.A(_2351_),
     .X(_2381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4904_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .Y(_2382_),
+ sky130_fd_sc_hd__buf_2 _6220_ (.A(_2381_),
+    .X(_2382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4905_ (.A1(_2381_),
-    .A2(_2311_),
-    .B1(_2382_),
-    .B2(_2319_),
-    .Y(_0108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4906_ (.A(_1814_),
-    .B(_2151_),
-    .C(_1631_),
-    .D(_2280_),
+ sky130_fd_sc_hd__buf_2 _6221_ (.A(_1876_),
     .X(_2383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4907_ (.A(_1814_),
-    .B(_2335_),
+ sky130_fd_sc_hd__buf_2 _6222_ (.A(_2383_),
     .X(_2384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4908_ (.A(_1291_),
-    .B(_2304_),
+ sky130_fd_sc_hd__buf_2 _6223_ (.A(_1081_),
     .X(_2385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4909_ (.A(_1942_),
-    .B(_2384_),
-    .C(_2385_),
-    .D(_2292_),
+ sky130_fd_sc_hd__buf_2 _6224_ (.A(_2385_),
     .X(_2386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4910_ (.A1(_2383_),
-    .A2(_2386_),
-    .A3(_1627_),
-    .B1(_2375_),
-    .B2(psn_net_171),
+ sky130_fd_sc_hd__and2_4 _6225_ (.A(_2386_),
+    .B(\u_m1_cmd_fifo.mem[0][31] ),
     .X(_2387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4911_ (.A(\u_spictrl.u_rxreg.counter_trgt[0] ),
-    .Y(_2388_),
+ sky130_fd_sc_hd__a211o_4 _6226_ (.A1(_2382_),
+    .A2(\u_m1_cmd_fifo.mem[1][31] ),
+    .B1(_2384_),
+    .C1(_2387_),
+    .X(_2388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _4912_ (.A1(_2387_),
-    .A2(_2311_),
-    .B1(_2388_),
-    .B2(_2319_),
-    .Y(_0107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4913_ (.A(\u_spictrl.u_rxreg.counter[15] ),
+ sky130_fd_sc_hd__nand2_4 _6227_ (.A(_2380_),
+    .B(_2388_),
     .Y(_2389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4914_ (.A(\u_spictrl.u_rxreg.counter[14] ),
-    .Y(_2390_),
+ sky130_fd_sc_hd__o22a_4 _6228_ (.A1(_2366_),
+    .A2(_2373_),
+    .B1(_2348_),
+    .B2(_2389_),
+    .X(_2390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4915_ (.A(\u_spictrl.u_rxreg.counter[13] ),
-    .Y(_2391_),
+ sky130_fd_sc_hd__a32o_4 _6229_ (.A1(_2288_),
+    .A2(_2339_),
+    .A3(_2361_),
+    .B1(_2364_),
+    .B2(_2390_),
+    .X(_2391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4916_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+ sky130_fd_sc_hd__inv_2 _6230_ (.A(_2293_),
     .Y(_2392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4917_ (.A(\u_spictrl.u_rxreg.counter[11] ),
-    .Y(_2393_),
+ sky130_fd_sc_hd__buf_2 _6231_ (.A(_2340_),
+    .X(_2393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4918_ (.A(\u_spictrl.u_rxreg.counter[10] ),
-    .Y(_2394_),
+ sky130_fd_sc_hd__buf_2 _6232_ (.A(_2393_),
+    .X(_2394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4919_ (.A(\u_spictrl.u_rxreg.counter[9] ),
-    .Y(_2395_),
+ sky130_fd_sc_hd__buf_2 _6233_ (.A(_2367_),
+    .X(_2395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4920_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+ sky130_fd_sc_hd__inv_2 _6234_ (.A(\u_m0_cmd_fifo.mem[0][15] ),
     .Y(_2396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4921_ (.A(\u_spictrl.u_rxreg.counter[7] ),
+ sky130_fd_sc_hd__inv_2 _6235_ (.A(\u_m0_cmd_fifo.mem[1][15] ),
     .Y(_2397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4922_ (.A(\u_spictrl.u_rxreg.counter[3] ),
-    .Y(_2398_),
+ sky130_fd_sc_hd__o22a_4 _6236_ (.A1(_2395_),
+    .A2(_2396_),
+    .B1(_2371_),
+    .B2(_2397_),
+    .X(_2398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4923_ (.A(\u_spictrl.u_rxreg.counter[2] ),
-    .Y(_2399_),
+ sky130_fd_sc_hd__buf_2 _6237_ (.A(_2376_),
+    .X(_2399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4924_ (.A(\u_spictrl.u_rxreg.counter[1] ),
-    .Y(_2400_),
+ sky130_fd_sc_hd__buf_2 _6238_ (.A(_2356_),
+    .X(_2400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4925_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .Y(_2401_),
+ sky130_fd_sc_hd__or2_4 _6239_ (.A(_2400_),
+    .B(\u_m1_cmd_fifo.mem[0][15] ),
+    .X(_2401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4926_ (.A(\u_spictrl.u_rxreg.counter[4] ),
-    .Y(_2402_),
+ sky130_fd_sc_hd__buf_2 _6240_ (.A(_1081_),
+    .X(_2402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4927_ (.A(\u_spictrl.u_rxreg.counter[0] ),
-    .Y(_2403_),
+ sky130_fd_sc_hd__buf_2 _6241_ (.A(_2402_),
+    .X(_2403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4928_ (.A(_2401_),
-    .B(_2402_),
-    .C(_2403_),
+ sky130_fd_sc_hd__or2_4 _6242_ (.A(_2403_),
+    .B(\u_m1_cmd_fifo.mem[1][15] ),
     .X(_2404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4929_ (.A(_2398_),
-    .B(_2399_),
-    .C(_2400_),
-    .D(_2404_),
+ sky130_fd_sc_hd__buf_2 _6243_ (.A(_1880_),
     .X(_2405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4930_ (.A(_2405_),
-    .Y(_2406_),
+ sky130_fd_sc_hd__or2_4 _6244_ (.A(_2405_),
+    .B(\u_m1_cmd_fifo.mem[3][15] ),
+    .X(_2406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _4931_ (.A(\u_spictrl.u_rxreg.counter[6] ),
-    .B(_2406_),
-    .Y(_2407_),
+ sky130_fd_sc_hd__buf_2 _6245_ (.A(_2381_),
+    .X(_2407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4932_ (.A(_2395_),
-    .B(_2396_),
-    .C(_2397_),
-    .D(_2407_),
+ sky130_fd_sc_hd__buf_2 _6246_ (.A(_1876_),
     .X(_2408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4933_ (.A(_2394_),
-    .B(_2408_),
+ sky130_fd_sc_hd__buf_2 _6247_ (.A(_2408_),
     .X(_2409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4934_ (.A(_2391_),
-    .B(_2392_),
-    .C(_2393_),
-    .D(_2409_),
+ sky130_fd_sc_hd__o21a_4 _6248_ (.A1(_2407_),
+    .A2(\u_m1_cmd_fifo.mem[2][15] ),
+    .B1(_2409_),
     .X(_2410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4935_ (.A(_2390_),
-    .B(_0694_),
-    .C(_2410_),
+ sky130_fd_sc_hd__a32o_4 _6249_ (.A1(_2399_),
+    .A2(_2401_),
+    .A3(_2404_),
+    .B1(_2406_),
+    .B2(_2410_),
     .X(_2411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4936_ (.A(_0767_),
+ sky130_fd_sc_hd__a2bb2o_4 _6250_ (.A1_N(_2394_),
+    .A2_N(_2398_),
+    .B1(_2394_),
+    .B2(_2411_),
     .X(_2412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4937_ (.A(_2412_),
-    .B(_0691_),
-    .X(_2413_),
+ sky130_fd_sc_hd__nor2_4 _6251_ (.A(_2337_),
+    .B(_2412_),
+    .Y(_2413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4938_ (.A(_2413_),
-    .Y(_2414_),
+ sky130_fd_sc_hd__a211o_4 _6252_ (.A1(_2338_),
+    .A2(_2391_),
+    .B1(_2392_),
+    .C1(_2413_),
+    .X(_2414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4939_ (.A(_2414_),
-    .X(_2415_),
+ sky130_fd_sc_hd__inv_2 _6253_ (.A(\u_spictrl.spi_mode_cmd[7] ),
+    .Y(_2415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4940_ (.A(_2415_),
+ sky130_fd_sc_hd__buf_2 _6254_ (.A(_2351_),
     .X(_2416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4941_ (.A(_2410_),
-    .Y(_2417_),
+ sky130_fd_sc_hd__buf_2 _6255_ (.A(_2416_),
+    .X(_2417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _4942_ (.A(\u_spictrl.u_rxreg.counter[14] ),
-    .B(_2417_),
-    .C(_0811_),
-    .D(\u_spictrl.u_rxreg.counter[15] ),
+ sky130_fd_sc_hd__buf_2 _6256_ (.A(_2417_),
     .X(_2418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4943_ (.A1(_2389_),
-    .A2(_2411_),
-    .B1(_2416_),
-    .C1(_2418_),
+ sky130_fd_sc_hd__and2_4 _6257_ (.A(_2418_),
+    .B(\u_m1_cmd_fifo.mem[3][7] ),
     .X(_2419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4944_ (.A(_2419_),
-    .Y(_0106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4945_ (.A(_2412_),
-    .B(_0811_),
+ sky130_fd_sc_hd__a211o_4 _6258_ (.A1(_1882_),
+    .A2(\u_m1_cmd_fifo.mem[2][7] ),
+    .B1(_1987_),
+    .C1(_2419_),
     .X(_2420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4946_ (.A1(_2412_),
-    .A2(_2410_),
-    .B1(_0694_),
+ sky130_fd_sc_hd__buf_2 _6259_ (.A(_2357_),
     .X(_2421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4947_ (.A1(_2390_),
-    .A2(_2420_),
-    .A3(_2417_),
-    .B1(\u_spictrl.u_rxreg.counter[14] ),
-    .B2(_2421_),
-    .X(_0105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4948_ (.A(_2394_),
-    .B(_2408_),
-    .C(_0693_),
-    .D(_2393_),
+ sky130_fd_sc_hd__buf_2 _6260_ (.A(_2386_),
     .X(_2422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4949_ (.A(_2422_),
-    .Y(_2423_),
+ sky130_fd_sc_hd__and2_4 _6261_ (.A(_2422_),
+    .B(\u_m1_cmd_fifo.mem[0][7] ),
+    .X(_2423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4950_ (.A(\u_spictrl.u_rxreg.counter[12] ),
-    .B(_2423_),
+ sky130_fd_sc_hd__a211o_4 _6262_ (.A1(_2421_),
+    .A2(\u_m1_cmd_fifo.mem[1][7] ),
+    .B1(_1878_),
+    .C1(_2423_),
     .X(_2424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4951_ (.A1(\u_spictrl.u_rxreg.counter[13] ),
-    .A2(_2424_),
-    .B1(_2421_),
-    .X(_0104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4952_ (.A1(_2392_),
-    .A2(_2422_),
-    .B1(_2416_),
-    .C1(_2424_),
+ sky130_fd_sc_hd__or2_4 _6263_ (.A(_2002_),
+    .B(\u_m0_cmd_fifo.mem[1][7] ),
     .X(_2425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4953_ (.A(_2425_),
-    .Y(_0103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4954_ (.A1(_0693_),
-    .A2(_2409_),
-    .B1(_2393_),
+ sky130_fd_sc_hd__buf_2 _6264_ (.A(_2343_),
     .X(_2426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4955_ (.A(_2416_),
-    .B(_2423_),
-    .C(_2426_),
+ sky130_fd_sc_hd__o21a_4 _6265_ (.A1(_2426_),
+    .A2(\u_m0_cmd_fifo.mem[0][7] ),
+    .B1(_2348_),
     .X(_2427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4956_ (.A(_2427_),
-    .Y(_0102_),
+ sky130_fd_sc_hd__a32o_4 _6266_ (.A1(_2420_),
+    .A2(_2424_),
+    .A3(_2005_),
+    .B1(_2425_),
+    .B2(_2427_),
+    .X(_2428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4957_ (.A(_2408_),
-    .Y(_2428_),
+ sky130_fd_sc_hd__inv_2 _6267_ (.A(_2428_),
+    .Y(_2429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _4958_ (.A1(_2412_),
-    .A2(_2408_),
-    .B1(_0693_),
-    .X(_2429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4959_ (.A1(_2394_),
-    .A2(_2420_),
-    .A3(_2428_),
-    .B1(\u_spictrl.u_rxreg.counter[10] ),
-    .B2(_2429_),
-    .X(_0101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4960_ (.A(_0692_),
-    .B(_2407_),
+ sky130_fd_sc_hd__buf_2 _6268_ (.A(_2227_),
     .X(_2430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4961_ (.A(_2397_),
+ sky130_fd_sc_hd__or2_4 _6269_ (.A(_1892_),
     .B(_2430_),
     .X(_2431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4962_ (.A(_2431_),
-    .Y(_2432_),
+ sky130_fd_sc_hd__buf_2 _6270_ (.A(_2431_),
+    .X(_2432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4963_ (.A(\u_spictrl.u_rxreg.counter[8] ),
-    .B(_2432_),
+ sky130_fd_sc_hd__and4_4 _6271_ (.A(_1891_),
+    .B(psn_net_38),
+    .C(_2309_),
+    .D(_2299_),
     .X(_2433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4964_ (.A1(\u_spictrl.u_rxreg.counter[9] ),
-    .A2(_2433_),
+ sky130_fd_sc_hd__nor2_4 _6272_ (.A(psn_net_3),
+    .B(_2433_),
+    .Y(_2434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6273_ (.A1(_2415_),
+    .A2(_2225_),
     .B1(_2429_),
-    .X(_0100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4965_ (.A1(_2396_),
-    .A2(_2431_),
-    .B1(_2416_),
-    .C1(_2433_),
-    .X(_2434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4966_ (.A(_2434_),
-    .Y(_0099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4967_ (.A1(_2397_),
-    .A2(_2430_),
-    .B1(_2415_),
-    .C1(_2432_),
+    .B2(_2434_),
     .X(_2435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4968_ (.A(_2435_),
-    .Y(_0098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4969_ (.A(\u_spictrl.u_rxreg.counter[6] ),
-    .B(_2406_),
+ sky130_fd_sc_hd__buf_2 _6274_ (.A(_2222_),
     .X(_2436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4970_ (.A1(_2407_),
-    .A2(_2420_),
-    .A3(_2436_),
-    .B1(\u_spictrl.u_rxreg.counter[6] ),
-    .B2(_0692_),
-    .X(_0097_),
+ sky130_fd_sc_hd__inv_2 _6275_ (.A(_2436_),
+    .Y(_2437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4971_ (.A(_2403_),
-    .B(_0691_),
-    .X(_2437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _4972_ (.A(_2398_),
-    .B(_2399_),
-    .C(_2400_),
-    .D(_2437_),
+ sky130_fd_sc_hd__o21a_4 _6276_ (.A1(_2437_),
+    .A2(_2243_),
+    .B1(_2240_),
     .X(_2438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4973_ (.A(_2402_),
-    .B(_2438_),
+ sky130_fd_sc_hd__buf_2 _6277_ (.A(_2438_),
     .X(_2439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4974_ (.A(_2439_),
+ sky130_fd_sc_hd__inv_2 _6278_ (.A(_2439_),
     .Y(_2440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4975_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .B(_2440_),
+ sky130_fd_sc_hd__buf_2 _6279_ (.A(\u_spictrl.u_txreg.en_quad ),
     .X(_2441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4976_ (.A(_2401_),
-    .B(_2439_),
+ sky130_fd_sc_hd__o22a_4 _6280_ (.A1(_2220_),
+    .A2(\u_spictrl.u_txreg.data_int[27] ),
+    .B1(_2441_),
+    .B2(\u_spictrl.u_txreg.data_int[30] ),
     .X(_2442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4977_ (.A(_2413_),
-    .B(_2441_),
-    .C(_2442_),
+ sky130_fd_sc_hd__a2bb2o_4 _6281_ (.A1_N(_2436_),
+    .A2_N(_2442_),
+    .B1(_2335_),
+    .B2(_2436_),
     .X(_2443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4978_ (.A(_2443_),
-    .X(_0096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4979_ (.A1(_2402_),
-    .A2(_2438_),
-    .B1(_2415_),
-    .C1(_2440_),
+ sky130_fd_sc_hd__a32o_4 _6282_ (.A1(_2414_),
+    .A2(_2435_),
+    .A3(_2440_),
+    .B1(_2439_),
+    .B2(_2443_),
     .X(_2444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4980_ (.A(_2444_),
-    .Y(_0095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4981_ (.A(_2400_),
-    .B(_2437_),
+ sky130_fd_sc_hd__o22a_4 _6283_ (.A1(_2335_),
+    .A2(_2268_),
+    .B1(_2250_),
+    .B2(_2444_),
     .X(_2445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4982_ (.A(_2399_),
-    .B(_2445_),
-    .X(_2446_),
+ sky130_fd_sc_hd__inv_2 _6284_ (.A(_2445_),
+    .Y(_0662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4983_ (.A(_2438_),
-    .Y(_2447_),
+ sky130_fd_sc_hd__inv_2 _6285_ (.A(\u_spictrl.u_txreg.data_int[30] ),
+    .Y(_2446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4984_ (.A1(_2398_),
-    .A2(_2446_),
-    .B1(_2415_),
-    .C1(_2447_),
+ sky130_fd_sc_hd__buf_2 _6286_ (.A(_2267_),
+    .X(_2447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6287_ (.A(_1899_),
     .X(_2448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4985_ (.A(_2448_),
-    .Y(_0094_),
+ sky130_fd_sc_hd__or2_4 _6288_ (.A(_2437_),
+    .B(_2236_),
+    .X(_2449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4986_ (.A(_2445_),
-    .Y(_2449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4987_ (.A(\u_spictrl.u_rxreg.counter[2] ),
-    .B(_2449_),
+ sky130_fd_sc_hd__buf_2 _6289_ (.A(_2449_),
     .X(_2450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4988_ (.A(_2413_),
-    .B(_2446_),
-    .C(_2450_),
+ sky130_fd_sc_hd__buf_2 _6290_ (.A(_2436_),
     .X(_2451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4989_ (.A(_2451_),
-    .X(_0093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _4990_ (.A1(_2400_),
-    .A2(_2437_),
-    .B1(_2414_),
-    .C1(_2449_),
+ sky130_fd_sc_hd__buf_2 _6291_ (.A(_2441_),
     .X(_2452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4991_ (.A(_2452_),
-    .Y(_0092_),
+ sky130_fd_sc_hd__buf_2 _6292_ (.A(_2441_),
+    .X(_2453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4992_ (.A1(\u_spictrl.u_rxreg.counter[0] ),
-    .A2(_2420_),
-    .B1(_2437_),
-    .X(_0091_),
+ sky130_fd_sc_hd__inv_2 _6293_ (.A(\u_spictrl.u_txreg.data_int[26] ),
+    .Y(_2454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4993_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .Y(_2453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4994_ (.A(_0753_),
-    .B(_1476_),
-    .C(_2277_),
-    .X(_2454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4995_ (.A1(_2453_),
-    .A2(_1494_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[1] ),
+ sky130_fd_sc_hd__a2bb2o_4 _6294_ (.A1_N(_2452_),
+    .A2_N(\u_spictrl.u_txreg.data_int[29] ),
+    .B1(_2453_),
     .B2(_2454_),
     .X(_2455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4996_ (.A1(_0690_),
-    .A2(_2455_),
-    .B1(\u_spictrl.spi_rise ),
-    .B2(\u_spictrl.rx_clk_en ),
-    .X(_0058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4997_ (.A(_2382_),
-    .B(_2388_),
+ sky130_fd_sc_hd__o22a_4 _6295_ (.A1(_2446_),
+    .A2(_2450_),
+    .B1(_2451_),
+    .B2(_2455_),
     .X(_2456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _4998_ (.A(_2371_),
-    .B(_2456_),
+ sky130_fd_sc_hd__buf_2 _6296_ (.A(_2438_),
     .X(_2457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4999_ (.A(_2457_),
-    .Y(_2458_),
+ sky130_fd_sc_hd__buf_2 _6297_ (.A(psn_net_32),
+    .X(_2458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5000_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .B(_2458_),
+ sky130_fd_sc_hd__buf_2 _6298_ (.A(_2288_),
     .X(_2459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5001_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .B(_2459_),
+ sky130_fd_sc_hd__buf_2 _6299_ (.A(_2003_),
     .X(_2460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5002_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .B(_2460_),
+ sky130_fd_sc_hd__buf_2 _6300_ (.A(_2342_),
     .X(_2461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5003_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .B(_2461_),
-    .X(_2462_),
+ sky130_fd_sc_hd__inv_2 _6301_ (.A(\u_m0_cmd_fifo.mem[0][22] ),
+    .Y(_2462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5004_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .B(_2462_),
+ sky130_fd_sc_hd__buf_2 _6302_ (.A(_2000_),
     .X(_2463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5005_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .B(_2463_),
-    .X(_2464_),
+ sky130_fd_sc_hd__inv_2 _6303_ (.A(\u_m0_cmd_fifo.mem[1][22] ),
+    .Y(_2464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5006_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .B(_2464_),
+ sky130_fd_sc_hd__o22a_4 _6304_ (.A1(_2461_),
+    .A2(_2462_),
+    .B1(_2463_),
+    .B2(_2464_),
     .X(_2465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5007_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .B(_2465_),
+ sky130_fd_sc_hd__buf_2 _6305_ (.A(_2352_),
     .X(_2466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5008_ (.A(\u_spictrl.u_rxreg.counter_trgt[11] ),
-    .B(_2466_),
+ sky130_fd_sc_hd__or2_4 _6306_ (.A(_2466_),
+    .B(\u_m1_cmd_fifo.mem[0][22] ),
     .X(_2467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5009_ (.A(\u_spictrl.u_rxreg.counter_trgt[12] ),
-    .B(_2467_),
+ sky130_fd_sc_hd__buf_2 _6307_ (.A(_1879_),
     .X(_2468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5010_ (.A(_2468_),
-    .Y(_2469_),
+ sky130_fd_sc_hd__or2_4 _6308_ (.A(_2468_),
+    .B(\u_m1_cmd_fifo.mem[1][22] ),
+    .X(_2469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5011_ (.A1(\u_spictrl.u_rxreg.counter_trgt[12] ),
-    .A2(_2467_),
-    .B1(_2469_),
+ sky130_fd_sc_hd__or2_4 _6309_ (.A(_2468_),
+    .B(\u_m1_cmd_fifo.mem[3][22] ),
     .X(_2470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5012_ (.A(_2425_),
-    .B(_2470_),
+ sky130_fd_sc_hd__o21a_4 _6310_ (.A1(_2378_),
+    .A2(\u_m1_cmd_fifo.mem[2][22] ),
+    .B1(_2383_),
     .X(_2471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5013_ (.A(_2464_),
-    .Y(_2472_),
+ sky130_fd_sc_hd__a32o_4 _6311_ (.A1(_2377_),
+    .A2(_2467_),
+    .A3(_2469_),
+    .B1(_2470_),
+    .B2(_2471_),
+    .X(_2472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5014_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .A2(_2463_),
-    .B1(_2472_),
+ sky130_fd_sc_hd__a2bb2o_4 _6312_ (.A1_N(_2460_),
+    .A2_N(_2465_),
+    .B1(_2004_),
+    .B2(_2472_),
     .X(_2473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5015_ (.A(_2434_),
+ sky130_fd_sc_hd__or2_4 _6313_ (.A(_2306_),
     .B(_2473_),
     .X(_2474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _5016_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .A2(_2462_),
-    .B1_N(_2463_),
+ sky130_fd_sc_hd__buf_2 _6314_ (.A(_2306_),
     .X(_2475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5017_ (.A1_N(_0098_),
-    .A2_N(_2475_),
-    .B1(_0098_),
-    .B2(_2475_),
+ sky130_fd_sc_hd__buf_2 _6315_ (.A(_2393_),
     .X(_2476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _5018_ (.A1(_2434_),
-    .A2(_2473_),
-    .B1(_2476_),
-    .Y(_2477_),
+ sky130_fd_sc_hd__buf_2 _6316_ (.A(_2343_),
+    .X(_2477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _5019_ (.A1(_2332_),
-    .A2(_2472_),
-    .B1(_2465_),
-    .X(_2478_),
+ sky130_fd_sc_hd__inv_2 _6317_ (.A(\u_m0_cmd_fifo.mem[0][30] ),
+    .Y(_2478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5020_ (.A(_0100_),
-    .B(_2478_),
+ sky130_fd_sc_hd__buf_2 _6318_ (.A(_2370_),
     .X(_2479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5021_ (.A(_2461_),
+ sky130_fd_sc_hd__inv_2 _6319_ (.A(\u_m0_cmd_fifo.mem[1][30] ),
     .Y(_2480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _5022_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .A2(_2460_),
-    .B1(_2480_),
-    .Y(_2481_),
+ sky130_fd_sc_hd__o22a_4 _6320_ (.A1(_2477_),
+    .A2(_2478_),
+    .B1(_2479_),
+    .B2(_2480_),
+    .X(_2481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5023_ (.A1_N(_0096_),
-    .A2_N(_2481_),
-    .B1(_0096_),
-    .B2(_2481_),
+ sky130_fd_sc_hd__buf_2 _6321_ (.A(_2347_),
     .X(_2482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5024_ (.A(_0097_),
-    .Y(_2483_),
+ sky130_fd_sc_hd__buf_2 _6322_ (.A(_2482_),
+    .X(_2483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _5025_ (.A1(_2349_),
-    .A2(_2480_),
-    .B1(_2462_),
-    .Y(_2484_),
+ sky130_fd_sc_hd__buf_2 _6323_ (.A(_1085_),
+    .X(_2484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5026_ (.A1_N(_2483_),
-    .A2_N(_2484_),
-    .B1(_2483_),
-    .B2(_2484_),
+ sky130_fd_sc_hd__buf_2 _6324_ (.A(_2484_),
     .X(_2485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _5027_ (.A1(_2365_),
-    .A2(_2457_),
-    .B1(_2459_),
-    .Y(_2486_),
+ sky130_fd_sc_hd__and2_4 _6325_ (.A(_2407_),
+    .B(\u_m1_cmd_fifo.mem[3][30] ),
+    .X(_2486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5028_ (.A1_N(_2448_),
-    .A2_N(_2486_),
-    .B1(_2448_),
-    .B2(_2486_),
+ sky130_fd_sc_hd__a211o_4 _6326_ (.A1(_2422_),
+    .A2(\u_m1_cmd_fifo.mem[2][30] ),
+    .B1(_2485_),
+    .C1(_2486_),
     .X(_2487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _5029_ (.A1(_2371_),
-    .A2(_2456_),
-    .B1(_2458_),
+ sky130_fd_sc_hd__buf_2 _6327_ (.A(_2383_),
     .X(_2488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5030_ (.A1_N(_0093_),
-    .A2_N(_2488_),
-    .B1(_0093_),
-    .B2(_2488_),
+ sky130_fd_sc_hd__buf_2 _6328_ (.A(_1880_),
     .X(_2489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _5031_ (.A1(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .A2(_2459_),
-    .B1_N(_2460_),
+ sky130_fd_sc_hd__and2_4 _6329_ (.A(_2489_),
+    .B(\u_m1_cmd_fifo.mem[0][30] ),
     .X(_2490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5032_ (.A1_N(_2444_),
-    .A2_N(_2490_),
-    .B1(_2444_),
-    .B2(_2490_),
+ sky130_fd_sc_hd__a211o_4 _6330_ (.A1(_2418_),
+    .A2(\u_m1_cmd_fifo.mem[1][30] ),
+    .B1(_2488_),
+    .C1(_2490_),
     .X(_2491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5033_ (.A(\u_spictrl.u_rxreg.counter_trgt[0] ),
-    .B(_0091_),
-    .X(_2492_),
+ sky130_fd_sc_hd__nand2_4 _6331_ (.A(_2487_),
+    .B(_2491_),
+    .Y(_2492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5034_ (.A(\u_spictrl.u_rxreg.counter_trgt[0] ),
-    .B(_0091_),
-    .Y(_2493_),
+ sky130_fd_sc_hd__o22a_4 _6332_ (.A1(_2476_),
+    .A2(_2481_),
+    .B1(_2483_),
+    .B2(_2492_),
+    .X(_2493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5035_ (.A1(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .A2(\u_spictrl.u_rxreg.counter_trgt[0] ),
-    .B1(_2456_),
-    .X(_2494_),
+ sky130_fd_sc_hd__nand2_4 _6333_ (.A(_2475_),
+    .B(_2493_),
+    .Y(_2494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5036_ (.A(_2494_),
-    .Y(_2495_),
+ sky130_fd_sc_hd__buf_2 _6334_ (.A(_2289_),
+    .X(_2495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5037_ (.A1(_2452_),
-    .A2(_2495_),
-    .B1(_0092_),
-    .B2(_2494_),
+ sky130_fd_sc_hd__buf_2 _6335_ (.A(_2352_),
     .X(_2496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5038_ (.A(_0690_),
-    .B(_2492_),
-    .C(_2493_),
-    .D(_2496_),
+ sky130_fd_sc_hd__and2_4 _6336_ (.A(_2496_),
+    .B(\u_m1_cmd_fifo.mem[3][6] ),
     .X(_2497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5039_ (.A(_2487_),
-    .B(_2489_),
-    .C(_2491_),
-    .D(_2497_),
+ sky130_fd_sc_hd__a211o_4 _6337_ (.A1(_2350_),
+    .A2(\u_m1_cmd_fifo.mem[2][6] ),
+    .B1(_2377_),
+    .C1(_2497_),
     .X(_2498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5040_ (.A(_0100_),
-    .B(_2478_),
-    .Y(_2499_),
+ sky130_fd_sc_hd__and2_4 _6338_ (.A(_2468_),
+    .B(\u_m1_cmd_fifo.mem[0][6] ),
+    .X(_2499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5041_ (.A(_2482_),
-    .B(_2485_),
-    .C(_2498_),
-    .D(_2499_),
+ sky130_fd_sc_hd__a211o_4 _6339_ (.A1(_2382_),
+    .A2(\u_m1_cmd_fifo.mem[1][6] ),
+    .B1(_2384_),
+    .C1(_2499_),
     .X(_2500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5042_ (.A(_2474_),
-    .B(_2477_),
-    .C(_2479_),
-    .D(_2500_),
+ sky130_fd_sc_hd__buf_2 _6340_ (.A(_2340_),
     .X(_2501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5043_ (.A(_2425_),
-    .B(_2470_),
-    .Y(_2502_),
+ sky130_fd_sc_hd__or2_4 _6341_ (.A(_2001_),
+    .B(\u_m0_cmd_fifo.mem[1][6] ),
+    .X(_2502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5044_ (.A(_0101_),
-    .Y(_2503_),
+ sky130_fd_sc_hd__buf_2 _6342_ (.A(_2347_),
+    .X(_2503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _5045_ (.A1(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .A2(_2465_),
-    .B1_N(_2466_),
+ sky130_fd_sc_hd__o21a_4 _6343_ (.A1(_2461_),
+    .A2(\u_m0_cmd_fifo.mem[0][6] ),
+    .B1(_2503_),
     .X(_2504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5046_ (.A(_2504_),
-    .Y(_2505_),
+ sky130_fd_sc_hd__a32o_4 _6344_ (.A1(_2498_),
+    .A2(_2500_),
+    .A3(_2501_),
+    .B1(_2502_),
+    .B2(_2504_),
+    .X(_2505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5047_ (.A1(_2503_),
-    .A2(_2505_),
-    .B1(_0101_),
-    .B2(_2504_),
+ sky130_fd_sc_hd__or2_4 _6345_ (.A(_2495_),
+    .B(_2505_),
     .X(_2506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _5048_ (.A1(\u_spictrl.u_rxreg.counter_trgt[11] ),
-    .A2(_2466_),
-    .B1_N(_2467_),
-    .X(_2507_),
+ sky130_fd_sc_hd__inv_2 _6346_ (.A(\u_m0_cmd_fifo.mem[0][14] ),
+    .Y(_2507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5049_ (.A1_N(_2427_),
-    .A2_N(_2507_),
-    .B1(_2427_),
-    .B2(_2507_),
+ sky130_fd_sc_hd__buf_2 _6347_ (.A(_2000_),
     .X(_2508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5050_ (.A(_2455_),
-    .Y(_2509_),
+ sky130_fd_sc_hd__buf_2 _6348_ (.A(_2508_),
+    .X(_2509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _5051_ (.A(_2506_),
-    .B(_2508_),
-    .C(_2509_),
-    .X(_2510_),
+ sky130_fd_sc_hd__inv_2 _6349_ (.A(\u_m0_cmd_fifo.mem[1][14] ),
+    .Y(_2510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5052_ (.A(_2471_),
-    .B(_2501_),
-    .C(_2502_),
-    .D(_2510_),
+ sky130_fd_sc_hd__o22a_4 _6350_ (.A1(_2368_),
+    .A2(_2507_),
+    .B1(_2509_),
+    .B2(_2510_),
     .X(_2511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5053_ (.A(_2511_),
-    .Y(_2512_),
+ sky130_fd_sc_hd__buf_2 _6351_ (.A(_2365_),
+    .X(_2512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5054_ (.A(\u_spictrl.u_rxreg.counter_trgt[15] ),
-    .Y(_2513_),
+ sky130_fd_sc_hd__or2_4 _6352_ (.A(_2378_),
+    .B(\u_m1_cmd_fifo.mem[0][14] ),
+    .X(_2513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5055_ (.A(\u_spictrl.u_rxreg.counter_trgt[13] ),
-    .B(_2468_),
+ sky130_fd_sc_hd__buf_2 _6353_ (.A(_2385_),
     .X(_2514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5056_ (.A(\u_spictrl.u_rxreg.counter_trgt[14] ),
-    .B(_2514_),
-    .Y(_2515_),
+ sky130_fd_sc_hd__or2_4 _6354_ (.A(_2514_),
+    .B(\u_m1_cmd_fifo.mem[1][14] ),
+    .X(_2515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5057_ (.A(_2513_),
-    .B(_2515_),
+ sky130_fd_sc_hd__or2_4 _6355_ (.A(_2403_),
+    .B(\u_m1_cmd_fifo.mem[3][14] ),
     .X(_2516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5058_ (.A(_2516_),
-    .Y(_2517_),
+ sky130_fd_sc_hd__o21a_4 _6356_ (.A1(_2357_),
+    .A2(\u_m1_cmd_fifo.mem[2][14] ),
+    .B1(_2409_),
+    .X(_2517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5059_ (.A1(_2419_),
-    .A2(_2517_),
-    .B1(_0106_),
-    .B2(_2516_),
+ sky130_fd_sc_hd__a32o_4 _6357_ (.A1(_2399_),
+    .A2(_2513_),
+    .A3(_2515_),
+    .B1(_2516_),
+    .B2(_2517_),
     .X(_2518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5060_ (.A1(\u_spictrl.u_rxreg.counter_trgt[14] ),
-    .A2(_2514_),
-    .B1(_2515_),
+ sky130_fd_sc_hd__a2bb2o_4 _6358_ (.A1_N(_2366_),
+    .A2_N(_2511_),
+    .B1(_2512_),
+    .B2(_2518_),
     .X(_2519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5061_ (.A1_N(_0105_),
-    .A2_N(_2519_),
-    .B1(_0105_),
-    .B2(_2519_),
+ sky130_fd_sc_hd__o21a_4 _6359_ (.A1(_2299_),
+    .A2(_2519_),
+    .B1(_2309_),
     .X(_2520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5062_ (.A(\u_spictrl.u_rxreg.counter_trgt[13] ),
-    .Y(_2521_),
+ sky130_fd_sc_hd__a32o_4 _6360_ (.A1(_2459_),
+    .A2(_2474_),
+    .A3(_2494_),
+    .B1(_2506_),
+    .B2(_2520_),
+    .X(_2521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _5063_ (.A1(_2521_),
-    .A2(_2469_),
-    .B1(_2514_),
+ sky130_fd_sc_hd__buf_2 _6361_ (.A(_2226_),
     .X(_2522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _5064_ (.A1(_0104_),
-    .A2(_2522_),
-    .B1(_2513_),
-    .B2(_2515_),
-    .Y(_2523_),
+ sky130_fd_sc_hd__buf_2 _6362_ (.A(_2522_),
+    .X(_2523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _5065_ (.A1(_0104_),
-    .A2(_2522_),
-    .B1(_2523_),
+ sky130_fd_sc_hd__and2_4 _6363_ (.A(\u_spictrl.spi_mode_cmd[6] ),
+    .B(_2523_),
     .X(_2524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _5066_ (.A(_2512_),
-    .B(_2518_),
-    .C(_2520_),
-    .D(_2524_),
+ sky130_fd_sc_hd__and2_4 _6364_ (.A(psn_net_4),
+    .B(_2505_),
     .X(_2525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5067_ (.A1(_0690_),
-    .A2(\u_spictrl.rx_done ),
-    .B1(_2525_),
-    .X(_0057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _5068_ (.A(\u_spim_regs.reg2spi_req ),
-    .B(_0468_),
-    .C(rst_n),
-    .D(_1489_),
+ sky130_fd_sc_hd__a211o_4 _6365_ (.A1(_2458_),
+    .A2(_2521_),
+    .B1(_2524_),
+    .C1(_2525_),
     .X(_2526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5069_ (.A(_1561_),
-    .B(_2526_),
-    .X(_2527_),
+ sky130_fd_sc_hd__inv_2 _6366_ (.A(_2526_),
+    .Y(_2527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5070_ (.A(_2527_),
-    .Y(_2528_),
+ sky130_fd_sc_hd__o22a_4 _6367_ (.A1(_2448_),
+    .A2(_2456_),
+    .B1(_2457_),
+    .B2(_2527_),
+    .X(_2528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5071_ (.A(_2528_),
+ sky130_fd_sc_hd__o22a_4 _6368_ (.A1(_2446_),
+    .A2(_2447_),
+    .B1(_2250_),
+    .B2(_2528_),
     .X(_2529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5072_ (.A(_2529_),
+ sky130_fd_sc_hd__inv_2 _6369_ (.A(_2529_),
+    .Y(_0661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6370_ (.A(_2249_),
     .X(_2530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5073_ (.A(_2527_),
+ sky130_fd_sc_hd__buf_2 _6371_ (.A(_2448_),
     .X(_2531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5074_ (.A1(_2530_),
-    .A2(_0066_),
-    .B1(\u_spim_regs.spim_reg_rdata[31] ),
-    .B2(_2531_),
-    .X(_0056_),
+ sky130_fd_sc_hd__inv_2 _6372_ (.A(\u_spictrl.u_txreg.data_int[29] ),
+    .Y(_2532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5075_ (.A1(_2530_),
-    .A2(_0065_),
-    .B1(\u_spim_regs.spim_reg_rdata[30] ),
-    .B2(_2531_),
-    .X(_0055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5076_ (.A(_2527_),
-    .X(_2532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5077_ (.A(_2532_),
+ sky130_fd_sc_hd__buf_2 _6373_ (.A(_2449_),
     .X(_2533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5078_ (.A1(_2530_),
-    .A2(_0064_),
-    .B1(\u_spim_regs.spim_reg_rdata[29] ),
-    .B2(_2533_),
-    .X(_0054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5079_ (.A1(_2530_),
-    .A2(_0063_),
-    .B1(\u_spim_regs.spim_reg_rdata[28] ),
-    .B2(_2533_),
-    .X(_0053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5080_ (.A(_2528_),
+ sky130_fd_sc_hd__buf_2 _6374_ (.A(_2451_),
     .X(_2534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5081_ (.A(_2534_),
-    .X(_2535_),
+ sky130_fd_sc_hd__inv_2 _6375_ (.A(\u_spictrl.u_txreg.data_int[25] ),
+    .Y(_2535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5082_ (.A1(_2535_),
-    .A2(_0062_),
-    .B1(\u_spim_regs.spim_reg_rdata[27] ),
-    .B2(_2533_),
-    .X(_0052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5083_ (.A1(_2535_),
-    .A2(_0061_),
-    .B1(\u_spim_regs.spim_reg_rdata[26] ),
-    .B2(_2533_),
-    .X(_0051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5084_ (.A(_2532_),
+ sky130_fd_sc_hd__a2bb2o_4 _6376_ (.A1_N(_2452_),
+    .A2_N(\u_spictrl.u_txreg.data_int[28] ),
+    .B1(_2452_),
+    .B2(_2535_),
     .X(_2536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5085_ (.A1(_2535_),
-    .A2(_0060_),
-    .B1(\u_spim_regs.spim_reg_rdata[25] ),
+ sky130_fd_sc_hd__o22a_4 _6377_ (.A1(_2532_),
+    .A2(_2533_),
+    .B1(_2534_),
     .B2(_2536_),
-    .X(_0050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5086_ (.A1(_2535_),
-    .A2(_0059_),
-    .B1(\u_spim_regs.spim_reg_rdata[24] ),
-    .B2(_2536_),
-    .X(_0049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5087_ (.A(_2534_),
     .X(_2537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5088_ (.A1(_2537_),
-    .A2(_0074_),
-    .B1(\u_spim_regs.spim_reg_rdata[23] ),
-    .B2(_2536_),
-    .X(_0048_),
+ sky130_fd_sc_hd__inv_2 _6378_ (.A(\u_m0_cmd_fifo.mem[0][21] ),
+    .Y(_2538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5089_ (.A1(_2537_),
-    .A2(_0073_),
-    .B1(\u_spim_regs.spim_reg_rdata[22] ),
-    .B2(_2536_),
-    .X(_0047_),
+ sky130_fd_sc_hd__inv_2 _6379_ (.A(\u_m0_cmd_fifo.mem[1][21] ),
+    .Y(_2539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5090_ (.A(_2532_),
-    .X(_2538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5091_ (.A1(_2537_),
-    .A2(_0072_),
-    .B1(\u_spim_regs.spim_reg_rdata[21] ),
-    .B2(_2538_),
-    .X(_0046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5092_ (.A1(_2537_),
-    .A2(_0071_),
-    .B1(\u_spim_regs.spim_reg_rdata[20] ),
-    .B2(_2538_),
-    .X(_0045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5093_ (.A(_2534_),
-    .X(_2539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5094_ (.A1(_2539_),
-    .A2(_0070_),
-    .B1(\u_spim_regs.spim_reg_rdata[19] ),
-    .B2(_2538_),
-    .X(_0044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5095_ (.A1(_2539_),
-    .A2(_0069_),
-    .B1(\u_spim_regs.spim_reg_rdata[18] ),
-    .B2(_2538_),
-    .X(_0043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5096_ (.A(_2527_),
+ sky130_fd_sc_hd__o22a_4 _6380_ (.A1(_2461_),
+    .A2(_2538_),
+    .B1(_2463_),
+    .B2(_2539_),
     .X(_2540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5097_ (.A(_2540_),
+ sky130_fd_sc_hd__or2_4 _6381_ (.A(_2466_),
+    .B(\u_m1_cmd_fifo.mem[0][21] ),
     .X(_2541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5098_ (.A1(_2539_),
-    .A2(_0068_),
-    .B1(\u_spim_regs.spim_reg_rdata[17] ),
-    .B2(_2541_),
-    .X(_0042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5099_ (.A1(_2539_),
-    .A2(_0067_),
-    .B1(\u_spim_regs.spim_reg_rdata[16] ),
-    .B2(_2541_),
-    .X(_0041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5100_ (.A(_2534_),
+ sky130_fd_sc_hd__or2_4 _6382_ (.A(_2374_),
+    .B(\u_m1_cmd_fifo.mem[1][21] ),
     .X(_2542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5101_ (.A1(_2542_),
-    .A2(psn_net_147),
-    .B1(\u_spim_regs.spim_reg_rdata[15] ),
-    .B2(_2541_),
-    .X(_0040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5102_ (.A1(_2542_),
-    .A2(psn_net_153),
-    .B1(\u_spim_regs.spim_reg_rdata[14] ),
-    .B2(_2541_),
-    .X(_0039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5103_ (.A(_2540_),
+ sky130_fd_sc_hd__buf_2 _6383_ (.A(_2385_),
     .X(_2543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5104_ (.A1(_2542_),
-    .A2(_0080_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_2543_),
-    .X(_0038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5105_ (.A1(_2542_),
-    .A2(_0079_),
-    .B1(\u_spim_regs.spim_reg_rdata[12] ),
-    .B2(_2543_),
-    .X(_0037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5106_ (.A(_2528_),
+ sky130_fd_sc_hd__or2_4 _6384_ (.A(_2543_),
+    .B(\u_m1_cmd_fifo.mem[3][21] ),
     .X(_2544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5107_ (.A1(_2544_),
-    .A2(psn_net_111),
-    .B1(\u_spim_regs.spim_reg_rdata[11] ),
-    .B2(_2543_),
-    .X(_0036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5108_ (.A1(_2544_),
-    .A2(psn_net_206),
-    .B1(\u_spim_regs.spim_reg_rdata[10] ),
-    .B2(_2543_),
-    .X(_0035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5109_ (.A(_2540_),
+ sky130_fd_sc_hd__buf_2 _6385_ (.A(_2356_),
     .X(_2545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5110_ (.A1(_2544_),
-    .A2(psn_net_209),
-    .B1(\u_spim_regs.spim_reg_rdata[9] ),
-    .B2(_2545_),
-    .X(_0034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5111_ (.A1(_2544_),
-    .A2(psn_net_175),
-    .B1(\u_spim_regs.spim_reg_rdata[8] ),
-    .B2(_2545_),
-    .X(_0033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5112_ (.A1_N(_1175_),
-    .A2_N(_2531_),
-    .B1(_2531_),
-    .B2(psn_net_129),
-    .X(_0032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5113_ (.A(_2528_),
+ sky130_fd_sc_hd__buf_2 _6386_ (.A(_1876_),
     .X(_2546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5114_ (.A1(_2546_),
-    .A2(psn_net_188),
-    .B1(\u_spim_regs.spim_reg_rdata[6] ),
-    .B2(_2545_),
-    .X(_0031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5115_ (.A1(_2546_),
-    .A2(psn_net_200),
-    .B1(\u_spim_regs.spim_reg_rdata[5] ),
-    .B2(_2545_),
-    .X(_0030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5116_ (.A(_2540_),
+ sky130_fd_sc_hd__o21a_4 _6387_ (.A1(_2545_),
+    .A2(\u_m1_cmd_fifo.mem[2][21] ),
+    .B1(_2546_),
     .X(_2547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5117_ (.A1(_2546_),
-    .A2(psn_net_190),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+ sky130_fd_sc_hd__a32o_4 _6388_ (.A1(_2377_),
+    .A2(_2541_),
+    .A3(_2542_),
+    .B1(_2544_),
     .B2(_2547_),
-    .X(_0029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5118_ (.A1(_2546_),
-    .A2(psn_net_184),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_2547_),
-    .X(_0028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5119_ (.A1(_2529_),
-    .A2(psn_net_193),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_2547_),
-    .X(_0027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5120_ (.A1(_2529_),
-    .A2(psn_net_178),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_2547_),
-    .X(_0026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5121_ (.A1(_2529_),
-    .A2(psn_net_197),
-    .B1(\u_spim_regs.spim_reg_rdata[0] ),
-    .B2(_2532_),
-    .X(_0025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5122_ (.A(_1388_),
     .X(_2548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5123_ (.A1_N(_1634_),
-    .A2_N(_1757_),
-    .B1(_0800_),
+ sky130_fd_sc_hd__a2bb2o_4 _6389_ (.A1_N(_2460_),
+    .A2_N(_2540_),
+    .B1(_2460_),
     .B2(_2548_),
-    .X(_0003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5124_ (.A(_1416_),
-    .B(_1454_),
-    .X(_0002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5125_ (.A(_0591_),
-    .B(_0660_),
     .X(_2549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5126_ (.A1(\u_spim_regs.spi_init_state[5] ),
-    .A2(_2549_),
-    .B1(_0642_),
-    .X(_0015_),
+ sky130_fd_sc_hd__or2_4 _6390_ (.A(_2495_),
+    .B(_2549_),
+    .X(_2550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5127_ (.A(\u_spim_regs.spi_init_state[4] ),
-    .B(_0652_),
-    .X(_0014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5128_ (.A(\u_spim_regs.spi_init_state[3] ),
-    .Y(_2550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5129_ (.A(_2549_),
+ sky130_fd_sc_hd__inv_2 _6391_ (.A(\u_m0_cmd_fifo.mem[0][29] ),
     .Y(_2551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5130_ (.A1_N(_2550_),
-    .A2_N(_2551_),
-    .B1(_1563_),
-    .B2(\u_spim_regs.spi_init_state[0] ),
-    .X(_0013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5131_ (.A1_N(_1322_),
-    .A2_N(_0649_),
-    .B1(\u_spim_regs.spi_init_state[3] ),
-    .B2(_2551_),
-    .X(_0012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5132_ (.A1_N(_1563_),
-    .A2_N(_0650_),
-    .B1(\u_spim_regs.spi_init_state[5] ),
-    .B2(_2551_),
-    .X(_0011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5133_ (.A(\u_spictrl.spi_status[0] ),
-    .B(_0753_),
+ sky130_fd_sc_hd__buf_2 _6392_ (.A(_2370_),
     .X(_2552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _5134_ (.A(_1409_),
-    .B(_2552_),
-    .C(_1385_),
-    .D(_1163_),
-    .X(_2553_),
+ sky130_fd_sc_hd__inv_2 _6393_ (.A(\u_m0_cmd_fifo.mem[1][29] ),
+    .Y(_2553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5135_ (.A(\u_spictrl.spi_rise ),
-    .B(_2454_),
+ sky130_fd_sc_hd__o22a_4 _6394_ (.A1(_2477_),
+    .A2(_2551_),
+    .B1(_2552_),
+    .B2(_2553_),
     .X(_2554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _5136_ (.A1(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .A2(_2553_),
-    .A3(_2554_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .B2(_1494_),
-    .X(_0010_),
+ sky130_fd_sc_hd__buf_2 _6395_ (.A(_1881_),
+    .X(_2555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5137_ (.A(_2554_),
-    .Y(_2555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _5138_ (.A1_N(_2453_),
-    .A2_N(_1494_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .B2(_2555_),
-    .X(_0009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5139_ (.A(_1458_),
-    .B(psn_net_260),
-    .X(_0008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5140_ (.A(_0748_),
-    .B(_2548_),
+ sky130_fd_sc_hd__buf_2 _6396_ (.A(_2353_),
     .X(_2556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _5141_ (.A1(\u_spim_regs.reg2spi_mode_enb ),
-    .A2(_1447_),
-    .B1(_2556_),
-    .C1(_1768_),
-    .X(_0007_),
+ sky130_fd_sc_hd__and2_4 _6397_ (.A(_2556_),
+    .B(\u_m1_cmd_fifo.mem[3][29] ),
+    .X(_2557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5142_ (.A(psn_net_272),
-    .B(_1530_),
-    .X(_0006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5143_ (.A1(_0752_),
-    .A2(_2548_),
-    .B1(_1511_),
-    .X(_0005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _5144_ (.A1(_0750_),
-    .A2(_2548_),
-    .B1(_1483_),
-    .C1(_1468_),
-    .X(_0004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _5145_ (.A1(_1399_),
-    .A2(_0716_),
-    .B1(_0738_),
-    .Y(_2557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _5146_ (.A1(_0756_),
-    .A2(_2557_),
-    .B1(_0654_),
-    .Y(_0001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5147_ (.A(_1368_),
-    .B(_1374_),
-    .X(_0017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5148_ (.A(_1368_),
-    .B(_1365_),
+ sky130_fd_sc_hd__a211o_4 _6398_ (.A1(_2555_),
+    .A2(\u_m1_cmd_fifo.mem[2][29] ),
+    .B1(_2485_),
+    .C1(_2557_),
     .X(_2558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5149_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B(\u_spictrl.u_clkgen.clk_cnt[1] ),
+ sky130_fd_sc_hd__buf_2 _6399_ (.A(_2546_),
     .X(_2559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5150_ (.A(_1373_),
+ sky130_fd_sc_hd__buf_2 _6400_ (.A(_2349_),
     .X(_2560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _5151_ (.A(_2558_),
-    .B(_2559_),
-    .C(_2560_),
-    .X(_0018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5152_ (.A(_1370_),
-    .B(_2558_),
+ sky130_fd_sc_hd__and2_4 _6401_ (.A(_2560_),
+    .B(\u_m1_cmd_fifo.mem[0][29] ),
     .X(_2561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _5153_ (.A(_1370_),
-    .B(_2558_),
-    .Y(_2562_),
+ sky130_fd_sc_hd__a211o_4 _6402_ (.A1(_2418_),
+    .A2(\u_m1_cmd_fifo.mem[1][29] ),
+    .B1(_2559_),
+    .C1(_2561_),
+    .X(_2562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _5154_ (.A(_2561_),
+ sky130_fd_sc_hd__nand2_4 _6403_ (.A(_2558_),
     .B(_2562_),
-    .C(_2560_),
-    .X(_0019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _5155_ (.A(_1358_),
-    .B(_2561_),
     .Y(_2563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5156_ (.A(_1358_),
-    .B(_2561_),
+ sky130_fd_sc_hd__o22a_4 _6404_ (.A1(_2476_),
+    .A2(_2554_),
+    .B1(_2483_),
+    .B2(_2563_),
     .X(_2564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _5157_ (.A(_2563_),
+ sky130_fd_sc_hd__nand2_4 _6405_ (.A(_2475_),
     .B(_2564_),
-    .C(_2560_),
-    .X(_0020_),
+    .Y(_2565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _5158_ (.A(_1360_),
-    .B(_2564_),
-    .X(_2565_),
+ sky130_fd_sc_hd__buf_2 _6406_ (.A(_2290_),
+    .X(_2566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _5159_ (.A(_1360_),
-    .B(_2564_),
-    .Y(_2566_),
+ sky130_fd_sc_hd__buf_2 _6407_ (.A(_2376_),
+    .X(_2567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _5160_ (.A(_2565_),
-    .B(_2566_),
-    .C(_1373_),
-    .X(_0021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5161_ (.A(_1363_),
-    .B(_2565_),
-    .Y(_2567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _5162_ (.A1(_1363_),
-    .A2(_2565_),
-    .B1(_1374_),
-    .C1(_2567_),
+ sky130_fd_sc_hd__and2_4 _6408_ (.A(_2378_),
+    .B(\u_m1_cmd_fifo.mem[3][5] ),
     .X(_2568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _5163_ (.A(_2568_),
-    .Y(_0022_),
+ sky130_fd_sc_hd__a211o_4 _6409_ (.A1(_2375_),
+    .A2(\u_m1_cmd_fifo.mem[2][5] ),
+    .B1(_2567_),
+    .C1(_2568_),
+    .X(_2569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _5164_ (.A(_2560_),
-    .B(_0016_),
+ sky130_fd_sc_hd__and2_4 _6410_ (.A(_2514_),
+    .B(\u_m1_cmd_fifo.mem[0][5] ),
+    .X(_2570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6411_ (.A1(_2382_),
+    .A2(\u_m1_cmd_fifo.mem[1][5] ),
+    .B1(_2384_),
+    .C1(_2570_),
+    .X(_2571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6412_ (.A(_2508_),
+    .X(_2572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6413_ (.A(_2572_),
+    .B(\u_m0_cmd_fifo.mem[1][5] ),
+    .X(_2573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6414_ (.A(_2342_),
+    .X(_2574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6415_ (.A1(_2574_),
+    .A2(\u_m0_cmd_fifo.mem[0][5] ),
+    .B1(_2503_),
+    .X(_2575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6416_ (.A1(_2569_),
+    .A2(_2571_),
+    .A3(_2501_),
+    .B1(_2573_),
+    .B2(_2575_),
+    .X(_2576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6417_ (.A(_2566_),
+    .B(_2576_),
+    .X(_2577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6418_ (.A(\u_m0_cmd_fifo.mem[0][13] ),
+    .Y(_2578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6419_ (.A(\u_m0_cmd_fifo.mem[1][13] ),
+    .Y(_2579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6420_ (.A1(_2368_),
+    .A2(_2578_),
+    .B1(_2371_),
+    .B2(_2579_),
+    .X(_2580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6421_ (.A(_2400_),
+    .B(\u_m1_cmd_fifo.mem[0][13] ),
+    .X(_2581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6422_ (.A(_2403_),
+    .B(\u_m1_cmd_fifo.mem[1][13] ),
+    .X(_2582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6423_ (.A(_2405_),
+    .B(\u_m1_cmd_fifo.mem[3][13] ),
+    .X(_2583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6424_ (.A1(_2407_),
+    .A2(\u_m1_cmd_fifo.mem[2][13] ),
+    .B1(_2409_),
+    .X(_2584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6425_ (.A1(_2399_),
+    .A2(_2581_),
+    .A3(_2582_),
+    .B1(_2583_),
+    .B2(_2584_),
+    .X(_2585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6426_ (.A1_N(_2366_),
+    .A2_N(_2580_),
+    .B1(_2366_),
+    .B2(_2585_),
+    .X(_2586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6427_ (.A1(_2339_),
+    .A2(_2586_),
+    .B1(_2309_),
+    .X(_2587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6428_ (.A1(_2459_),
+    .A2(_2550_),
+    .A3(_2565_),
+    .B1(_2577_),
+    .B2(_2587_),
+    .X(_2588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6429_ (.A(\u_spictrl.spi_mode_cmd[5] ),
+    .B(_2523_),
+    .X(_2589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6430_ (.A(psn_net_3),
+    .B(_2576_),
+    .X(_2590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6431_ (.A1(_2458_),
+    .A2(_2588_),
+    .B1(_2589_),
+    .C1(_2590_),
+    .X(_2591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6432_ (.A(_2591_),
+    .Y(_2592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6433_ (.A1(_2531_),
+    .A2(_2537_),
+    .B1(_2457_),
+    .B2(_2592_),
+    .X(_2593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6434_ (.A(_2530_),
+    .B(_2593_),
+    .Y(_2594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _6435_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
+    .A2(_2242_),
+    .B1(_2594_),
+    .X(_0660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6436_ (.A(\u_spictrl.u_txreg.data_int[28] ),
+    .Y(_2595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6437_ (.A(_2441_),
+    .X(_2596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6438_ (.A(\u_spictrl.u_txreg.data_int[24] ),
+    .Y(_2597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6439_ (.A1_N(_2596_),
+    .A2_N(\u_spictrl.u_txreg.data_int[27] ),
+    .B1(_2596_),
+    .B2(_2597_),
+    .X(_2598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6440_ (.A1(_2595_),
+    .A2(_2450_),
+    .B1(_2451_),
+    .B2(_2598_),
+    .X(_2599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6441_ (.A(_2438_),
+    .X(_2600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6442_ (.A(\u_m0_cmd_fifo.mem[0][20] ),
+    .Y(_2601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6443_ (.A(\u_m0_cmd_fifo.mem[1][20] ),
+    .Y(_2602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6444_ (.A1(_2367_),
+    .A2(_2601_),
+    .B1(_2508_),
+    .B2(_2602_),
+    .X(_2603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6445_ (.A(_2356_),
+    .B(\u_m1_cmd_fifo.mem[0][20] ),
+    .X(_2604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6446_ (.A(_2402_),
+    .B(\u_m1_cmd_fifo.mem[1][20] ),
+    .X(_2605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6447_ (.A(_2402_),
+    .B(\u_m1_cmd_fifo.mem[3][20] ),
+    .X(_2606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6448_ (.A1(_2381_),
+    .A2(\u_m1_cmd_fifo.mem[2][20] ),
+    .B1(_2408_),
+    .X(_2607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6449_ (.A1(_2484_),
+    .A2(_2604_),
+    .A3(_2605_),
+    .B1(_2606_),
+    .B2(_2607_),
+    .X(_2608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6450_ (.A1_N(_2365_),
+    .A2_N(_2603_),
+    .B1(_2365_),
+    .B2(_2608_),
+    .X(_2609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6451_ (.A(_2290_),
+    .B(_2609_),
+    .X(_2610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6452_ (.A(\u_m0_cmd_fifo.mem[0][28] ),
+    .Y(_2611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6453_ (.A(\u_m0_cmd_fifo.mem[1][28] ),
+    .Y(_2612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6454_ (.A1(_2461_),
+    .A2(_2611_),
+    .B1(_2001_),
+    .B2(_2612_),
+    .X(_2613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6455_ (.A(_2353_),
+    .B(\u_m1_cmd_fifo.mem[3][28] ),
+    .X(_2614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6456_ (.A1(_2350_),
+    .A2(\u_m1_cmd_fifo.mem[2][28] ),
+    .B1(_1986_),
+    .C1(_2614_),
+    .X(_2615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6457_ (.A(_2381_),
+    .X(_2616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6458_ (.A(_2468_),
+    .B(\u_m1_cmd_fifo.mem[0][28] ),
+    .X(_2617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6459_ (.A1(_2616_),
+    .A2(\u_m1_cmd_fifo.mem[1][28] ),
+    .B1(_2409_),
+    .C1(_2617_),
+    .X(_2618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6460_ (.A(_2615_),
+    .B(_2618_),
+    .Y(_2619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6461_ (.A1(_2341_),
+    .A2(_2613_),
+    .B1(_2348_),
+    .B2(_2619_),
+    .X(_2620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6462_ (.A(_2306_),
+    .B(_2620_),
+    .Y(_2621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6463_ (.A(_2385_),
+    .X(_2622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6464_ (.A(_2416_),
+    .B(\u_m1_cmd_fifo.mem[3][4] ),
+    .X(_2623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6465_ (.A1(_2622_),
+    .A2(\u_m1_cmd_fifo.mem[2][4] ),
+    .B1(_2484_),
+    .C1(_2623_),
+    .X(_2624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6466_ (.A(_2402_),
+    .B(\u_m1_cmd_fifo.mem[0][4] ),
+    .X(_2625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6467_ (.A1(_2400_),
+    .A2(\u_m1_cmd_fifo.mem[1][4] ),
+    .B1(_2383_),
+    .C1(_2625_),
+    .X(_2626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6468_ (.A(_2508_),
+    .B(\u_m0_cmd_fifo.mem[1][4] ),
+    .X(_2627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6469_ (.A1(_2367_),
+    .A2(\u_m0_cmd_fifo.mem[0][4] ),
+    .B1(_2347_),
+    .X(_2628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6470_ (.A1(_2624_),
+    .A2(_2626_),
+    .A3(_2003_),
+    .B1(_2627_),
+    .B2(_2628_),
+    .X(_2629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6471_ (.A(_2290_),
+    .B(_2629_),
+    .X(_2630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6472_ (.A(\u_m0_cmd_fifo.mem[0][12] ),
+    .Y(_2631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6473_ (.A(\u_m0_cmd_fifo.mem[1][12] ),
+    .Y(_2632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6474_ (.A1(_2343_),
+    .A2(_2631_),
+    .B1(_2370_),
+    .B2(_2632_),
+    .X(_2633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6475_ (.A(_2416_),
+    .B(\u_m1_cmd_fifo.mem[0][12] ),
+    .X(_2634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6476_ (.A(_1880_),
+    .B(\u_m1_cmd_fifo.mem[1][12] ),
+    .X(_2635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6477_ (.A(_2349_),
+    .B(\u_m1_cmd_fifo.mem[3][12] ),
+    .X(_2636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6478_ (.A1(_2353_),
+    .A2(\u_m1_cmd_fifo.mem[2][12] ),
+    .B1(_2408_),
+    .X(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6479_ (.A1(_1986_),
+    .A2(_2634_),
+    .A3(_2635_),
+    .B1(_2636_),
+    .B2(_2637_),
+    .X(_2638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6480_ (.A1_N(_2341_),
+    .A2_N(_2633_),
+    .B1(_2393_),
+    .B2(_2638_),
+    .X(_2639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6481_ (.A1(_2299_),
+    .A2(_2639_),
+    .B1(_2308_),
+    .X(_2640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6482_ (.A1(_2459_),
+    .A2(_2610_),
+    .A3(_2621_),
+    .B1(_2630_),
+    .B2(_2640_),
+    .X(_2641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6483_ (.A(\u_spictrl.spi_mode_cmd[4] ),
+    .B(_2522_),
+    .X(_2642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6484_ (.A(psn_net_5),
+    .B(_2629_),
+    .X(_2643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6485_ (.A1(psn_net_31),
+    .A2(_2641_),
+    .B1(_2642_),
+    .C1(_2643_),
+    .X(_2644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6486_ (.A(_2644_),
+    .Y(_2645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6487_ (.A1(_2448_),
+    .A2(_2599_),
+    .B1(_2600_),
+    .B2(_2645_),
+    .X(_2646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6488_ (.A1(_2595_),
+    .A2(_2447_),
+    .B1(_2250_),
+    .B2(_2646_),
+    .X(_2647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6489_ (.A(_2647_),
+    .Y(_0659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6490_ (.A(_2217_),
+    .X(spi_debug[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6491_ (.A(_2248_),
+    .X(_2648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6492_ (.A(_2648_),
+    .X(_2649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6493_ (.A(_2600_),
+    .X(_2650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6494_ (.A(_2288_),
+    .X(_2651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6495_ (.A(_2342_),
+    .X(_2652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6496_ (.A(\u_m0_cmd_fifo.mem[0][19] ),
+    .Y(_2653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6497_ (.A(\u_m0_cmd_fifo.mem[1][19] ),
+    .Y(_2654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6498_ (.A1(_2652_),
+    .A2(_2653_),
+    .B1(_2509_),
+    .B2(_2654_),
+    .X(_2655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6499_ (.A(_2376_),
+    .X(_2656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6500_ (.A(_2496_),
+    .B(\u_m1_cmd_fifo.mem[0][19] ),
+    .X(_2657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6501_ (.A(_2386_),
+    .B(\u_m1_cmd_fifo.mem[1][19] ),
+    .X(_2658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6502_ (.A(_2514_),
+    .B(\u_m1_cmd_fifo.mem[3][19] ),
+    .X(_2659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6503_ (.A1(_2357_),
+    .A2(\u_m1_cmd_fifo.mem[2][19] ),
+    .B1(_1877_),
+    .X(_2660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6504_ (.A1(_2656_),
+    .A2(_2657_),
+    .A3(_2658_),
+    .B1(_2659_),
+    .B2(_2660_),
+    .X(_2661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6505_ (.A1_N(_2512_),
+    .A2_N(_2655_),
+    .B1(_2512_),
+    .B2(_2661_),
+    .X(_2662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6506_ (.A(_2566_),
+    .B(_2662_),
+    .X(_2663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6507_ (.A(_2341_),
+    .X(_2664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6508_ (.A(\u_m0_cmd_fifo.mem[0][27] ),
+    .Y(_2665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6509_ (.A(\u_m0_cmd_fifo.mem[1][27] ),
+    .Y(_2666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6510_ (.A1(_2426_),
+    .A2(_2665_),
+    .B1(_2002_),
+    .B2(_2666_),
+    .X(_2667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6511_ (.A(_2482_),
+    .X(_2668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6512_ (.A(_2382_),
+    .B(\u_m1_cmd_fifo.mem[3][27] ),
+    .X(_2669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6513_ (.A1(_1882_),
+    .A2(\u_m1_cmd_fifo.mem[2][27] ),
+    .B1(_1987_),
+    .C1(_2669_),
+    .X(_2670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6514_ (.A(_2350_),
+    .B(\u_m1_cmd_fifo.mem[0][27] ),
+    .X(_2671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6515_ (.A1(_2421_),
+    .A2(\u_m1_cmd_fifo.mem[1][27] ),
+    .B1(_1878_),
+    .C1(_2671_),
+    .X(_2672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6516_ (.A(_2670_),
+    .B(_2672_),
+    .Y(_2673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6517_ (.A1(_2664_),
+    .A2(_2667_),
+    .B1(_2668_),
+    .B2(_2673_),
+    .X(_2674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6518_ (.A(_2307_),
+    .B(_2674_),
+    .Y(_2675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6519_ (.A(_2400_),
+    .B(\u_m1_cmd_fifo.mem[3][3] ),
+    .X(_2676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6520_ (.A1(_2422_),
+    .A2(\u_m1_cmd_fifo.mem[2][3] ),
+    .B1(_2399_),
+    .C1(_2676_),
+    .X(_2677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6521_ (.A(_2496_),
+    .X(_2678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6522_ (.A(_2622_),
+    .B(\u_m1_cmd_fifo.mem[0][3] ),
+    .X(_2679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6523_ (.A1(_2678_),
+    .A2(\u_m1_cmd_fifo.mem[1][3] ),
+    .B1(_2488_),
+    .C1(_2679_),
+    .X(_2680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6524_ (.A(_2463_),
+    .B(\u_m0_cmd_fifo.mem[1][3] ),
+    .X(_2681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6525_ (.A1(_2368_),
+    .A2(\u_m0_cmd_fifo.mem[0][3] ),
+    .B1(_2482_),
+    .X(_2682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6526_ (.A1(_2677_),
+    .A2(_2680_),
+    .A3(_2004_),
+    .B1(_2681_),
+    .B2(_2682_),
+    .X(_2683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6527_ (.A(_2291_),
+    .B(_2683_),
+    .X(_2684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6528_ (.A(\u_m0_cmd_fifo.mem[0][11] ),
+    .Y(_2685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6529_ (.A(\u_m0_cmd_fifo.mem[1][11] ),
+    .Y(_2686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6530_ (.A1(_2477_),
+    .A2(_2685_),
+    .B1(_2479_),
+    .B2(_2686_),
+    .X(_2687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6531_ (.A(_2484_),
+    .X(_2688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6532_ (.A(_2416_),
+    .X(_2689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6533_ (.A(_2689_),
+    .B(\u_m1_cmd_fifo.mem[0][11] ),
+    .X(_2690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6534_ (.A(_2489_),
+    .B(\u_m1_cmd_fifo.mem[1][11] ),
+    .X(_2691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6535_ (.A(_1881_),
+    .B(\u_m1_cmd_fifo.mem[3][11] ),
+    .X(_2692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6536_ (.A(_2408_),
+    .X(_2693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6537_ (.A1(_2616_),
+    .A2(\u_m1_cmd_fifo.mem[2][11] ),
+    .B1(_2693_),
+    .X(_2694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6538_ (.A1(_2688_),
+    .A2(_2690_),
+    .A3(_2691_),
+    .B1(_2692_),
+    .B2(_2694_),
+    .X(_2695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6539_ (.A1_N(_2476_),
+    .A2_N(_2687_),
+    .B1(_2476_),
+    .B2(_2695_),
+    .X(_2696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6540_ (.A(_2308_),
+    .X(_2697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6541_ (.A1(_2300_),
+    .A2(_2696_),
+    .B1(_2697_),
+    .X(_2698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6542_ (.A1(_2651_),
+    .A2(_2663_),
+    .A3(_2675_),
+    .B1(_2684_),
+    .B2(_2698_),
+    .X(_2699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6543_ (.A(_2522_),
+    .X(_2700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6544_ (.A(\u_spictrl.spi_mode_cmd[3] ),
+    .B(_2700_),
+    .X(_2701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6545_ (.A(psn_net_5),
+    .X(_2702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6546_ (.A(_2702_),
+    .B(_2683_),
+    .X(_2703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6547_ (.A1(_2302_),
+    .A2(_2699_),
+    .B1(_2701_),
+    .C1(_2703_),
+    .X(_2704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6548_ (.A(_2704_),
+    .Y(_2705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6549_ (.A(_2223_),
+    .X(_2706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6550_ (.A(_2706_),
+    .X(_2707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6551_ (.A(_2596_),
+    .X(_2708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6552_ (.A(_2708_),
+    .X(_2709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6553_ (.A(\u_spictrl.u_txreg.data_int[23] ),
+    .Y(_2710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6554_ (.A1_N(_2709_),
+    .A2_N(\u_spictrl.u_txreg.data_int[26] ),
+    .B1(_2709_),
+    .B2(_2710_),
+    .X(_2711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6555_ (.A1(_2650_),
+    .A2(_2705_),
+    .B1(_2707_),
+    .B2(_2711_),
+    .X(_2712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6556_ (.A(\u_spictrl.u_txreg.data_int[27] ),
+    .Y(_2713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6557_ (.A1(_1899_),
+    .A2(_2450_),
+    .B1(\u_spictrl.spi_fall ),
+    .X(_2714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6558_ (.A(_2714_),
+    .X(_2715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6559_ (.A(_2715_),
+    .X(_2716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6560_ (.A1(_2649_),
+    .A2(_2712_),
+    .B1(_2713_),
+    .B2(_2716_),
+    .X(_2717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6561_ (.A(psn_net_14),
+    .B(_2717_),
+    .Y(_0658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6562_ (.A(\u_m0_cmd_fifo.mem[0][18] ),
+    .Y(_2718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6563_ (.A(\u_m0_cmd_fifo.mem[1][18] ),
+    .Y(_2719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6564_ (.A1(_2652_),
+    .A2(_2718_),
+    .B1(_2509_),
+    .B2(_2719_),
+    .X(_2720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6565_ (.A(_2003_),
+    .X(_2721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6566_ (.A(_2496_),
+    .B(\u_m1_cmd_fifo.mem[0][18] ),
+    .X(_2722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6567_ (.A(_2386_),
+    .B(\u_m1_cmd_fifo.mem[1][18] ),
+    .X(_2723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6568_ (.A(_2543_),
+    .B(\u_m1_cmd_fifo.mem[3][18] ),
+    .X(_2724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6569_ (.A1(_2545_),
+    .A2(\u_m1_cmd_fifo.mem[2][18] ),
+    .B1(_1877_),
+    .X(_2725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6570_ (.A1(_2567_),
+    .A2(_2722_),
+    .A3(_2723_),
+    .B1(_2724_),
+    .B2(_2725_),
+    .X(_2726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6571_ (.A1_N(_2512_),
+    .A2_N(_2720_),
+    .B1(_2721_),
+    .B2(_2726_),
+    .X(_2727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6572_ (.A(_2566_),
+    .B(_2727_),
+    .X(_2728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6573_ (.A(\u_m0_cmd_fifo.mem[0][26] ),
+    .Y(_2729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6574_ (.A(\u_m0_cmd_fifo.mem[1][26] ),
+    .Y(_2730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6575_ (.A1(_2426_),
+    .A2(_2729_),
+    .B1(_2552_),
+    .B2(_2730_),
+    .X(_2731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6576_ (.A(_2556_),
+    .B(\u_m1_cmd_fifo.mem[3][26] ),
+    .X(_2732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6577_ (.A1(_2555_),
+    .A2(\u_m1_cmd_fifo.mem[2][26] ),
+    .B1(_1987_),
+    .C1(_2732_),
+    .X(_2733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6578_ (.A(_2560_),
+    .B(\u_m1_cmd_fifo.mem[0][26] ),
+    .X(_2734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6579_ (.A1(_2421_),
+    .A2(\u_m1_cmd_fifo.mem[1][26] ),
+    .B1(_2559_),
+    .C1(_2734_),
+    .X(_2735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6580_ (.A(_2733_),
+    .B(_2735_),
+    .Y(_2736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6581_ (.A1(_2664_),
+    .A2(_2731_),
+    .B1(_2668_),
+    .B2(_2736_),
+    .X(_2737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6582_ (.A(_2307_),
+    .B(_2737_),
+    .Y(_2738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6583_ (.A(_2417_),
+    .B(\u_m1_cmd_fifo.mem[3][2] ),
+    .X(_2739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6584_ (.A1(_2422_),
+    .A2(\u_m1_cmd_fifo.mem[2][2] ),
+    .B1(_2656_),
+    .C1(_2739_),
+    .X(_2740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6585_ (.A(_2622_),
+    .B(\u_m1_cmd_fifo.mem[0][2] ),
+    .X(_2741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6586_ (.A1(_2678_),
+    .A2(\u_m1_cmd_fifo.mem[1][2] ),
+    .B1(_2488_),
+    .C1(_2741_),
+    .X(_2742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6587_ (.A(_2572_),
+    .B(\u_m0_cmd_fifo.mem[1][2] ),
+    .X(_2743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6588_ (.A1(_2574_),
+    .A2(\u_m0_cmd_fifo.mem[0][2] ),
+    .B1(_2482_),
+    .X(_2744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6589_ (.A1(_2740_),
+    .A2(_2742_),
+    .A3(_2004_),
+    .B1(_2743_),
+    .B2(_2744_),
+    .X(_2745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6590_ (.A(_2291_),
+    .B(_2745_),
+    .X(_2746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6591_ (.A(_2393_),
+    .X(_2747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6592_ (.A(\u_m0_cmd_fifo.mem[0][10] ),
+    .Y(_2748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6593_ (.A(\u_m0_cmd_fifo.mem[1][10] ),
+    .Y(_2749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6594_ (.A1(_2395_),
+    .A2(_2748_),
+    .B1(_2479_),
+    .B2(_2749_),
+    .X(_2750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6595_ (.A(_2689_),
+    .B(\u_m1_cmd_fifo.mem[0][10] ),
+    .X(_2751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6596_ (.A(_2489_),
+    .B(\u_m1_cmd_fifo.mem[1][10] ),
+    .X(_2752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6597_ (.A(_1881_),
+    .B(\u_m1_cmd_fifo.mem[3][10] ),
+    .X(_2753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6598_ (.A1(_2616_),
+    .A2(\u_m1_cmd_fifo.mem[2][10] ),
+    .B1(_2693_),
+    .X(_2754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6599_ (.A1(_2688_),
+    .A2(_2751_),
+    .A3(_2752_),
+    .B1(_2753_),
+    .B2(_2754_),
+    .X(_2755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6600_ (.A1_N(_2747_),
+    .A2_N(_2750_),
+    .B1(_2747_),
+    .B2(_2755_),
+    .X(_2756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6601_ (.A1(_2300_),
+    .A2(_2756_),
+    .B1(_2697_),
+    .X(_2757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6602_ (.A1(_2651_),
+    .A2(_2728_),
+    .A3(_2738_),
+    .B1(_2746_),
+    .B2(_2757_),
+    .X(_2758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6603_ (.A(\u_spictrl.spi_mode_cmd[2] ),
+    .B(_2700_),
+    .X(_2759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6604_ (.A(_2702_),
+    .B(_2745_),
+    .X(_2760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6605_ (.A1(_2302_),
+    .A2(_2758_),
+    .B1(_2759_),
+    .C1(_2760_),
+    .X(_2761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6606_ (.A(_2761_),
+    .Y(_2762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6607_ (.A(\u_spictrl.u_txreg.data_int[22] ),
+    .Y(_2763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6608_ (.A1_N(_2709_),
+    .A2_N(\u_spictrl.u_txreg.data_int[25] ),
+    .B1(_2709_),
+    .B2(_2763_),
+    .X(_2764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6609_ (.A1(_2650_),
+    .A2(_2762_),
+    .B1(_2707_),
+    .B2(_2764_),
+    .X(_2765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6610_ (.A1(_2649_),
+    .A2(_2765_),
+    .B1(_2454_),
+    .B2(_2716_),
+    .X(_2766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6611_ (.A(psn_net_14),
+    .B(_2766_),
+    .Y(_0657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6612_ (.A(\u_m0_cmd_fifo.mem[0][17] ),
+    .Y(_2767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6613_ (.A(\u_m0_cmd_fifo.mem[1][17] ),
+    .Y(_2768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6614_ (.A1(_2652_),
+    .A2(_2767_),
+    .B1(_2509_),
+    .B2(_2768_),
+    .X(_2769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6615_ (.A(_2466_),
+    .B(\u_m1_cmd_fifo.mem[0][17] ),
+    .X(_2770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6616_ (.A(_2374_),
+    .B(\u_m1_cmd_fifo.mem[1][17] ),
+    .X(_2771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6617_ (.A(_2543_),
+    .B(\u_m1_cmd_fifo.mem[3][17] ),
+    .X(_2772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6618_ (.A1(_2545_),
+    .A2(\u_m1_cmd_fifo.mem[2][17] ),
+    .B1(_2546_),
+    .X(_2773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6619_ (.A1(_2567_),
+    .A2(_2770_),
+    .A3(_2771_),
+    .B1(_2772_),
+    .B2(_2773_),
+    .X(_2774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6620_ (.A1_N(_2721_),
+    .A2_N(_2769_),
+    .B1(_2721_),
+    .B2(_2774_),
+    .X(_2775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6621_ (.A(_2495_),
+    .B(_2775_),
+    .X(_2776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6622_ (.A(\u_m0_cmd_fifo.mem[0][25] ),
+    .Y(_2777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6623_ (.A(\u_m0_cmd_fifo.mem[1][25] ),
+    .Y(_2778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6624_ (.A1(_2426_),
+    .A2(_2777_),
+    .B1(_2552_),
+    .B2(_2778_),
+    .X(_2779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6625_ (.A(_2556_),
+    .B(\u_m1_cmd_fifo.mem[3][25] ),
+    .X(_2780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6626_ (.A1(_2555_),
+    .A2(\u_m1_cmd_fifo.mem[2][25] ),
+    .B1(_2485_),
+    .C1(_2780_),
+    .X(_2781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6627_ (.A(_2560_),
+    .B(\u_m1_cmd_fifo.mem[0][25] ),
+    .X(_2782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6628_ (.A1(_2421_),
+    .A2(\u_m1_cmd_fifo.mem[1][25] ),
+    .B1(_2559_),
+    .C1(_2782_),
+    .X(_2783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6629_ (.A(_2781_),
+    .B(_2783_),
+    .Y(_2784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6630_ (.A1(_2664_),
+    .A2(_2779_),
+    .B1(_2483_),
+    .B2(_2784_),
+    .X(_2785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6631_ (.A(_2475_),
+    .B(_2785_),
+    .Y(_2786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6632_ (.A(_2417_),
+    .B(\u_m1_cmd_fifo.mem[3][1] ),
+    .X(_2787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6633_ (.A1(_2375_),
+    .A2(\u_m1_cmd_fifo.mem[2][1] ),
+    .B1(_2656_),
+    .C1(_2787_),
+    .X(_2788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6634_ (.A(_2622_),
+    .B(\u_m1_cmd_fifo.mem[0][1] ),
+    .X(_2789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6635_ (.A1(_2678_),
+    .A2(\u_m1_cmd_fifo.mem[1][1] ),
+    .B1(_2488_),
+    .C1(_2789_),
+    .X(_2790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6636_ (.A(_2572_),
+    .B(\u_m0_cmd_fifo.mem[1][1] ),
+    .X(_2791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6637_ (.A1(_2574_),
+    .A2(\u_m0_cmd_fifo.mem[0][1] ),
+    .B1(_2503_),
+    .X(_2792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6638_ (.A1(_2788_),
+    .A2(_2790_),
+    .A3(_2501_),
+    .B1(_2791_),
+    .B2(_2792_),
+    .X(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6639_ (.A(_2291_),
+    .B(_2793_),
+    .X(_2794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6640_ (.A(\u_m0_cmd_fifo.mem[0][9] ),
+    .Y(_2795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6641_ (.A(\u_m0_cmd_fifo.mem[1][9] ),
+    .Y(_2796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6642_ (.A1(_2395_),
+    .A2(_2795_),
+    .B1(_2479_),
+    .B2(_2796_),
+    .X(_2797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6643_ (.A(_2689_),
+    .B(\u_m1_cmd_fifo.mem[0][9] ),
+    .X(_2798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6644_ (.A(_2489_),
+    .B(\u_m1_cmd_fifo.mem[1][9] ),
+    .X(_2799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6645_ (.A(_2405_),
+    .B(\u_m1_cmd_fifo.mem[3][9] ),
+    .X(_2800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6646_ (.A1(_2616_),
+    .A2(\u_m1_cmd_fifo.mem[2][9] ),
+    .B1(_2693_),
+    .X(_2801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6647_ (.A1(_2688_),
+    .A2(_2798_),
+    .A3(_2799_),
+    .B1(_2800_),
+    .B2(_2801_),
+    .X(_2802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6648_ (.A1_N(_2747_),
+    .A2_N(_2797_),
+    .B1(_2747_),
+    .B2(_2802_),
+    .X(_2803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6649_ (.A1(_2339_),
+    .A2(_2803_),
+    .B1(_2697_),
+    .X(_2804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6650_ (.A1(_2651_),
+    .A2(_2776_),
+    .A3(_2786_),
+    .B1(_2794_),
+    .B2(_2804_),
+    .X(_2805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6651_ (.A(\u_spictrl.spi_mode_cmd[1] ),
+    .B(_2523_),
+    .X(_2806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6652_ (.A(_2702_),
+    .B(_2793_),
+    .X(_2807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6653_ (.A1(_2458_),
+    .A2(_2805_),
+    .B1(_2806_),
+    .C1(_2807_),
+    .X(_2808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6654_ (.A(_2808_),
+    .Y(_2809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6655_ (.A(_2453_),
+    .X(_2810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6656_ (.A(_2810_),
+    .X(_2811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6657_ (.A(\u_spictrl.u_txreg.data_int[21] ),
+    .Y(_2812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6658_ (.A1_N(_2811_),
+    .A2_N(\u_spictrl.u_txreg.data_int[24] ),
+    .B1(_2811_),
+    .B2(_2812_),
+    .X(_2813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6659_ (.A1(_2650_),
+    .A2(_2809_),
+    .B1(_2707_),
+    .B2(_2813_),
+    .X(_2814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6660_ (.A1(_2649_),
+    .A2(_2814_),
+    .B1(_2535_),
+    .B2(_2716_),
+    .X(_2815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6661_ (.A(psn_net_14),
+    .B(_2815_),
+    .Y(_0656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6662_ (.A(psn_net_15),
+    .X(_2816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6663_ (.A(_2816_),
+    .X(_2817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6664_ (.A(\u_m0_cmd_fifo.mem[0][16] ),
+    .Y(_2818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6665_ (.A(\u_m0_cmd_fifo.mem[1][16] ),
+    .Y(_2819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6666_ (.A1(_2652_),
+    .A2(_2818_),
+    .B1(_2463_),
+    .B2(_2819_),
+    .X(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6667_ (.A(_2466_),
+    .B(\u_m1_cmd_fifo.mem[0][16] ),
+    .X(_2821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6668_ (.A(_2374_),
+    .B(\u_m1_cmd_fifo.mem[1][16] ),
+    .X(_2822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6669_ (.A(_2543_),
+    .B(\u_m1_cmd_fifo.mem[3][16] ),
+    .X(_2823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6670_ (.A1(_2545_),
+    .A2(\u_m1_cmd_fifo.mem[2][16] ),
+    .B1(_2546_),
+    .X(_2824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6671_ (.A1(_2567_),
+    .A2(_2821_),
+    .A3(_2822_),
+    .B1(_2823_),
+    .B2(_2824_),
+    .X(_2825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6672_ (.A1_N(_2721_),
+    .A2_N(_2820_),
+    .B1(_2460_),
+    .B2(_2825_),
+    .X(_2826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6673_ (.A(_2495_),
+    .B(_2826_),
+    .X(_2827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6674_ (.A(\u_m0_cmd_fifo.mem[0][24] ),
+    .Y(_2828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6675_ (.A(\u_m0_cmd_fifo.mem[1][24] ),
+    .Y(_2829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6676_ (.A1(_2477_),
+    .A2(_2828_),
+    .B1(_2552_),
+    .B2(_2829_),
+    .X(_2830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6677_ (.A(_2556_),
+    .B(\u_m1_cmd_fifo.mem[3][24] ),
+    .X(_2831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6678_ (.A1(_2555_),
+    .A2(\u_m1_cmd_fifo.mem[2][24] ),
+    .B1(_2485_),
+    .C1(_2831_),
+    .X(_2832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6679_ (.A(_2560_),
+    .B(\u_m1_cmd_fifo.mem[0][24] ),
+    .X(_2833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6680_ (.A1(_2418_),
+    .A2(\u_m1_cmd_fifo.mem[1][24] ),
+    .B1(_2559_),
+    .C1(_2833_),
+    .X(_2834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6681_ (.A(_2832_),
+    .B(_2834_),
+    .Y(_2835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6682_ (.A1(_2664_),
+    .A2(_2830_),
+    .B1(_2483_),
+    .B2(_2835_),
+    .X(_2836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _6683_ (.A(_2475_),
+    .B(_2836_),
+    .Y(_2837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6684_ (.A(_2417_),
+    .B(\u_m1_cmd_fifo.mem[3][0] ),
+    .X(_2838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6685_ (.A1(_2375_),
+    .A2(\u_m1_cmd_fifo.mem[2][0] ),
+    .B1(_2656_),
+    .C1(_2838_),
+    .X(_2839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6686_ (.A(_2514_),
+    .B(\u_m1_cmd_fifo.mem[0][0] ),
+    .X(_2840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6687_ (.A1(_2678_),
+    .A2(\u_m1_cmd_fifo.mem[1][0] ),
+    .B1(_2384_),
+    .C1(_2840_),
+    .X(_2841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6688_ (.A(_2572_),
+    .B(\u_m0_cmd_fifo.mem[1][0] ),
+    .X(_2842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6689_ (.A1(_2574_),
+    .A2(\u_m0_cmd_fifo.mem[0][0] ),
+    .B1(_2503_),
+    .X(_2843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6690_ (.A1(_2839_),
+    .A2(_2841_),
+    .A3(_2501_),
+    .B1(_2842_),
+    .B2(_2843_),
+    .X(_2844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6691_ (.A(_2566_),
+    .B(_2844_),
+    .X(_2845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6692_ (.A(\u_m0_cmd_fifo.mem[0][8] ),
+    .Y(_2846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6693_ (.A(\u_m0_cmd_fifo.mem[1][8] ),
+    .Y(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6694_ (.A1(_2395_),
+    .A2(_2846_),
+    .B1(_2371_),
+    .B2(_2847_),
+    .X(_2848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6695_ (.A(_2689_),
+    .B(\u_m1_cmd_fifo.mem[0][8] ),
+    .X(_2849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6696_ (.A(_2403_),
+    .B(\u_m1_cmd_fifo.mem[1][8] ),
+    .X(_2850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6697_ (.A(_2405_),
+    .B(\u_m1_cmd_fifo.mem[3][8] ),
+    .X(_2851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6698_ (.A1(_2407_),
+    .A2(\u_m1_cmd_fifo.mem[2][8] ),
+    .B1(_2693_),
+    .X(_2852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6699_ (.A1(_2688_),
+    .A2(_2849_),
+    .A3(_2850_),
+    .B1(_2851_),
+    .B2(_2852_),
+    .X(_2853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6700_ (.A1_N(_2394_),
+    .A2_N(_2848_),
+    .B1(_2394_),
+    .B2(_2853_),
+    .X(_2854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6701_ (.A1(_2339_),
+    .A2(_2854_),
+    .B1(_2697_),
+    .X(_2855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6702_ (.A1(_2459_),
+    .A2(_2827_),
+    .A3(_2837_),
+    .B1(_2845_),
+    .B2(_2855_),
+    .X(_2856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6703_ (.A(\u_spictrl.spi_mode_cmd[0] ),
+    .B(_2523_),
+    .X(_2857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6704_ (.A(_2702_),
+    .B(_2844_),
+    .X(_2858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6705_ (.A1(_2458_),
+    .A2(_2856_),
+    .B1(_2857_),
+    .C1(_2858_),
+    .X(_2859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6706_ (.A(_2859_),
+    .Y(_2860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6707_ (.A(\u_spictrl.u_txreg.data_int[20] ),
+    .Y(_2861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6708_ (.A1_N(_2811_),
+    .A2_N(\u_spictrl.u_txreg.data_int[23] ),
+    .B1(_2811_),
+    .B2(_2861_),
+    .X(_2862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6709_ (.A1(_2650_),
+    .A2(_2860_),
+    .B1(_2707_),
+    .B2(_2862_),
+    .X(_2863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6710_ (.A1(_2649_),
+    .A2(_2863_),
+    .B1(_2597_),
+    .B2(_2716_),
+    .X(_2864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6711_ (.A(_2817_),
+    .B(_2864_),
+    .Y(_0655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6712_ (.A(_2248_),
+    .X(_2865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6713_ (.A(_2439_),
+    .X(_2866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6714_ (.A(_2338_),
+    .B(_2428_),
+    .X(_2867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6715_ (.A(_2336_),
+    .Y(_2868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6716_ (.A(_2868_),
+    .X(_2869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6717_ (.A(_2362_),
+    .Y(_2870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6718_ (.A(_2870_),
+    .X(_2871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6719_ (.A(_2361_),
+    .Y(_2872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6720_ (.A1(_2871_),
+    .A2(_2872_),
+    .B1(_2364_),
+    .B2(_2412_),
+    .X(_2873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6721_ (.A(_2869_),
+    .B(_2873_),
+    .X(_2874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6722_ (.A(_1893_),
+    .X(_2875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6723_ (.A(_2875_),
+    .X(_2876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6724_ (.A1(_2294_),
+    .A2(_2867_),
+    .A3(_2874_),
+    .B1(_2876_),
+    .B2(_2412_),
+    .X(_2877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6725_ (.A(_2877_),
+    .Y(_2878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6726_ (.A(_2706_),
+    .X(_2879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6727_ (.A(_2810_),
+    .X(_2880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6728_ (.A(\u_spictrl.u_txreg.data_int[19] ),
+    .Y(_2881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6729_ (.A1_N(_2880_),
+    .A2_N(\u_spictrl.u_txreg.data_int[22] ),
+    .B1(_2880_),
+    .B2(_2881_),
+    .X(_2882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6730_ (.A1(_2866_),
+    .A2(_2878_),
+    .B1(_2879_),
+    .B2(_2882_),
+    .X(_2883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6731_ (.A(_2715_),
+    .X(_2884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6732_ (.A1(_2865_),
+    .A2(_2883_),
+    .B1(_2710_),
+    .B2(_2884_),
+    .X(_2885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6733_ (.A(_2817_),
+    .B(_2885_),
+    .Y(_0654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6734_ (.A(_2338_),
+    .B(_2505_),
+    .X(_2886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6735_ (.A1(_2871_),
+    .A2(_2473_),
+    .B1(_2364_),
+    .B2(_2519_),
+    .X(_2887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6736_ (.A(_2869_),
+    .B(_2887_),
+    .X(_2888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6737_ (.A1(_2294_),
+    .A2(_2886_),
+    .A3(_2888_),
+    .B1(_2876_),
+    .B2(_2519_),
+    .X(_2889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6738_ (.A(_2889_),
+    .Y(_2890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6739_ (.A(\u_spictrl.u_txreg.data_int[18] ),
+    .Y(_2891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6740_ (.A1_N(_2880_),
+    .A2_N(\u_spictrl.u_txreg.data_int[21] ),
+    .B1(_2880_),
+    .B2(_2891_),
+    .X(_2892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6741_ (.A1(_2866_),
+    .A2(_2890_),
+    .B1(_2879_),
+    .B2(_2892_),
+    .X(_2893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6742_ (.A1(_2865_),
+    .A2(_2893_),
+    .B1(_2763_),
+    .B2(_2884_),
+    .X(_2894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6743_ (.A(_2817_),
+    .B(_2894_),
+    .Y(_0653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6744_ (.A(_2338_),
+    .B(_2576_),
+    .X(_2895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6745_ (.A(_2870_),
+    .X(_2896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6746_ (.A(_2363_),
+    .X(_2897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6747_ (.A1(_2896_),
+    .A2(_2549_),
+    .B1(_2897_),
+    .B2(_2586_),
+    .X(_2898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6748_ (.A(_2869_),
+    .B(_2898_),
+    .X(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6749_ (.A1(_2294_),
+    .A2(_2895_),
+    .A3(_2899_),
+    .B1(_2876_),
+    .B2(_2586_),
+    .X(_2900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6750_ (.A(_2900_),
+    .Y(_2901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6751_ (.A(_2810_),
+    .X(_2902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6752_ (.A(\u_spictrl.u_txreg.data_int[17] ),
+    .Y(_2903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6753_ (.A1_N(_2902_),
+    .A2_N(\u_spictrl.u_txreg.data_int[20] ),
+    .B1(_2902_),
+    .B2(_2903_),
+    .X(_2904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6754_ (.A1(_2866_),
+    .A2(_2901_),
+    .B1(_2879_),
+    .B2(_2904_),
+    .X(_2905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6755_ (.A1(_2865_),
+    .A2(_2905_),
+    .B1(_2812_),
+    .B2(_2884_),
+    .X(_2906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6756_ (.A(_2817_),
+    .B(_2906_),
+    .Y(_0652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6757_ (.A(_2816_),
+    .X(_2907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6758_ (.A(_2293_),
+    .X(_2908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6759_ (.A(_2337_),
+    .X(_2909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6760_ (.A(_2909_),
+    .B(_2629_),
+    .X(_2910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6761_ (.A1(_2896_),
+    .A2(_2609_),
+    .B1(_2897_),
+    .B2(_2639_),
+    .X(_2911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6762_ (.A(_2869_),
+    .B(_2911_),
+    .X(_2912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6763_ (.A(_1893_),
+    .X(_2913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6764_ (.A1(_2908_),
+    .A2(_2910_),
+    .A3(_2912_),
+    .B1(_2913_),
+    .B2(_2639_),
+    .X(_2914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6765_ (.A(_2914_),
+    .Y(_2915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6766_ (.A(\u_spictrl.u_txreg.data_int[16] ),
+    .Y(_2916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6767_ (.A1_N(_2902_),
+    .A2_N(\u_spictrl.u_txreg.data_int[19] ),
+    .B1(_2902_),
+    .B2(_2916_),
+    .X(_2917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6768_ (.A1(_2866_),
+    .A2(_2915_),
+    .B1(_2879_),
+    .B2(_2917_),
+    .X(_2918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6769_ (.A1(_2865_),
+    .A2(_2918_),
+    .B1(_2861_),
+    .B2(_2884_),
+    .X(_2919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6770_ (.A(_2907_),
+    .B(_2919_),
+    .Y(_0651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6771_ (.A(_2248_),
+    .X(_2920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6772_ (.A(_2439_),
+    .X(_2921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6773_ (.A(_2909_),
+    .B(_2683_),
+    .X(_2922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6774_ (.A(_2868_),
+    .X(_2923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6775_ (.A1(_2896_),
+    .A2(_2662_),
+    .B1(_2897_),
+    .B2(_2696_),
+    .X(_2924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6776_ (.A(_2923_),
+    .B(_2924_),
+    .X(_2925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6777_ (.A1(_2908_),
+    .A2(_2922_),
+    .A3(_2925_),
+    .B1(_2913_),
+    .B2(_2696_),
+    .X(_2926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6778_ (.A(_2926_),
+    .Y(_2927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6779_ (.A(_2223_),
+    .X(_2928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6780_ (.A(_2810_),
+    .X(_2929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6781_ (.A(\u_spictrl.u_txreg.data_int[15] ),
+    .Y(_2930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6782_ (.A1_N(_2929_),
+    .A2_N(\u_spictrl.u_txreg.data_int[18] ),
+    .B1(_2929_),
+    .B2(_2930_),
+    .X(_2931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6783_ (.A1(_2921_),
+    .A2(_2927_),
+    .B1(_2928_),
+    .B2(_2931_),
+    .X(_2932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6784_ (.A(_2715_),
+    .X(_2933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6785_ (.A1(_2920_),
+    .A2(_2932_),
+    .B1(_2881_),
+    .B2(_2933_),
+    .X(_2934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6786_ (.A(_2907_),
+    .B(_2934_),
+    .Y(_0650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6787_ (.A(_2909_),
+    .B(_2745_),
+    .X(_2935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6788_ (.A1(_2896_),
+    .A2(_2727_),
+    .B1(_2897_),
+    .B2(_2756_),
+    .X(_2936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6789_ (.A(_2923_),
+    .B(_2936_),
+    .X(_2937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6790_ (.A1(_2908_),
+    .A2(_2935_),
+    .A3(_2937_),
+    .B1(_2913_),
+    .B2(_2756_),
+    .X(_2938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6791_ (.A(_2938_),
+    .Y(_2939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6792_ (.A(\u_spictrl.u_txreg.data_int[14] ),
+    .Y(_2940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6793_ (.A1_N(_2929_),
+    .A2_N(\u_spictrl.u_txreg.data_int[17] ),
+    .B1(_2929_),
+    .B2(_2940_),
+    .X(_2941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6794_ (.A1(_2921_),
+    .A2(_2939_),
+    .B1(_2928_),
+    .B2(_2941_),
+    .X(_2942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6795_ (.A1(_2920_),
+    .A2(_2942_),
+    .B1(_2891_),
+    .B2(_2933_),
+    .X(_2943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6796_ (.A(_2907_),
+    .B(_2943_),
+    .Y(_0649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6797_ (.A(_2909_),
+    .B(_2793_),
+    .X(_2944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6798_ (.A1(_2870_),
+    .A2(_2775_),
+    .B1(_2363_),
+    .B2(_2803_),
+    .X(_2945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6799_ (.A(_2923_),
+    .B(_2945_),
+    .X(_2946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6800_ (.A1(_2908_),
+    .A2(_2944_),
+    .A3(_2946_),
+    .B1(_2913_),
+    .B2(_2803_),
+    .X(_2947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6801_ (.A(_2947_),
+    .Y(_2948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6802_ (.A(_2452_),
+    .X(_2949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6803_ (.A(\u_spictrl.u_txreg.data_int[13] ),
+    .Y(_2950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6804_ (.A1_N(_2949_),
+    .A2_N(\u_spictrl.u_txreg.data_int[16] ),
+    .B1(_2949_),
+    .B2(_2950_),
+    .X(_2951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6805_ (.A1(_2921_),
+    .A2(_2948_),
+    .B1(_2928_),
+    .B2(_2951_),
+    .X(_2952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6806_ (.A1(_2920_),
+    .A2(_2952_),
+    .B1(_2903_),
+    .B2(_2933_),
+    .X(_2953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6807_ (.A(_2907_),
+    .B(_2953_),
+    .Y(_0648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6808_ (.A(_2816_),
+    .X(_2954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6809_ (.A(_2337_),
+    .B(_2844_),
+    .X(_2955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6810_ (.A1(_2870_),
+    .A2(_2826_),
+    .B1(_2363_),
+    .B2(_2854_),
+    .X(_2956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6811_ (.A(_2923_),
+    .B(_2956_),
+    .X(_2957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6812_ (.A1(_2293_),
+    .A2(_2955_),
+    .A3(_2957_),
+    .B1(_2875_),
+    .B2(_2854_),
+    .X(_2958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6813_ (.A(_2958_),
+    .Y(_2959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6814_ (.A(\u_spictrl.u_txreg.data_int[12] ),
+    .Y(_2960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6815_ (.A1_N(_2949_),
+    .A2_N(\u_spictrl.u_txreg.data_int[15] ),
+    .B1(_2949_),
+    .B2(_2960_),
+    .X(_2961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6816_ (.A1(_2921_),
+    .A2(_2959_),
+    .B1(_2928_),
+    .B2(_2961_),
+    .X(_2962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6817_ (.A1(_2920_),
+    .A2(_2962_),
+    .B1(_2916_),
+    .B2(_2933_),
+    .X(_2963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6818_ (.A(_2954_),
+    .B(_2963_),
+    .Y(_0647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6819_ (.A(_2714_),
+    .X(_2964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6820_ (.A(_2648_),
+    .X(_2965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6821_ (.A(_2600_),
+    .X(_2966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6822_ (.A(_2364_),
+    .B(_2428_),
+    .X(_2967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6823_ (.A(_2871_),
+    .X(_2968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6824_ (.A(_2968_),
+    .B(_2412_),
+    .X(_2969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6825_ (.A(_2875_),
+    .X(_2970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6826_ (.A1(_2313_),
+    .A2(_2967_),
+    .A3(_2969_),
+    .B1(_2970_),
+    .B2(_2872_),
+    .X(_2971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6827_ (.A(_2971_),
+    .Y(_2972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6828_ (.A(_2706_),
+    .X(_2973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6829_ (.A(_2453_),
+    .X(_2974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6830_ (.A(_2974_),
+    .X(_2975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6831_ (.A(\u_spictrl.u_txreg.data_int[11] ),
+    .Y(_2976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6832_ (.A1_N(_2975_),
+    .A2_N(\u_spictrl.u_txreg.data_int[14] ),
+    .B1(_2975_),
+    .B2(_2976_),
+    .X(_2977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6833_ (.A1(_2966_),
+    .A2(_2972_),
+    .B1(_2973_),
+    .B2(_2977_),
+    .X(_2978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6834_ (.A1(_2930_),
+    .A2(_2964_),
+    .B1(_2965_),
+    .B2(_2978_),
+    .X(_2979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6835_ (.A(_2954_),
+    .B(_2979_),
+    .Y(_0646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6836_ (.A(_2968_),
+    .B(_2519_),
+    .X(_2980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6837_ (.A1(_2313_),
+    .A2(_2506_),
+    .A3(_2980_),
+    .B1(_2970_),
+    .B2(_2473_),
+    .X(_2981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6838_ (.A(_2981_),
+    .Y(_2982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6839_ (.A(\u_spictrl.u_txreg.data_int[10] ),
+    .Y(_2983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6840_ (.A1_N(_2975_),
+    .A2_N(\u_spictrl.u_txreg.data_int[13] ),
+    .B1(_2975_),
+    .B2(_2983_),
+    .X(_2984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6841_ (.A1(_2966_),
+    .A2(_2982_),
+    .B1(_2973_),
+    .B2(_2984_),
+    .X(_2985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6842_ (.A1(_2940_),
+    .A2(_2964_),
+    .B1(_2965_),
+    .B2(_2985_),
+    .X(_2986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6843_ (.A(_2954_),
+    .B(_2986_),
+    .Y(_0645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6844_ (.A(_2968_),
+    .B(_2586_),
+    .X(_2987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6845_ (.A1(_2313_),
+    .A2(_2577_),
+    .A3(_2987_),
+    .B1(_2970_),
+    .B2(_2549_),
+    .X(_2988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6846_ (.A(_2988_),
+    .Y(_2989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6847_ (.A(_2453_),
+    .X(_2990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6848_ (.A(_2990_),
+    .X(_2991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6849_ (.A(\u_spictrl.u_txreg.data_int[9] ),
+    .Y(_2992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6850_ (.A1_N(_2991_),
+    .A2_N(\u_spictrl.u_txreg.data_int[12] ),
+    .B1(_2991_),
+    .B2(_2992_),
+    .X(_2993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6851_ (.A1(_2966_),
+    .A2(_2989_),
+    .B1(_2973_),
+    .B2(_2993_),
+    .X(_2994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6852_ (.A1(_2950_),
+    .A2(_2964_),
+    .B1(_2965_),
+    .B2(_2994_),
+    .X(_2995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6853_ (.A(_2954_),
+    .B(_2995_),
+    .Y(_0644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6854_ (.A(_2816_),
+    .X(_2996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6855_ (.A(_2714_),
+    .X(_2997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6856_ (.A(_2312_),
+    .X(_2998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6857_ (.A(_2968_),
+    .B(_2639_),
+    .X(_2999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6858_ (.A(_2875_),
+    .X(_3000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6859_ (.A1(_2998_),
+    .A2(_2630_),
+    .A3(_2999_),
+    .B1(_3000_),
+    .B2(_2609_),
+    .X(_3001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6860_ (.A(_3001_),
+    .Y(_3002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6861_ (.A(\u_spictrl.u_txreg.data_int[8] ),
+    .Y(_3003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6862_ (.A1_N(_2991_),
+    .A2_N(\u_spictrl.u_txreg.data_int[11] ),
+    .B1(_2991_),
+    .B2(_3003_),
+    .X(_3004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6863_ (.A1(_2966_),
+    .A2(_3002_),
+    .B1(_2973_),
+    .B2(_3004_),
+    .X(_3005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6864_ (.A1(_2960_),
+    .A2(_2997_),
+    .B1(_2965_),
+    .B2(_3005_),
+    .X(_3006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6865_ (.A(_2996_),
+    .B(_3006_),
+    .Y(_0643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6866_ (.A(_2648_),
+    .X(_3007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6867_ (.A(_2600_),
+    .X(_3008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6868_ (.A(_2871_),
+    .X(_3009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6869_ (.A(_3009_),
+    .B(_2696_),
+    .X(_3010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6870_ (.A1(_2998_),
+    .A2(_2684_),
+    .A3(_3010_),
+    .B1(_3000_),
+    .B2(_2662_),
+    .X(_3011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6871_ (.A(_3011_),
+    .Y(_3012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6872_ (.A(_2706_),
+    .X(_3013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6873_ (.A(_2990_),
+    .X(_3014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6874_ (.A(\u_spictrl.u_txreg.data_int[7] ),
+    .Y(_3015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6875_ (.A1_N(_3014_),
+    .A2_N(\u_spictrl.u_txreg.data_int[10] ),
+    .B1(_3014_),
+    .B2(_3015_),
+    .X(_3016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6876_ (.A1(_3008_),
+    .A2(_3012_),
+    .B1(_3013_),
+    .B2(_3016_),
+    .X(_3017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6877_ (.A1(_2976_),
+    .A2(_2997_),
+    .B1(_3007_),
+    .B2(_3017_),
+    .X(_3018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6878_ (.A(_2996_),
+    .B(_3018_),
+    .Y(_0642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6879_ (.A(_3009_),
+    .B(_2756_),
+    .X(_3019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6880_ (.A1(_2998_),
+    .A2(_2746_),
+    .A3(_3019_),
+    .B1(_3000_),
+    .B2(_2727_),
+    .X(_3020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6881_ (.A(_3020_),
+    .Y(_3021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6882_ (.A(\u_spictrl.u_txreg.data_int[6] ),
+    .Y(_3022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6883_ (.A1_N(_3014_),
+    .A2_N(\u_spictrl.u_txreg.data_int[9] ),
+    .B1(_3014_),
+    .B2(_3022_),
+    .X(_3023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6884_ (.A1(_3008_),
+    .A2(_3021_),
+    .B1(_3013_),
+    .B2(_3023_),
+    .X(_3024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6885_ (.A1(_2983_),
+    .A2(_2997_),
+    .B1(_3007_),
+    .B2(_3024_),
+    .X(_3025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6886_ (.A(_2996_),
+    .B(_3025_),
+    .Y(_0641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6887_ (.A(_3009_),
+    .B(_2803_),
+    .X(_3026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6888_ (.A1(_2998_),
+    .A2(_2794_),
+    .A3(_3026_),
+    .B1(_3000_),
+    .B2(_2775_),
+    .X(_3027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6889_ (.A(_3027_),
+    .Y(_3028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6890_ (.A(_2990_),
+    .X(_3029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6891_ (.A(\u_spictrl.u_txreg.data_int[5] ),
+    .Y(_3030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6892_ (.A1_N(_3029_),
+    .A2_N(\u_spictrl.u_txreg.data_int[8] ),
+    .B1(_3029_),
+    .B2(_3030_),
+    .X(_3031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6893_ (.A1(_3008_),
+    .A2(_3028_),
+    .B1(_3013_),
+    .B2(_3031_),
+    .X(_3032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6894_ (.A1(_2992_),
+    .A2(_2997_),
+    .B1(_3007_),
+    .B2(_3032_),
+    .X(_3033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6895_ (.A(_2996_),
+    .B(_3033_),
+    .Y(_0640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6896_ (.A(_2217_),
+    .X(_3034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6897_ (.A(_3009_),
+    .B(_2854_),
+    .X(_3035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6898_ (.A1(_2312_),
+    .A2(_2845_),
+    .A3(_3035_),
+    .B1(_2876_),
+    .B2(_2826_),
+    .X(_3036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6899_ (.A(_3036_),
+    .Y(_3037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6900_ (.A(\u_spictrl.u_txreg.data_int[4] ),
+    .Y(_3038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6901_ (.A1_N(_3029_),
+    .A2_N(\u_spictrl.u_txreg.data_int[7] ),
+    .B1(_3029_),
+    .B2(_3038_),
+    .X(_3039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6902_ (.A1(_3008_),
+    .A2(_3037_),
+    .B1(_3013_),
+    .B2(_3039_),
+    .X(_3040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6903_ (.A1(_3003_),
+    .A2(_2715_),
+    .B1(_3007_),
+    .B2(_3040_),
+    .X(_3041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6904_ (.A(_3034_),
+    .B(_3041_),
+    .Y(_0639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6905_ (.A(_2249_),
+    .X(_3042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6906_ (.A(_2448_),
+    .X(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6907_ (.A(_2450_),
+    .X(_3044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6908_ (.A(_2451_),
+    .X(_3045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6909_ (.A(_2596_),
+    .X(_3046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6910_ (.A(\u_spictrl.u_txreg.data_int[3] ),
+    .Y(_3047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6911_ (.A1_N(_2990_),
+    .A2_N(\u_spictrl.u_txreg.data_int[6] ),
+    .B1(_3046_),
+    .B2(_3047_),
+    .X(_3048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6912_ (.A1(_3015_),
+    .A2(_3044_),
+    .B1(_3045_),
+    .B2(_3048_),
+    .X(_3049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6913_ (.A(_2457_),
+    .X(_3050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6914_ (.A(_1888_),
+    .X(_3051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6915_ (.A(_2300_),
+    .B(_2311_),
+    .X(_3052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6916_ (.A(_3052_),
+    .X(_3053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6917_ (.A1(_3051_),
+    .A2(_2390_),
+    .B1(_3053_),
+    .B2(_2429_),
+    .X(_3054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6918_ (.A1(_3043_),
+    .A2(_3049_),
+    .B1(_3050_),
+    .B2(_3054_),
+    .X(_3055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6919_ (.A1(_3015_),
+    .A2(_2447_),
+    .B1(_3042_),
+    .B2(_3055_),
+    .X(_3056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6920_ (.A(_3056_),
+    .Y(_0638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6921_ (.A(\u_spictrl.u_txreg.data_int[2] ),
+    .Y(_3057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6922_ (.A1_N(_3046_),
+    .A2_N(\u_spictrl.u_txreg.data_int[5] ),
+    .B1(_3046_),
+    .B2(_3057_),
+    .X(_3058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6923_ (.A1(_3022_),
+    .A2(_2533_),
+    .B1(_3045_),
+    .B2(_3058_),
+    .X(_3059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6924_ (.A(_2505_),
+    .Y(_3060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6925_ (.A1(_3051_),
+    .A2(_2493_),
+    .B1(_3053_),
+    .B2(_3060_),
+    .X(_3061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6926_ (.A1(_3043_),
+    .A2(_3059_),
+    .B1(_3050_),
+    .B2(_3061_),
+    .X(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6927_ (.A1(_3022_),
+    .A2(_2447_),
+    .B1(_3042_),
+    .B2(_3062_),
+    .X(_3063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6928_ (.A(_3063_),
+    .Y(_0637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6929_ (.A(_2267_),
+    .X(_3064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6930_ (.A(\u_spictrl.u_txreg.data_int[1] ),
+    .Y(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6931_ (.A1_N(_3046_),
+    .A2_N(\u_spictrl.u_txreg.data_int[4] ),
+    .B1(_2708_),
+    .B2(_3065_),
+    .X(_3066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6932_ (.A1(_3030_),
+    .A2(_2533_),
+    .B1(_3045_),
+    .B2(_3066_),
+    .X(_3067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6933_ (.A(_2576_),
+    .Y(_3068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6934_ (.A1(_3051_),
+    .A2(_2564_),
+    .B1(_3053_),
+    .B2(_3068_),
+    .X(_3069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6935_ (.A1(_3043_),
+    .A2(_3067_),
+    .B1(_3050_),
+    .B2(_3069_),
+    .X(_3070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6936_ (.A1(_3030_),
+    .A2(_3064_),
+    .B1(_3042_),
+    .B2(_3070_),
+    .X(_3071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6937_ (.A(_3071_),
+    .Y(_0636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6938_ (.A(\u_spictrl.u_txreg.data_int[0] ),
+    .Y(_3072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _6939_ (.A1_N(_2708_),
+    .A2_N(\u_spictrl.u_txreg.data_int[3] ),
+    .B1(_2708_),
+    .B2(_3072_),
+    .X(_3073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6940_ (.A1(_3038_),
+    .A2(_2533_),
+    .B1(_3045_),
+    .B2(_3073_),
+    .X(_3074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6941_ (.A(_2457_),
+    .X(_3075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6942_ (.A(_1888_),
+    .X(_3076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6943_ (.A(_3052_),
+    .X(_3077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6944_ (.A(_2629_),
+    .Y(_3078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6945_ (.A1(_3076_),
+    .A2(_2620_),
+    .B1(_3077_),
+    .B2(_3078_),
+    .X(_3079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6946_ (.A1(_3043_),
+    .A2(_3074_),
+    .B1(_3075_),
+    .B2(_3079_),
+    .X(_3080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6947_ (.A1(_3038_),
+    .A2(_3064_),
+    .B1(_3042_),
+    .B2(_3080_),
+    .X(_3081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6948_ (.A(_3081_),
+    .Y(_0635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _6949_ (.A1(_2974_),
+    .A2(_3057_),
+    .A3(_2534_),
+    .B1(_3047_),
+    .B2(_3044_),
+    .X(_3082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6950_ (.A(_2683_),
+    .Y(_3083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6951_ (.A1(_3076_),
+    .A2(_2674_),
+    .B1(_3077_),
+    .B2(_3083_),
+    .X(_3084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6952_ (.A1(_2531_),
+    .A2(_3082_),
+    .B1(_3075_),
+    .B2(_3084_),
+    .X(_3085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6953_ (.A1(_3047_),
+    .A2(_3064_),
+    .B1(_2530_),
+    .B2(_3085_),
+    .X(_3086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6954_ (.A(_3086_),
+    .Y(_0634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _6955_ (.A1(_2974_),
+    .A2(_3065_),
+    .A3(_2534_),
+    .B1(_3057_),
+    .B2(_3044_),
+    .X(_3087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6956_ (.A(_2745_),
+    .Y(_3088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6957_ (.A1(_3076_),
+    .A2(_2737_),
+    .B1(_3077_),
+    .B2(_3088_),
+    .X(_3089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6958_ (.A1(_2531_),
+    .A2(_3087_),
+    .B1(_3075_),
+    .B2(_3089_),
+    .X(_3090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6959_ (.A1(_3057_),
+    .A2(_3064_),
+    .B1(_2530_),
+    .B2(_3090_),
+    .X(_3091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6960_ (.A(_3091_),
+    .Y(_0633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _6961_ (.A1(_2974_),
+    .A2(_3072_),
+    .A3(_2534_),
+    .B1(_3065_),
+    .B2(_3044_),
+    .X(_3092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6962_ (.A(_2793_),
+    .Y(_3093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6963_ (.A1(_3076_),
+    .A2(_2785_),
+    .B1(_3077_),
+    .B2(_3093_),
+    .X(_3094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6964_ (.A1(_2531_),
+    .A2(_3092_),
+    .B1(_3075_),
+    .B2(_3094_),
+    .X(_3095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6965_ (.A1(_3065_),
+    .A2(_2267_),
+    .B1(_2530_),
+    .B2(_3095_),
+    .X(_3096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6966_ (.A(_3096_),
+    .Y(_0632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6967_ (.A(_2844_),
+    .Y(_3097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6968_ (.A1(_3051_),
+    .A2(_2836_),
+    .B1(_3053_),
+    .B2(_3097_),
+    .X(_3098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _6969_ (.A1(_2648_),
+    .A2(_3098_),
+    .A3(_3050_),
+    .B1(_3072_),
+    .B2(_2964_),
+    .X(_3099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _6970_ (.A(_3034_),
+    .B(_3099_),
+    .Y(_0631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6971_ (.A(psn_net_24),
+    .X(_3100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6972_ (.A(_3100_),
+    .X(_3101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _6973_ (.A(_3101_),
+    .B(_2238_),
+    .X(_3102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6974_ (.A(_1410_),
+    .X(_3103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _6975_ (.A1(_2220_),
+    .A2(_0059_),
+    .B1(_2254_),
+    .X(_3104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6976_ (.A(_3104_),
+    .Y(_3105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6977_ (.A(_3103_),
+    .B(_3105_),
+    .X(_3106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6978_ (.A(io_out[5]),
+    .Y(_3107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6979_ (.A(_3102_),
+    .Y(_3108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6980_ (.A1(_2444_),
+    .A2(_3102_),
+    .A3(_3106_),
+    .B1(_3107_),
+    .B2(_3108_),
+    .X(_3109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6981_ (.A(_3109_),
+    .Y(_0630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6982_ (.A(io_out[4]),
+    .Y(_3110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6983_ (.A1(_2528_),
+    .A2(_3102_),
+    .A3(_3106_),
+    .B1(_3110_),
+    .B2(_3108_),
+    .X(_3111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6984_ (.A(_3111_),
+    .Y(_0629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _6985_ (.A1(_2237_),
+    .A2(_3105_),
+    .A3(_2594_),
+    .B1(io_out[3]),
+    .B2(_3108_),
+    .X(_0628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6986_ (.A(io_out[2]),
+    .Y(_3112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6987_ (.A(_2646_),
+    .B(_3105_),
+    .X(_3113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6988_ (.A(_3101_),
+    .X(_3114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _6989_ (.A1(_2444_),
+    .A2(_3104_),
+    .B1(_3114_),
+    .C1(_2239_),
+    .X(_3115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _6990_ (.A1(_3112_),
+    .A2(_3102_),
+    .B1(_3113_),
+    .B2(_3115_),
+    .X(_3116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6991_ (.A(_3116_),
+    .Y(_0627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6992_ (.A(_3103_),
+    .X(_3117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6993_ (.A(psn_net_44),
+    .X(_3118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _6994_ (.A(_1160_),
+    .B(psn_net_51),
+    .C(_1137_),
+    .D(_3118_),
+    .X(_3119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6995_ (.A(_3119_),
+    .X(_3120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6996_ (.A(_2282_),
+    .X(_3121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _6997_ (.A(_3119_),
+    .Y(_3122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _6998_ (.A(_3122_),
+    .X(_3123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _6999_ (.A(\u_spictrl.cfg_data_cnt[7] ),
+    .B(_3123_),
+    .X(_3124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7000_ (.A1(_3117_),
+    .A2(_3120_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B1(_3121_),
+    .B2(_3124_),
+    .X(_0626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7001_ (.A(_3122_),
+    .X(_3125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7002_ (.A(psn_net_23),
+    .B(_3122_),
+    .X(_3126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7003_ (.A(_3126_),
+    .Y(_3127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7004_ (.A(_3127_),
+    .X(_3128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7005_ (.A1(\u_spictrl.cfg_data_cnt[6] ),
+    .A2(_3125_),
+    .A3(_3121_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .B2(_3128_),
+    .X(_0625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7006_ (.A(\u_spictrl.cfg_data_cnt[5] ),
+    .B(_3123_),
+    .X(_3129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7007_ (.A(_2261_),
+    .B(_3126_),
+    .X(_3130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7008_ (.A1(_3121_),
+    .A2(_3124_),
+    .B1(_3129_),
+    .B2(_3130_),
+    .X(_3131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7009_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .A2(_3128_),
+    .B1(_3131_),
+    .X(_0624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7010_ (.A(_3103_),
+    .X(_3132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7011_ (.A(_3125_),
+    .X(_3133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7012_ (.A1(_3132_),
+    .A2(_3120_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .B1(_2280_),
+    .B2(_3133_),
+    .X(_0623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7013_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+    .B(_3123_),
+    .X(_3134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7014_ (.A1(_3121_),
+    .A2(_3129_),
+    .B1(_3130_),
+    .B2(_3134_),
+    .X(_3135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7015_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .A2(_3128_),
+    .B1(_3135_),
+    .X(_0622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7016_ (.A1(_3132_),
+    .A2(_3120_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B1(_2284_),
+    .B2(_3133_),
+    .X(_0621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7017_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .Y(_3136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7018_ (.A(_2297_),
+    .B(_3134_),
+    .Y(_3137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _7019_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_3125_),
+    .B1(_3130_),
+    .Y(_3138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7020_ (.A1(_3136_),
+    .A2(_3126_),
+    .B1(_3137_),
+    .B2(_3138_),
+    .X(_3139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7021_ (.A(_3139_),
+    .Y(_0620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7022_ (.A(\u_spictrl.cfg_data_cnt[2] ),
+    .Y(_3140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7023_ (.A1_N(_3140_),
+    .A2_N(_2297_),
+    .B1(\u_spictrl.cfg_data_cnt[0] ),
+    .B2(_2297_),
+    .X(_3141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7024_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .B(_3120_),
+    .X(_3142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7025_ (.A1(_3133_),
+    .A2(_3141_),
+    .B1(_2218_),
+    .C1(_3142_),
+    .X(_0619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7026_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_3125_),
+    .A3(_2316_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B2(_3128_),
+    .X(_0618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7027_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .X(_3143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7028_ (.A1(\u_spictrl.cfg_data_cnt[0] ),
+    .A2(_2263_),
+    .A3(_3133_),
+    .B1(_3143_),
+    .B2(_3127_),
+    .X(_0617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7029_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+    .Y(_3144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7030_ (.A(\u_spictrl.u_rxreg.counter[7] ),
+    .Y(_3145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7031_ (.A(\u_spictrl.spi_rise ),
+    .Y(_3146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7032_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .C(_3146_),
+    .D(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .X(_3147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7033_ (.A(\u_spictrl.u_rxreg.counter[6] ),
+    .Y(_3148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7034_ (.A(\u_spictrl.u_rxreg.counter[5] ),
+    .Y(_3149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7035_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .Y(_3150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7036_ (.A(\u_spictrl.u_rxreg.counter[3] ),
+    .Y(_3151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7037_ (.A(_3150_),
+    .B(_3151_),
+    .X(_3152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7038_ (.A(\u_spictrl.u_rxreg.counter[2] ),
+    .Y(_3153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7039_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+    .Y(_3154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7040_ (.A(\u_spictrl.u_rxreg.counter[0] ),
+    .Y(_3155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7041_ (.A(_3153_),
+    .B(_3154_),
+    .C(_3155_),
+    .X(_3156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7042_ (.A(_3148_),
+    .B(_3149_),
+    .C(_3152_),
+    .D(_3156_),
+    .X(_3157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7043_ (.A(_3147_),
+    .B(_3157_),
+    .X(_3158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7044_ (.A(_3145_),
+    .B(_3158_),
+    .X(_3159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7045_ (.A(_3144_),
+    .B(_3159_),
+    .X(_3160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7046_ (.A(_3160_),
+    .Y(_3161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7047_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .B(_3161_),
+    .X(_3162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7048_ (.A(\u_spictrl.u_rxreg.counter[10] ),
+    .B(_3162_),
+    .X(_3163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7049_ (.A(\u_spictrl.u_rxreg.counter[11] ),
+    .B(_3163_),
+    .X(_3164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7050_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+    .B(_3164_),
+    .C(\u_spictrl.u_rxreg.counter[13] ),
+    .D(\u_spictrl.u_rxreg.counter[14] ),
+    .X(_3165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7051_ (.A1_N(\u_spictrl.u_rxreg.counter[15] ),
+    .A2_N(_3165_),
+    .B1(\u_spictrl.u_rxreg.counter[15] ),
+    .B2(_3165_),
+    .X(_3166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7052_ (.A(_3147_),
+    .Y(_3167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7053_ (.A(\u_spictrl.rx_done ),
+    .B(_3167_),
+    .X(_3168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7054_ (.A(psn_net_26),
+    .B(_3168_),
+    .X(_3169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7055_ (.A(_3169_),
+    .X(_3170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7056_ (.A(_3170_),
+    .X(_3171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7057_ (.A(_3166_),
+    .B(_3171_),
+    .Y(_0616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7058_ (.A(\u_spictrl.u_rxreg.counter[14] ),
+    .Y(_3172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7059_ (.A(\u_spictrl.u_rxreg.counter[13] ),
+    .Y(_3173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7060_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+    .Y(_3174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7061_ (.A(_3164_),
+    .Y(_3175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7062_ (.A(_3174_),
+    .B(_3175_),
+    .X(_3176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7063_ (.A(_3173_),
+    .B(_3176_),
+    .X(_3177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7064_ (.A1(_3172_),
+    .A2(_3177_),
+    .B1(_3165_),
+    .X(_3178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7065_ (.A(_3171_),
+    .B(_3178_),
+    .Y(_0615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _7066_ (.A1(_3173_),
+    .A2(_3176_),
+    .B1_N(_3177_),
+    .X(_3179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7067_ (.A(_3171_),
+    .B(_3179_),
+    .Y(_0614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7068_ (.A(_3169_),
+    .Y(_3180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7069_ (.A1(\u_spictrl.u_rxreg.counter[12] ),
+    .A2(_3164_),
+    .B1(_3176_),
+    .X(_3181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7070_ (.A(_3180_),
+    .B(_3181_),
+    .X(_0613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7071_ (.A1(\u_spictrl.u_rxreg.counter[11] ),
+    .A2(_3163_),
+    .B1(_3175_),
+    .X(_3182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7072_ (.A(_3180_),
+    .B(_3182_),
+    .X(_0612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7073_ (.A(_1410_),
+    .X(_3183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7074_ (.A(_3183_),
+    .X(_3184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7075_ (.A(_3147_),
+    .X(_3185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7076_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .Y(_3186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7077_ (.A(\u_spictrl.u_rxreg.counter[10] ),
+    .Y(_3187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7078_ (.A(_3186_),
+    .B(_3144_),
+    .C(_3145_),
+    .D(_3187_),
+    .X(_3188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7079_ (.A(\u_spictrl.rx_done ),
+    .Y(_3189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7080_ (.A(_3189_),
+    .X(_3190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7081_ (.A1(_3157_),
+    .A2(_3188_),
+    .B1(_3190_),
+    .X(_3191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7082_ (.A1(\u_spictrl.u_rxreg.counter[10] ),
+    .A2(_3162_),
+    .B1(_3185_),
+    .B2(_3191_),
+    .X(_3192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7083_ (.A(_3184_),
+    .B(_3192_),
+    .X(_0611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7084_ (.A(_3168_),
+    .X(_3193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7085_ (.A(_3193_),
+    .X(_3194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7086_ (.A1(_3186_),
+    .A2(_3160_),
+    .B1(_3162_),
+    .C1(_3194_),
+    .X(_3195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7087_ (.A(_3195_),
+    .Y(_3196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7088_ (.A(_3184_),
+    .B(_3196_),
+    .X(_0610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7089_ (.A1(_3144_),
+    .A2(_3159_),
+    .B1(_3161_),
+    .C1(_3194_),
+    .X(_3197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7090_ (.A(_3034_),
+    .B(_3197_),
+    .Y(_0609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _7091_ (.A1(_3145_),
+    .A2(_3158_),
+    .B1_N(_3159_),
+    .X(_3198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7092_ (.A(_3171_),
+    .B(_3198_),
+    .Y(_0608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7093_ (.A(_3147_),
+    .B(_3156_),
+    .X(_3199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7094_ (.A(_3152_),
+    .B(_3199_),
+    .X(_3200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7095_ (.A(_3200_),
+    .Y(_3201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7096_ (.A(\u_spictrl.u_rxreg.counter[5] ),
+    .B(_3201_),
+    .X(_3202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7097_ (.A(_3189_),
+    .B(_3157_),
+    .X(_3203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7098_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
+    .A2(_3202_),
+    .B1(_3185_),
+    .B2(_3203_),
+    .X(_3204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7099_ (.A(_3184_),
+    .B(_3204_),
+    .X(_0607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7100_ (.A1(_3149_),
+    .A2(_3200_),
+    .B1(_3202_),
+    .X(_3205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7101_ (.A(_3170_),
+    .B(_3205_),
+    .Y(_0606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7102_ (.A(_3199_),
+    .X(_3206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7103_ (.A1(_3151_),
+    .A2(_3206_),
+    .B1(_3150_),
+    .X(_3207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7104_ (.A(_3201_),
+    .B(_3168_),
+    .C(_3207_),
+    .X(_3208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7105_ (.A(_3208_),
+    .Y(_3209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7106_ (.A(_3184_),
+    .B(_3209_),
+    .X(_0605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7107_ (.A1_N(_3151_),
+    .A2_N(_3206_),
+    .B1(_3151_),
+    .B2(_3206_),
+    .X(_3210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7108_ (.A(_3170_),
+    .B(_3210_),
+    .Y(_0604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7109_ (.A(_3206_),
+    .Y(_3211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7110_ (.A(_3155_),
+    .B(_3185_),
+    .X(_3212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7111_ (.A1(_3154_),
+    .A2(_3212_),
+    .B1(_3153_),
+    .X(_3213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7112_ (.A(_3211_),
+    .B(_3193_),
+    .C(_3213_),
+    .X(_3214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7113_ (.A(_3214_),
+    .Y(_3215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7114_ (.A(_3117_),
+    .B(_3215_),
+    .X(_0603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7115_ (.A1_N(_3154_),
+    .A2_N(_3212_),
+    .B1(_3154_),
+    .B2(_3212_),
+    .X(_3216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7116_ (.A(_3170_),
+    .B(_3216_),
+    .Y(_0602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7117_ (.A(_3167_),
+    .X(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7118_ (.A(\u_spictrl.u_rxreg.counter[0] ),
+    .B(_3217_),
+    .X(_3218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7119_ (.A(_3212_),
+    .B(_3218_),
+    .C(_3180_),
+    .X(_3219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7120_ (.A(_3219_),
+    .X(_0601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7121_ (.A(_3217_),
+    .X(_3220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7122_ (.A(_3220_),
+    .X(_3221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7123_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
+    .B(_2260_),
+    .X(_3222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7124_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_2272_),
+    .X(_3223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7125_ (.A1(_3221_),
+    .A2(_3222_),
+    .A3(_3223_),
+    .B1(\u_spictrl.u_rxreg.data_int[31] ),
+    .B2(_3185_),
+    .X(_3224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7126_ (.A(_3183_),
+    .B(_3224_),
+    .X(_0052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7127_ (.A(_0052_),
+    .X(_0600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7128_ (.A(_3221_),
+    .X(_3225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7129_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
+    .A2(_2273_),
+    .B1(\u_spictrl.u_rxreg.data_int[29] ),
+    .B2(_2261_),
+    .X(_3226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7130_ (.A(_3226_),
+    .Y(_3227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7131_ (.A(_3217_),
+    .X(_3228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7132_ (.A(_3228_),
+    .X(_3229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7133_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
+    .B(_3229_),
+    .Y(_3230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7134_ (.A1(_3225_),
+    .A2(_3227_),
+    .B1(_3114_),
+    .C1(_3230_),
+    .X(_3231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7135_ (.A(_3231_),
+    .Y(_0051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7136_ (.A(_0051_),
+    .X(_0599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7137_ (.A(_2251_),
+    .X(_3232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7138_ (.A(_3232_),
+    .X(_3233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7139_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
+    .A2(_2273_),
+    .B1(\u_spictrl.u_rxreg.data_int[28] ),
+    .B2(_3233_),
+    .X(_3234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7140_ (.A(_3234_),
+    .Y(_3235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7141_ (.A(_3228_),
+    .X(_3236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7142_ (.A(\u_spictrl.u_rxreg.data_int[29] ),
+    .B(_3236_),
+    .Y(_3237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7143_ (.A1(_3225_),
+    .A2(_3235_),
+    .B1(_3114_),
+    .C1(_3237_),
+    .X(_3238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7144_ (.A(_3238_),
+    .Y(_0050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7145_ (.A(_0050_),
+    .X(_0598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7146_ (.A(_2272_),
+    .X(_3239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7147_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
+    .A2(_3239_),
+    .B1(\u_spictrl.u_rxreg.data_int[27] ),
+    .B2(_3233_),
+    .X(_3240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7148_ (.A(_3240_),
+    .Y(_3241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7149_ (.A(_3101_),
+    .X(_3242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7150_ (.A(\u_spictrl.u_rxreg.data_int[28] ),
+    .B(_3236_),
+    .Y(_3243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7151_ (.A1(_3225_),
+    .A2(_3241_),
+    .B1(_3242_),
+    .C1(_3243_),
+    .X(_3244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7152_ (.A(_3244_),
+    .Y(_0049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7153_ (.A(_0049_),
+    .X(_0597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7154_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
+    .A2(_3239_),
+    .B1(\u_spictrl.u_rxreg.data_int[26] ),
+    .B2(_3233_),
+    .X(_3245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7155_ (.A(_3245_),
+    .Y(_3246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7156_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_3236_),
+    .Y(_3247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7157_ (.A1(_3225_),
+    .A2(_3246_),
+    .B1(_3242_),
+    .C1(_3247_),
+    .X(_3248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7158_ (.A(_3248_),
+    .Y(_0048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7159_ (.A(_0048_),
+    .X(_0596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7160_ (.A(_3220_),
+    .X(_3249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7161_ (.A(_3249_),
+    .X(_3250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7162_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
+    .A2(_3239_),
+    .B1(\u_spictrl.u_rxreg.data_int[25] ),
+    .B2(_3233_),
+    .X(_3251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7163_ (.A(_3251_),
+    .Y(_3252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7164_ (.A(\u_spictrl.u_rxreg.data_int[26] ),
+    .B(_3236_),
+    .Y(_3253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7165_ (.A1(_3250_),
+    .A2(_3252_),
+    .B1(_3242_),
+    .C1(_3253_),
+    .X(_3254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7166_ (.A(_3254_),
+    .Y(_0045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7167_ (.A(_0045_),
+    .X(_0595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7168_ (.A(_3232_),
+    .X(_3255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7169_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
+    .A2(_3239_),
+    .B1(\u_spictrl.u_rxreg.data_int[24] ),
+    .B2(_3255_),
+    .X(_3256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7170_ (.A(_3256_),
+    .Y(_3257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7171_ (.A(_3217_),
+    .X(_3258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7172_ (.A(_3258_),
+    .X(_3259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7173_ (.A(\u_spictrl.u_rxreg.data_int[25] ),
+    .B(_3259_),
+    .Y(_3260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7174_ (.A1(_3250_),
+    .A2(_3257_),
+    .B1(_3242_),
+    .C1(_3260_),
+    .X(_3261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7175_ (.A(_3261_),
+    .Y(_0034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7176_ (.A(_0034_),
+    .X(_0594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7177_ (.A(_2253_),
+    .X(_3262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7178_ (.A(_3262_),
+    .X(_3263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7179_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
+    .A2(_3263_),
+    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+    .B2(_3255_),
+    .X(_3264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7180_ (.A(_3264_),
+    .Y(_3265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7181_ (.A(_3101_),
+    .X(_3266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7182_ (.A(\u_spictrl.u_rxreg.data_int[24] ),
+    .B(_3259_),
+    .Y(_3267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7183_ (.A1(_3250_),
+    .A2(_3265_),
+    .B1(_3266_),
+    .C1(_3267_),
+    .X(_3268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7184_ (.A(_3268_),
     .Y(_0023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5165_ (.A1(_1144_),
-    .A2(_2025_),
-    .B1(_0758_),
-    .X(io_out[1]),
+ sky130_fd_sc_hd__buf_2 _7185_ (.A(_0023_),
+    .X(_0593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _5166_ (.A1(\u_spim_regs.spi_init_state[4] ),
-    .A2(_1561_),
-    .B1(_1559_),
-    .X(_0024_),
+ sky130_fd_sc_hd__o22a_4 _7186_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
+    .A2(_3263_),
+    .B1(\u_spictrl.u_rxreg.data_int[22] ),
+    .B2(_3255_),
+    .X(_3269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _5167_ (.A(_1559_),
-    .B(\u_spim_regs.spi_init_state[0] ),
+ sky130_fd_sc_hd__inv_2 _7187_ (.A(_3269_),
+    .Y(_3270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7188_ (.A(\u_spictrl.u_rxreg.data_int[23] ),
+    .B(_3259_),
+    .Y(_3271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7189_ (.A1(_3250_),
+    .A2(_3270_),
+    .B1(_3266_),
+    .C1(_3271_),
+    .X(_3272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7190_ (.A(_3272_),
+    .Y(_0029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7191_ (.A(_0029_),
+    .X(_0592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7192_ (.A(_3249_),
+    .X(_3273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7193_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
+    .A2(_3263_),
+    .B1(\u_spictrl.u_rxreg.data_int[21] ),
+    .B2(_3255_),
+    .X(_3274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7194_ (.A(_3274_),
+    .Y(_3275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7195_ (.A(\u_spictrl.u_rxreg.data_int[22] ),
+    .B(_3259_),
+    .Y(_3276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7196_ (.A1(_3273_),
+    .A2(_3275_),
+    .B1(_3266_),
+    .C1(_3276_),
+    .X(_3277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7197_ (.A(_3277_),
+    .Y(_0028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7198_ (.A(_0028_),
+    .X(_0591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7199_ (.A(_3232_),
+    .X(_3278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7200_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
+    .A2(_3263_),
+    .B1(\u_spictrl.u_rxreg.data_int[20] ),
+    .B2(_3278_),
+    .X(_3279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7201_ (.A(_3279_),
+    .Y(_3280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7202_ (.A(_3258_),
+    .X(_3281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7203_ (.A(\u_spictrl.u_rxreg.data_int[21] ),
+    .B(_3281_),
+    .Y(_3282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7204_ (.A1(_3273_),
+    .A2(_3280_),
+    .B1(_3266_),
+    .C1(_3282_),
+    .X(_3283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7205_ (.A(_3283_),
+    .Y(_0027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7206_ (.A(_0027_),
+    .X(_0590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7207_ (.A(_3262_),
+    .X(_3284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7208_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
+    .A2(_3284_),
+    .B1(\u_spictrl.u_rxreg.data_int[19] ),
+    .B2(_3278_),
+    .X(_3285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7209_ (.A(_3285_),
+    .Y(_3286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7210_ (.A(_3100_),
+    .X(_3287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7211_ (.A(_3287_),
+    .X(_3288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7212_ (.A(\u_spictrl.u_rxreg.data_int[20] ),
+    .B(_3281_),
+    .Y(_3289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7213_ (.A1(_3273_),
+    .A2(_3286_),
+    .B1(_3288_),
+    .C1(_3289_),
+    .X(_3290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7214_ (.A(_3290_),
+    .Y(_0026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7215_ (.A(_0026_),
+    .X(_0589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7216_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
+    .A2(_3284_),
+    .B1(\u_spictrl.u_rxreg.data_int[18] ),
+    .B2(_3278_),
+    .X(_3291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7217_ (.A(_3291_),
+    .Y(_3292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7218_ (.A(\u_spictrl.u_rxreg.data_int[19] ),
+    .B(_3281_),
+    .Y(_3293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7219_ (.A1(_3273_),
+    .A2(_3292_),
+    .B1(_3288_),
+    .C1(_3293_),
+    .X(_3294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7220_ (.A(_3294_),
+    .Y(_0025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7221_ (.A(_0025_),
+    .X(_0588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7222_ (.A(_3249_),
+    .X(_3295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7223_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
+    .A2(_3284_),
+    .B1(\u_spictrl.u_rxreg.data_int[17] ),
+    .B2(_3278_),
+    .X(_3296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7224_ (.A(_3296_),
+    .Y(_3297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7225_ (.A(\u_spictrl.u_rxreg.data_int[18] ),
+    .B(_3281_),
+    .Y(_3298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7226_ (.A1(_3295_),
+    .A2(_3297_),
+    .B1(_3288_),
+    .C1(_3298_),
+    .X(_3299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7227_ (.A(_3299_),
+    .Y(_0024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7228_ (.A(_0024_),
+    .X(_0587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7229_ (.A(_3232_),
+    .X(_3300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7230_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
+    .A2(_3284_),
+    .B1(\u_spictrl.u_rxreg.data_int[16] ),
+    .B2(_3300_),
+    .X(_3301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7231_ (.A(_3301_),
+    .Y(_3302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7232_ (.A(_3258_),
+    .X(_3303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7233_ (.A(\u_spictrl.u_rxreg.data_int[17] ),
+    .B(_3303_),
+    .Y(_3304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7234_ (.A1(_3295_),
+    .A2(_3302_),
+    .B1(_3288_),
+    .C1(_3304_),
+    .X(_3305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7235_ (.A(_3305_),
+    .Y(_0054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7236_ (.A(_0054_),
+    .X(_0586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7237_ (.A(_3262_),
+    .X(_3306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7238_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
+    .A2(_3306_),
+    .B1(\u_spictrl.u_rxreg.data_int[15] ),
+    .B2(_3300_),
+    .X(_3307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7239_ (.A(_3307_),
+    .Y(_3308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7240_ (.A(_3287_),
+    .X(_3309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7241_ (.A(\u_spictrl.u_rxreg.data_int[16] ),
+    .B(_3303_),
+    .Y(_3310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7242_ (.A1(_3295_),
+    .A2(_3308_),
+    .B1(_3309_),
+    .C1(_3310_),
+    .X(_3311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7243_ (.A(_3311_),
+    .Y(_0053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7244_ (.A(_0053_),
+    .X(_0585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7245_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
+    .A2(_3306_),
+    .B1(\u_spictrl.u_rxreg.data_int[14] ),
+    .B2(_3300_),
+    .X(_3312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7246_ (.A(_3312_),
+    .Y(_3313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7247_ (.A(\u_spictrl.u_rxreg.data_int[15] ),
+    .B(_3303_),
+    .Y(_3314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7248_ (.A1(_3295_),
+    .A2(_3313_),
+    .B1(_3309_),
+    .C1(_3314_),
+    .X(_3315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7249_ (.A(_3315_),
+    .Y(_0038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7250_ (.A(_0038_),
+    .X(_0584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7251_ (.A(_3249_),
+    .X(_3316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7252_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
+    .A2(_3306_),
+    .B1(\u_spictrl.u_rxreg.data_int[13] ),
+    .B2(_3300_),
+    .X(_3317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7253_ (.A(_3317_),
+    .Y(_3318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7254_ (.A(\u_spictrl.u_rxreg.data_int[14] ),
+    .B(_3303_),
+    .Y(_3319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7255_ (.A1(_3316_),
+    .A2(_3318_),
+    .B1(_3309_),
+    .C1(_3319_),
+    .X(_3320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7256_ (.A(_3320_),
+    .Y(_0037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7257_ (.A(_0037_),
+    .X(_0583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7258_ (.A(_2259_),
+    .X(_3321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7259_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
+    .A2(_3306_),
+    .B1(\u_spictrl.u_rxreg.data_int[12] ),
+    .B2(_3321_),
+    .X(_3322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7260_ (.A(_3322_),
+    .Y(_3323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7261_ (.A(_3258_),
+    .X(_3324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7262_ (.A(\u_spictrl.u_rxreg.data_int[13] ),
+    .B(_3324_),
+    .Y(_3325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7263_ (.A1(_3316_),
+    .A2(_3323_),
+    .B1(_3309_),
+    .C1(_3325_),
+    .X(_3326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7264_ (.A(_3326_),
+    .Y(_0036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7265_ (.A(_0036_),
+    .X(_0582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7266_ (.A(_3262_),
+    .X(_3327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7267_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
+    .A2(_3327_),
+    .B1(\u_spictrl.u_rxreg.data_int[11] ),
+    .B2(_3321_),
+    .X(_3328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7268_ (.A(_3328_),
+    .Y(_3329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7269_ (.A(_3287_),
+    .X(_3330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7270_ (.A(\u_spictrl.u_rxreg.data_int[12] ),
+    .B(_3324_),
+    .Y(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7271_ (.A1(_3316_),
+    .A2(_3329_),
+    .B1(_3330_),
+    .C1(_3331_),
+    .X(_3332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7272_ (.A(_3332_),
+    .Y(_0035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7273_ (.A(_0035_),
+    .X(_0581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7274_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
+    .A2(_3327_),
+    .B1(\u_spictrl.u_rxreg.data_int[10] ),
+    .B2(_3321_),
+    .X(_3333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7275_ (.A(_3333_),
+    .Y(_3334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7276_ (.A(\u_spictrl.u_rxreg.data_int[11] ),
+    .B(_3324_),
+    .Y(_3335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7277_ (.A1(_3316_),
+    .A2(_3334_),
+    .B1(_3330_),
+    .C1(_3335_),
+    .X(_3336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7278_ (.A(_3336_),
+    .Y(_0033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7279_ (.A(_0033_),
+    .X(_0580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7280_ (.A(_3228_),
+    .X(_3337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7281_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
+    .A2(_3327_),
+    .B1(\u_spictrl.u_rxreg.data_int[9] ),
+    .B2(_3321_),
+    .X(_3338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7282_ (.A(_3338_),
+    .Y(_3339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7283_ (.A(\u_spictrl.u_rxreg.data_int[10] ),
+    .B(_3324_),
+    .Y(_3340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7284_ (.A1(_3337_),
+    .A2(_3339_),
+    .B1(_3330_),
+    .C1(_3340_),
+    .X(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7285_ (.A(_3341_),
+    .Y(_0032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7286_ (.A(_0032_),
+    .X(_0579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7287_ (.A(_2259_),
+    .X(_3342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7288_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
+    .A2(_3327_),
+    .B1(\u_spictrl.u_rxreg.data_int[8] ),
+    .B2(_3342_),
+    .X(_3343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7289_ (.A(_3343_),
+    .Y(_3344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7290_ (.A(_3220_),
+    .X(_3345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7291_ (.A(\u_spictrl.u_rxreg.data_int[9] ),
+    .B(_3345_),
+    .Y(_3346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7292_ (.A1(_3337_),
+    .A2(_3344_),
+    .B1(_3330_),
+    .C1(_3346_),
+    .X(_3347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7293_ (.A(_3347_),
+    .Y(_0031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7294_ (.A(_0031_),
+    .X(_0578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7295_ (.A(_2253_),
+    .X(_3348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7296_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
+    .A2(_3348_),
+    .B1(\u_spictrl.u_rxreg.data_int[7] ),
+    .B2(_3342_),
+    .X(_3349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7297_ (.A(_3349_),
+    .Y(_3350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7298_ (.A(_3287_),
+    .X(_3351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7299_ (.A(\u_spictrl.u_rxreg.data_int[8] ),
+    .B(_3345_),
+    .Y(_3352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7300_ (.A1(_3337_),
+    .A2(_3350_),
+    .B1(_3351_),
+    .C1(_3352_),
+    .X(_3353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7301_ (.A(_3353_),
+    .Y(_0030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7302_ (.A(_0030_),
+    .X(_0577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7303_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
+    .A2(_3348_),
+    .B1(\u_spictrl.u_rxreg.data_int[6] ),
+    .B2(_3342_),
+    .X(_3354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7304_ (.A(_3354_),
+    .Y(_3355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7305_ (.A(\u_spictrl.u_rxreg.data_int[7] ),
+    .B(_3345_),
+    .Y(_3356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7306_ (.A1(_3337_),
+    .A2(_3355_),
+    .B1(_3351_),
+    .C1(_3356_),
+    .X(_3357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7307_ (.A(_3357_),
+    .Y(_0047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7308_ (.A(_0047_),
+    .X(_0576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7309_ (.A(_3228_),
+    .X(_3358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7310_ (.A1(\u_spictrl.u_rxreg.data_int[2] ),
+    .A2(_3348_),
+    .B1(\u_spictrl.u_rxreg.data_int[5] ),
+    .B2(_3342_),
+    .X(_3359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7311_ (.A(_3359_),
+    .Y(_3360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7312_ (.A(\u_spictrl.u_rxreg.data_int[6] ),
+    .B(_3345_),
+    .Y(_3361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7313_ (.A1(_3358_),
+    .A2(_3360_),
+    .B1(_3351_),
+    .C1(_3361_),
+    .X(_3362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7314_ (.A(_3362_),
+    .Y(_0046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7315_ (.A(_0046_),
+    .X(_0575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7316_ (.A(_2259_),
+    .X(_3363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7317_ (.A1(\u_spictrl.u_rxreg.data_int[1] ),
+    .A2(_3348_),
+    .B1(\u_spictrl.u_rxreg.data_int[4] ),
+    .B2(_3363_),
+    .X(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7318_ (.A(_3364_),
+    .Y(_3365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7319_ (.A(_3220_),
+    .X(_3366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7320_ (.A(\u_spictrl.u_rxreg.data_int[5] ),
+    .B(_3366_),
+    .Y(_3367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7321_ (.A1(_3358_),
+    .A2(_3365_),
+    .B1(_3351_),
+    .C1(_3367_),
+    .X(_3368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7322_ (.A(_3368_),
+    .Y(_0044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7323_ (.A(_0044_),
+    .X(_0574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7324_ (.A(_2253_),
+    .X(_3369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7325_ (.A1(\u_spictrl.u_rxreg.data_int[0] ),
+    .A2(_3369_),
+    .B1(\u_spictrl.u_rxreg.data_int[3] ),
+    .B2(_3363_),
+    .X(_3370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7326_ (.A(_3370_),
+    .Y(_3371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7327_ (.A(psn_net_21),
+    .X(_3372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7328_ (.A(\u_spictrl.u_rxreg.data_int[4] ),
+    .B(_3366_),
+    .Y(_3373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7329_ (.A1(_3358_),
+    .A2(_3371_),
+    .B1(_3372_),
+    .C1(_3373_),
+    .X(_3374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7330_ (.A(_3374_),
+    .Y(_0043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7331_ (.A(_0043_),
+    .X(_0573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7332_ (.A1(io_in[5]),
+    .A2(_3369_),
+    .B1(\u_spictrl.u_rxreg.data_int[2] ),
+    .B2(_3363_),
+    .X(_3375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7333_ (.A(_3375_),
+    .Y(_3376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7334_ (.A(\u_spictrl.u_rxreg.data_int[3] ),
+    .B(_3366_),
+    .Y(_3377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7335_ (.A1(_3358_),
+    .A2(_3376_),
+    .B1(_3372_),
+    .C1(_3377_),
+    .X(_3378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7336_ (.A(_3378_),
+    .Y(_0042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7337_ (.A(_0042_),
+    .X(_0572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7338_ (.A1(io_in[4]),
+    .A2(_3369_),
+    .B1(\u_spictrl.u_rxreg.data_int[1] ),
+    .B2(_3363_),
+    .X(_3379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7339_ (.A(_3379_),
+    .Y(_3380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7340_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
+    .B(_3366_),
+    .Y(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7341_ (.A1(_3229_),
+    .A2(_3380_),
+    .B1(_3372_),
+    .C1(_3381_),
+    .X(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7342_ (.A(_3382_),
+    .Y(_0041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7343_ (.A(_0041_),
+    .X(_0571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7344_ (.A1(io_in[3]),
+    .A2(_3369_),
+    .B1(\u_spictrl.u_rxreg.data_int[0] ),
+    .B2(_2260_),
+    .X(_3383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7345_ (.A(_3383_),
+    .Y(_3384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7346_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_3221_),
+    .Y(_3385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7347_ (.A1(_3229_),
+    .A2(_3384_),
+    .B1(_3372_),
+    .C1(_3385_),
+    .X(_3386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7348_ (.A(_3386_),
+    .Y(_0040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7349_ (.A(_0040_),
+    .X(_0570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7350_ (.A1(io_in[2]),
+    .A2(_2272_),
+    .B1(io_in[3]),
+    .B2(_2260_),
+    .X(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7351_ (.A(_3387_),
+    .Y(_3388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7352_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
+    .B(_3221_),
+    .Y(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7353_ (.A1(_3229_),
+    .A2(_3388_),
+    .B1(psn_net_16),
+    .C1(_3389_),
+    .X(_3390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7354_ (.A(_3390_),
+    .Y(_0039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7355_ (.A(_0039_),
+    .X(_0569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7356_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .X(_3391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7357_ (.A(_3391_),
+    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .C(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .X(_3392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7358_ (.A(_1056_),
+    .Y(spi_debug[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7359_ (.A(_1108_),
+    .Y(spi_debug[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7360_ (.A1(_1894_),
+    .A2(spi_debug[26]),
+    .B1(\u_spictrl.gnt[2] ),
+    .B2(spi_debug[22]),
+    .X(_3393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7361_ (.A(_3393_),
+    .X(_3394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7362_ (.A(_4497_),
+    .B(_3392_),
+    .C(_3394_),
+    .X(_3395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7363_ (.A(_4496_),
+    .Y(_3396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7364_ (.A(_3396_),
+    .B(_4497_),
+    .X(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7365_ (.A(_3392_),
+    .Y(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7366_ (.A(_3119_),
+    .B(_3397_),
+    .C(_3398_),
+    .X(_3399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7367_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .Y(_3400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7368_ (.A(_4497_),
+    .Y(_3401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7369_ (.A(_3189_),
+    .B(_3146_),
+    .X(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7370_ (.A(_3402_),
+    .Y(_3403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7371_ (.A(_3393_),
+    .Y(_3404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7372_ (.A1(_2252_),
+    .A2(_3152_),
+    .B1(_3156_),
+    .X(_3405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7373_ (.A(_3146_),
+    .X(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7374_ (.A1(_3190_),
+    .A2(_3405_),
+    .B1(_3406_),
+    .X(_3407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7375_ (.A1(_3401_),
+    .A2(_3403_),
+    .B1(_3404_),
+    .B2(_3407_),
+    .X(_3408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7376_ (.A(_3400_),
+    .B(_3408_),
+    .Y(_3409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7377_ (.A(_3405_),
+    .B(_3404_),
+    .X(_3410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _7378_ (.A1(_3189_),
+    .A2(_3410_),
+    .B1(_3146_),
+    .Y(_3411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7379_ (.A1_N(_3396_),
+    .A2_N(_3411_),
+    .B1(_3394_),
+    .B2(_3403_),
+    .X(_3412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7380_ (.A(_3391_),
+    .B(_3393_),
+    .X(_3413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7381_ (.A1(_4496_),
+    .A2(_3404_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .B2(_3413_),
+    .X(_3414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7382_ (.A1(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .A2(_3412_),
+    .B1(_3398_),
+    .C1(_3414_),
+    .X(_3415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7383_ (.A(_3415_),
+    .Y(_3416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7384_ (.A(_3395_),
+    .B(_3399_),
+    .C(_3409_),
+    .D(_3416_),
+    .X(_3417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7385_ (.A(_3417_),
+    .Y(_3418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7386_ (.A(\u_spictrl.spi_rise ),
+    .B(_3100_),
+    .X(_3419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7387_ (.A(_3419_),
+    .Y(_3420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7388_ (.A1(\u_spictrl.spi_rise ),
+    .A2(_3132_),
+    .A3(_3418_),
+    .B1(\u_spictrl.rx_clk_en ),
+    .B2(_3420_),
+    .X(_0568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7389_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .Y(_3421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7390_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .Y(_3422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7391_ (.A(_3421_),
+    .B(_3422_),
+    .X(_3423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7392_ (.A(_3423_),
+    .Y(_3424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7393_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .B(_3424_),
+    .X(_3425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7394_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B(_3425_),
+    .X(_3426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7395_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .B(_3426_),
+    .X(_3427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7396_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .B(_3427_),
+    .X(_3428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7397_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .B(_3428_),
+    .X(_3429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7398_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .B(_3429_),
+    .X(_3430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7399_ (.A(_3430_),
+    .X(_3431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7400_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B(_3431_),
+    .Y(_3432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _7401_ (.A1(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .A2(_3431_),
+    .B1(_3432_),
+    .Y(_3433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7402_ (.A1_N(_3192_),
+    .A2_N(_3433_),
+    .B1(_3192_),
+    .B2(_3433_),
+    .X(_3434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _7403_ (.A1(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .A2(_3429_),
+    .B1_N(_3431_),
+    .X(_3435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _7404_ (.A1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .A2(_3143_),
+    .B1(_3422_),
+    .Y(_3436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7405_ (.A1_N(_3215_),
+    .A2_N(_3436_),
+    .B1(_3215_),
+    .B2(_3436_),
+    .X(_3437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21boi_4 _7406_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .A2(_3425_),
+    .B1_N(_3426_),
+    .Y(_3438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7407_ (.A(_3193_),
+    .B(_3205_),
+    .Y(_3439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21boi_4 _7408_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .A2(_3426_),
+    .B1_N(_3427_),
+    .Y(_3440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7409_ (.A1_N(_3438_),
+    .A2_N(_3439_),
+    .B1(_3204_),
+    .B2(_3440_),
+    .X(_3441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7410_ (.A(_0601_),
+    .Y(_3442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7411_ (.A1(_3136_),
+    .A2(_3423_),
+    .B1(_3425_),
+    .X(_3443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7412_ (.A(_3209_),
+    .B(_3443_),
+    .X(_3444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7413_ (.A(_3168_),
+    .B(_3216_),
+    .Y(_3445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7414_ (.A1(_3143_),
+    .A2(_3445_),
+    .B1(_3209_),
+    .B2(_3443_),
+    .X(_3446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7415_ (.A(_3446_),
+    .Y(_3447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7416_ (.A(_3406_),
+    .B(_3442_),
+    .C(_3444_),
+    .D(_3447_),
+    .X(_3448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7417_ (.A1_N(_3204_),
+    .A2_N(_3440_),
+    .B1(_3438_),
+    .B2(_3439_),
+    .X(_3449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _7418_ (.A1(_3421_),
+    .A2(_3422_),
+    .B1(_3424_),
+    .Y(_3450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7419_ (.A(_3193_),
+    .B(_3210_),
+    .X(_3451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7420_ (.A1_N(_3450_),
+    .A2_N(_3451_),
+    .B1(_3450_),
+    .B2(_3451_),
+    .X(_3452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _7421_ (.A1(_3143_),
+    .A2(_3445_),
+    .B1(_3452_),
+    .X(_3453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7422_ (.A(_3448_),
+    .B(_3449_),
+    .C(_3432_),
+    .D(_3453_),
+    .X(_3454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2111o_4 _7423_ (.A1(_3195_),
+    .A2(_3435_),
+    .B1(_3437_),
+    .C1(_3441_),
+    .D1(_3454_),
+    .X(_3455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7424_ (.A(_3435_),
+    .Y(_3456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _7425_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .A2(_3427_),
+    .B1_N(_3428_),
+    .X(_3457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7426_ (.A(_3194_),
+    .B(_3198_),
+    .Y(_3458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7427_ (.A1_N(_3457_),
+    .A2_N(_3458_),
+    .B1(_3457_),
+    .B2(_3458_),
+    .X(_3459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7428_ (.A(_3459_),
+    .Y(_3460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _7429_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .A2(_3428_),
+    .B1_N(_3429_),
+    .X(_3461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7430_ (.A1_N(_3197_),
+    .A2_N(_3461_),
+    .B1(_3197_),
+    .B2(_3461_),
+    .X(_3462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7431_ (.A1(_3196_),
+    .A2(_3456_),
+    .B1(_3460_),
+    .C1(_3462_),
+    .X(_3463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7432_ (.A(_3434_),
+    .B(_3455_),
+    .C(_3463_),
+    .D(_3417_),
+    .X(_3464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7433_ (.A(_3464_),
+    .Y(_3465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7434_ (.A(_3181_),
+    .Y(_3466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7435_ (.A(_3182_),
+    .Y(_3467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7436_ (.A(_3466_),
+    .B(_3467_),
+    .C(_3179_),
+    .D(_3178_),
+    .X(_3468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7437_ (.A(_3172_),
+    .B(_3173_),
+    .C(_3174_),
+    .D(_3467_),
+    .X(_3469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7438_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B(_3431_),
+    .C(_3469_),
+    .D(_3166_),
+    .X(_3470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7439_ (.A(_3470_),
+    .Y(_3471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7440_ (.A1(_3166_),
+    .A2(_3468_),
+    .B1(_3194_),
+    .C1(_3471_),
+    .X(_3472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7441_ (.A1_N(_3190_),
+    .A2_N(_3419_),
+    .B1(_3465_),
+    .B2(_3472_),
+    .X(_0567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7442_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .Y(_3473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7443_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .Y(_3474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7444_ (.A(_3474_),
+    .X(_3475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7445_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
+    .A2_N(_3473_),
+    .B1(\u_spictrl.spi_clk_div[4] ),
+    .B2(_3475_),
+    .X(_3476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7446_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
+    .Y(_3477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7447_ (.A(_3477_),
+    .X(_3478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7448_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
+    .A2_N(_3478_),
+    .B1(\u_spictrl.spi_clk_div[5] ),
+    .B2(_3477_),
+    .X(_3479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7449_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .Y(_3480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7450_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
+    .A2_N(_3474_),
+    .B1(\u_spictrl.spi_clk_div[1] ),
+    .B2(_3480_),
+    .X(_3481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7451_ (.A1_N(_1254_),
+    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .B1(_1283_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .X(_3482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7452_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .Y(_3483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7453_ (.A1(\u_spictrl.spi_clk_div[0] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(_1304_),
+    .B2(_3483_),
+    .X(_3484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7454_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .Y(_3485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7455_ (.A1(\u_spictrl.spi_clk_div[2] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_1267_),
+    .B2(_3485_),
+    .X(_3486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7456_ (.A(_3481_),
+    .B(_3482_),
+    .C(_3484_),
+    .D(_3486_),
+    .X(_3487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7457_ (.A(_3476_),
+    .B(_3479_),
+    .C(_3487_),
+    .X(_3488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7458_ (.A(_3488_),
+    .Y(_3489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7459_ (.A(io_out[0]),
+    .B(_3489_),
+    .Y(_3490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7460_ (.A1(_1283_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(\u_spictrl.spi_clk_div[2] ),
+    .B2(_3480_),
+    .X(_3491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7461_ (.A1(_1267_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .B1(\u_spictrl.spi_clk_div[3] ),
+    .B2(_3485_),
+    .X(_3492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7462_ (.A(_1240_),
+    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .X(_3493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7463_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .B(_3473_),
+    .X(_3494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7464_ (.A(\u_spictrl.spi_clk_div[1] ),
+    .B(_3483_),
+    .X(_3495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7465_ (.A(_3493_),
+    .B(_3494_),
+    .C(_3478_),
+    .D(_3495_),
+    .X(_3496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7466_ (.A1(_1254_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_1228_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .X(_3497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7467_ (.A1(\u_spictrl.spi_clk_div[5] ),
+    .A2(_3475_),
+    .B1(_3497_),
+    .X(_3498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7468_ (.A(_3491_),
+    .B(_3492_),
+    .C(_3496_),
+    .D(_3498_),
+    .X(_3499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7469_ (.A(_3499_),
+    .X(_0014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7470_ (.A1(_3490_),
+    .A2(_0014_),
+    .B1(\u_spictrl.rx_clk_en ),
+    .B2(_2237_),
+    .X(_3500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7471_ (.A(_3500_),
+    .Y(_0566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7472_ (.A(_1137_),
+    .B(_3118_),
+    .X(_3501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7473_ (.A(_1162_),
+    .B(psn_net_49),
+    .X(_3502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7474_ (.A(_3501_),
+    .B(_3502_),
+    .X(_3503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7475_ (.A(_1408_),
+    .X(_3504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7476_ (.A(_3504_),
+    .B(_3118_),
+    .C(_1160_),
+    .D(psn_net_52),
+    .X(_3505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7477_ (.A(_3505_),
+    .X(_3506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7478_ (.A(_3503_),
+    .B(_3506_),
+    .X(_3507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7479_ (.A(\u_spictrl.cnt[0] ),
+    .Y(_3508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7480_ (.A(_1376_),
+    .B(\u_spictrl.cnt[0] ),
+    .X(_3509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7481_ (.A(\u_spictrl.cnt[1] ),
+    .Y(_3510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7482_ (.A1(\u_spictrl.cfg_cs_late[1] ),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(_1363_),
+    .B2(_3510_),
+    .X(_3511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7483_ (.A1(\u_spictrl.cfg_cs_late[0] ),
+    .A2(_3508_),
+    .B1(_3509_),
+    .C1(_3511_),
+    .X(_3512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7484_ (.A(_1405_),
+    .B(\u_spictrl.cnt[0] ),
+    .X(_3513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7485_ (.A1(\u_spictrl.cfg_cs_early[1] ),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(_1388_),
+    .B2(_3510_),
+    .X(_3514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7486_ (.A1(\u_spictrl.cfg_cs_early[0] ),
+    .A2(_3508_),
+    .B1(_3513_),
+    .C1(_3514_),
+    .X(_3515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7487_ (.A1(_3503_),
+    .A2(_3512_),
+    .B1(_3506_),
+    .B2(_3515_),
+    .X(_3516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7488_ (.A(_3516_),
+    .Y(_3517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7489_ (.A(_3507_),
+    .B(_3517_),
+    .X(_3518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7490_ (.A(\u_spictrl.cnt[0] ),
+    .B(_3518_),
+    .X(_3519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7491_ (.A(_2310_),
+    .X(_3520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7492_ (.A(_1887_),
+    .B(_3520_),
+    .C(_3127_),
+    .D(_3507_),
+    .X(_3521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7493_ (.A(_3517_),
+    .B(_3521_),
+    .Y(_3522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7494_ (.A(_3508_),
+    .B(_3518_),
+    .X(_3523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7495_ (.A1(\u_spictrl.cnt[1] ),
+    .A2(_3519_),
+    .A3(_3522_),
+    .B1(_3510_),
+    .B2(_3523_),
+    .X(_3524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7496_ (.A(_3524_),
+    .Y(_0565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _7497_ (.A1(_3508_),
+    .A2(_3522_),
+    .B1(_3519_),
+    .Y(_0564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7498_ (.A(_3512_),
+    .Y(_3525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7499_ (.A1_N(_3503_),
+    .A2_N(_3525_),
+    .B1(_3504_),
+    .B2(_3118_),
+    .X(_3526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7500_ (.A(_1021_),
+    .B(_1101_),
+    .X(_3527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7501_ (.A(_3505_),
+    .Y(_3528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7502_ (.A1_N(psn_net_27),
+    .A2_N(_3527_),
+    .B1(_3528_),
+    .B2(_3515_),
+    .X(_3529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7503_ (.A(psn_net_33),
+    .B(_2234_),
+    .C(_1890_),
+    .D(_2228_),
+    .X(_3530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7504_ (.A1(_3122_),
+    .A2(_3402_),
+    .A3(_1896_),
+    .B1(_2229_),
+    .B2(_3530_),
+    .X(_3531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7505_ (.A(_1891_),
+    .B(_1893_),
+    .X(_3532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7506_ (.A(_1176_),
+    .B(_3504_),
+    .C(_1151_),
+    .X(_3533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7507_ (.A1(_3532_),
+    .A2(psn_net_47),
+    .B1(psn_net_59),
+    .X(_3534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7508_ (.A(_3526_),
+    .B(_3529_),
+    .C(_3531_),
+    .D(_3534_),
+    .X(_3535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7509_ (.A(_3535_),
+    .Y(_3536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7510_ (.A(_3536_),
+    .X(_3537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7511_ (.A(\u_spictrl.cfg_spi_seq[2] ),
+    .Y(_3538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7512_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .B(_3538_),
+    .X(_3539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7513_ (.A(_3539_),
+    .Y(_3540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7514_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .X(_3541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7515_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+    .Y(_3542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7516_ (.A(_3542_),
+    .X(_3543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7517_ (.A(\u_spictrl.cfg_spi_seq[0] ),
+    .Y(_3544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7518_ (.A(_3541_),
+    .B(_3538_),
+    .C(_3543_),
+    .D(_3544_),
+    .X(_3545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7519_ (.A(_3540_),
+    .B(_3545_),
+    .X(_3546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7520_ (.A(_3546_),
+    .X(_3547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7521_ (.A(_1162_),
+    .B(psn_net_46),
+    .X(_3548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7522_ (.A(_3548_),
+    .Y(_3549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7523_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .Y(_3550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7524_ (.A(_3544_),
+    .X(_3551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7525_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+    .X(_3552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7526_ (.A(_3550_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .C(_3551_),
+    .D(_3552_),
+    .X(_3553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7527_ (.A(_3538_),
+    .X(_3554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7528_ (.A(_3541_),
+    .B(_3554_),
+    .C(_3551_),
+    .X(_3555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7529_ (.A1(_2225_),
+    .A2(_3553_),
+    .B1(_2233_),
+    .B2(_3555_),
+    .X(_3556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7530_ (.A(_3504_),
+    .B(_1151_),
+    .C(_2232_),
+    .D(_3502_),
+    .X(_3557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7531_ (.A(_3532_),
+    .B(_3557_),
+    .Y(_3558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7532_ (.A(_3550_),
+    .B(_3554_),
+    .C(_3552_),
+    .X(_3559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7533_ (.A1(_3542_),
+    .A2(\u_spictrl.cfg_spi_seq[0] ),
+    .B1(_3552_),
+    .B2(_3544_),
+    .X(_3560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7534_ (.A(_3550_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .C(_3560_),
+    .X(_3561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _7535_ (.A(psn_net_7),
+    .B(_3561_),
+    .Y(_3562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7536_ (.A(\u_spictrl.cfg_spi_seq[0] ),
+    .X(_3563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7537_ (.A(_3550_),
+    .B(_3554_),
+    .C(_3543_),
+    .D(_3563_),
+    .X(_3564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7538_ (.A(_3546_),
+    .B(_3559_),
+    .C(_3562_),
+    .D(_3564_),
+    .X(_3565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7539_ (.A(_3549_),
+    .B(_3556_),
+    .C(_3558_),
+    .D(_3565_),
+    .X(_3566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7540_ (.A1(_3520_),
+    .A2(_3547_),
+    .B1(_3566_),
+    .X(_3567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7541_ (.A1(_1137_),
+    .A2(_3537_),
+    .B1(_3535_),
+    .B2(_3567_),
+    .X(_3568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7542_ (.A(_3568_),
+    .Y(_0563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7543_ (.A(_2700_),
+    .B(_3553_),
+    .X(_3569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7544_ (.A(_2286_),
+    .B(_3555_),
+    .X(_3570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7545_ (.A(_3561_),
+    .Y(_3571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7546_ (.A1(_3571_),
+    .A2(_3564_),
+    .B1(psn_net_8),
+    .X(_3572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7547_ (.A(_2269_),
+    .B(_3569_),
+    .C(_3570_),
+    .D(_3572_),
+    .X(_3573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _7548_ (.A1(_2302_),
+    .A2(_3547_),
+    .B1(_3573_),
+    .Y(_3574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7549_ (.A1(_1151_),
+    .A2(_3537_),
+    .B1(_3535_),
+    .B2(_3574_),
+    .X(_3575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7550_ (.A(_3575_),
+    .Y(_0562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7551_ (.A(_3563_),
+    .B(_3559_),
+    .X(_3576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _7552_ (.A1(_3543_),
+    .A2(_3551_),
+    .A3(_3539_),
+    .B1(_3547_),
+    .B2(_3576_),
+    .X(_3577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7553_ (.A(_3520_),
+    .B(_3577_),
+    .X(_3578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7554_ (.A(_3541_),
+    .B(_3554_),
+    .C(_3543_),
+    .D(_3563_),
+    .X(_3579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7555_ (.A1(_3551_),
+    .A2(_3540_),
+    .B1(_2233_),
+    .C1(_3579_),
+    .X(_3580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7556_ (.A(_3539_),
+    .B(_3560_),
+    .X(_3581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _7557_ (.A(_2700_),
+    .B(_3581_),
+    .Y(_3582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7558_ (.A(_3562_),
+    .B(_3580_),
+    .C(_3582_),
+    .X(_3583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7559_ (.A(_1889_),
+    .B(_3506_),
+    .C(_3558_),
+    .D(_3583_),
+    .X(_3584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _7560_ (.A1(_3578_),
+    .A2(_3584_),
+    .A3(_3536_),
+    .B1(_1162_),
+    .B2(_3535_),
+    .X(_3585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7561_ (.A(_3585_),
+    .Y(_0561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7562_ (.A(_3555_),
+    .B(_3580_),
+    .Y(_3586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _7563_ (.A1(_3520_),
+    .A2(_3581_),
+    .B1(_3582_),
+    .Y(_3587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7564_ (.A(_2970_),
+    .B(_3557_),
+    .C(_3548_),
+    .D(_3587_),
+    .X(_3588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7565_ (.A1(_3547_),
+    .A2(_3559_),
+    .B1(psn_net_6),
+    .X(_3589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7566_ (.A(_2522_),
+    .B(_3533_),
+    .X(_3590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7567_ (.A(_2301_),
+    .B(_2286_),
+    .C(_3590_),
+    .D(_2432_),
+    .X(_3591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7568_ (.A(_3591_),
+    .Y(io_oeb[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7569_ (.A(_3501_),
+    .B(_3506_),
+    .C(psn_net_0),
+    .X(_3592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7570_ (.A(_3586_),
+    .B(_3588_),
+    .C(_3589_),
+    .D(_3592_),
+    .X(_3593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7571_ (.A1_N(psn_net_48),
+    .A2_N(_3537_),
+    .B1(_3537_),
+    .B2(_3593_),
+    .X(_0560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _7572_ (.A(psn_net_17),
+    .B(_3527_),
+    .Y(_3594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7573_ (.A(_3594_),
+    .X(_3595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7574_ (.A(_3595_),
+    .X(_3596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7575_ (.A(_1895_),
+    .X(_3597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7576_ (.A(_3597_),
+    .X(spi_debug[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7577_ (.A(_1023_),
+    .X(_3598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7578_ (.A(_2411_),
+    .Y(_3599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7579_ (.A1(spi_debug[27]),
+    .A2(_2398_),
+    .B1(_3598_),
+    .B2(_3599_),
+    .X(_3600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7580_ (.A1_N(_3596_),
+    .A2_N(_3600_),
+    .B1(\u_spictrl.spi_mode_cmd[7] ),
+    .B2(_3596_),
+    .X(_0559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7581_ (.A(_1895_),
+    .X(_3601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7582_ (.A(_3601_),
+    .X(_3602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7583_ (.A(_2518_),
+    .Y(_3603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7584_ (.A1(_3602_),
+    .A2(_2511_),
+    .B1(_3598_),
+    .B2(_3603_),
+    .X(_3604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7585_ (.A1_N(_3596_),
+    .A2_N(_3604_),
+    .B1(\u_spictrl.spi_mode_cmd[6] ),
+    .B2(_3596_),
+    .X(_0558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7586_ (.A(_3595_),
+    .X(_3605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7587_ (.A(_2585_),
+    .Y(_3606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7588_ (.A1(_3602_),
+    .A2(_2580_),
+    .B1(_3598_),
+    .B2(_3606_),
+    .X(_3607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7589_ (.A1_N(_3605_),
+    .A2_N(_3607_),
+    .B1(\u_spictrl.spi_mode_cmd[5] ),
+    .B2(_3605_),
+    .X(_0557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7590_ (.A(_2638_),
+    .Y(_3608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7591_ (.A1(_3602_),
+    .A2(_2633_),
+    .B1(_3598_),
+    .B2(_3608_),
+    .X(_3609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7592_ (.A1_N(_3605_),
+    .A2_N(_3609_),
+    .B1(\u_spictrl.spi_mode_cmd[4] ),
+    .B2(_3605_),
+    .X(_0556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7593_ (.A(_3595_),
+    .X(_3610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7594_ (.A(_1023_),
+    .X(_3611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7595_ (.A(_2695_),
+    .Y(_3612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7596_ (.A1(_3602_),
+    .A2(_2687_),
+    .B1(_3611_),
+    .B2(_3612_),
+    .X(_3613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7597_ (.A1_N(_3610_),
+    .A2_N(_3613_),
+    .B1(\u_spictrl.spi_mode_cmd[3] ),
+    .B2(_3610_),
+    .X(_0555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7598_ (.A(_3601_),
+    .X(_3614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7599_ (.A(_2755_),
+    .Y(_3615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7600_ (.A1(_3614_),
+    .A2(_2750_),
+    .B1(_3611_),
+    .B2(_3615_),
+    .X(_3616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7601_ (.A1_N(_3610_),
+    .A2_N(_3616_),
+    .B1(\u_spictrl.spi_mode_cmd[2] ),
+    .B2(_3610_),
+    .X(_0554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7602_ (.A(_3595_),
+    .X(_3617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7603_ (.A(_2802_),
+    .Y(_3618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7604_ (.A1(_3614_),
+    .A2(_2797_),
+    .B1(_3611_),
+    .B2(_3618_),
+    .X(_3619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7605_ (.A1_N(_3617_),
+    .A2_N(_3619_),
+    .B1(\u_spictrl.spi_mode_cmd[1] ),
+    .B2(_3617_),
+    .X(_0553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7606_ (.A(_2853_),
+    .Y(_3620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7607_ (.A1(_3614_),
+    .A2(_2848_),
+    .B1(_3611_),
+    .B2(_3620_),
+    .X(_3621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7608_ (.A1_N(_3617_),
+    .A2_N(_3621_),
+    .B1(\u_spictrl.spi_mode_cmd[0] ),
+    .B2(_3617_),
+    .X(_0552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7609_ (.A(_3594_),
+    .X(_3622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7610_ (.A(_3622_),
+    .X(_3623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7611_ (.A(_1023_),
+    .X(_3624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7612_ (.A(_2661_),
+    .Y(_3625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7613_ (.A1(_3614_),
+    .A2(_2655_),
+    .B1(_3624_),
+    .B2(_3625_),
+    .X(_3626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7614_ (.A1_N(_3623_),
+    .A2_N(_3626_),
+    .B1(_3541_),
+    .B2(_3623_),
+    .X(_0551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7615_ (.A(_3601_),
+    .X(_3627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7616_ (.A(_2726_),
+    .Y(_3628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7617_ (.A1(_3627_),
+    .A2(_2720_),
+    .B1(_3624_),
+    .B2(_3628_),
+    .X(_3629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7618_ (.A1_N(_3623_),
+    .A2_N(_3629_),
+    .B1(\u_spictrl.cfg_spi_seq[2] ),
+    .B2(_3623_),
+    .X(_0550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7619_ (.A(_3622_),
+    .X(_3630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7620_ (.A(_2774_),
+    .Y(_3631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7621_ (.A1(_3627_),
+    .A2(_2769_),
+    .B1(_3624_),
+    .B2(_3631_),
+    .X(_3632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7622_ (.A1_N(_3630_),
+    .A2_N(_3632_),
+    .B1(_3552_),
+    .B2(_3630_),
+    .X(_0549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7623_ (.A(_2825_),
+    .Y(_3633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7624_ (.A1(_3627_),
+    .A2(_2820_),
+    .B1(_3624_),
+    .B2(_3633_),
+    .X(_3634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7625_ (.A1_N(_3630_),
+    .A2_N(_3634_),
+    .B1(_3563_),
+    .B2(_3630_),
+    .X(_0548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7626_ (.A(_3622_),
+    .X(_3635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7627_ (.A(_1022_),
+    .X(_3636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7628_ (.A1(_3627_),
+    .A2(_2373_),
+    .B1(_3636_),
+    .B2(_2389_),
+    .X(_3637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7629_ (.A1_N(_3635_),
+    .A2_N(_3637_),
+    .B1(\u_spictrl.cfg_data_cnt[7] ),
+    .B2(_3635_),
+    .X(_0547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7630_ (.A(_3601_),
+    .X(_3638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7631_ (.A1(_3638_),
+    .A2(_2481_),
+    .B1(_3636_),
+    .B2(_2492_),
+    .X(_3639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7632_ (.A1_N(_3635_),
+    .A2_N(_3639_),
+    .B1(\u_spictrl.cfg_data_cnt[6] ),
+    .B2(_3635_),
+    .X(_0546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7633_ (.A(_3622_),
+    .X(_3640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7634_ (.A1(_3638_),
+    .A2(_2554_),
+    .B1(_3636_),
+    .B2(_2563_),
+    .X(_3641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7635_ (.A1_N(_3640_),
+    .A2_N(_3641_),
+    .B1(\u_spictrl.cfg_data_cnt[5] ),
+    .B2(_3640_),
+    .X(_0545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7636_ (.A1(_3638_),
+    .A2(_2613_),
+    .B1(_3636_),
+    .B2(_2619_),
+    .X(_3642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7637_ (.A1_N(_3640_),
+    .A2_N(_3642_),
+    .B1(\u_spictrl.cfg_data_cnt[4] ),
+    .B2(_3640_),
+    .X(_0544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7638_ (.A(_3594_),
+    .X(_3643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7639_ (.A(_3643_),
+    .X(_3644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7640_ (.A(_1022_),
+    .X(_3645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7641_ (.A1(_3638_),
+    .A2(_2667_),
+    .B1(_3645_),
+    .B2(_2673_),
+    .X(_3646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7642_ (.A1_N(_3644_),
+    .A2_N(_3646_),
+    .B1(\u_spictrl.cfg_data_cnt[3] ),
+    .B2(_3644_),
+    .X(_0543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7643_ (.A(_1895_),
+    .X(_3647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7644_ (.A1(_3647_),
+    .A2(_2731_),
+    .B1(_3645_),
+    .B2(_2736_),
+    .X(_3648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7645_ (.A1_N(_3644_),
+    .A2_N(_3648_),
+    .B1(\u_spictrl.cfg_data_cnt[2] ),
+    .B2(_3644_),
+    .X(_0542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7646_ (.A(_3643_),
+    .X(_3649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7647_ (.A1(_3647_),
+    .A2(_2779_),
+    .B1(_3645_),
+    .B2(_2784_),
+    .X(_3650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7648_ (.A1_N(_3649_),
+    .A2_N(_3650_),
+    .B1(\u_spictrl.cfg_data_cnt[1] ),
+    .B2(_3649_),
+    .X(_0541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7649_ (.A1(_3647_),
+    .A2(_2830_),
+    .B1(_3645_),
+    .B2(_2835_),
+    .X(_3651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7650_ (.A1_N(_3649_),
+    .A2_N(_3651_),
+    .B1(\u_spictrl.cfg_data_cnt[0] ),
+    .B2(_3649_),
+    .X(_0540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7651_ (.A(_3643_),
+    .X(_3652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7652_ (.A(_1022_),
+    .X(_3653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7653_ (.A1(_3647_),
+    .A2(_2346_),
+    .B1(_3653_),
+    .B2(_2360_),
+    .X(_3654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7654_ (.A1_N(_3652_),
+    .A2_N(_3654_),
+    .B1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .B2(_3652_),
+    .X(_0539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7655_ (.A(_2472_),
+    .Y(_3655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7656_ (.A1(_3597_),
+    .A2(_2465_),
+    .B1(_3653_),
+    .B2(_3655_),
+    .X(_3656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7657_ (.A1_N(_3652_),
+    .A2_N(_3656_),
+    .B1(\u_spictrl.cfg_dummy_cnt[0] ),
+    .B2(_3652_),
+    .X(_0538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7658_ (.A(_1796_),
+    .X(_3657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7659_ (.A(wbd_stb_i),
+    .Y(_3658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7660_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_1702_),
+    .C(wbd_ack_o),
+    .D(_3658_),
+    .X(_3659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7661_ (.A1(_3657_),
+    .A2(_1689_),
+    .B1(_2013_),
+    .B2(_3659_),
+    .X(_3660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7662_ (.A(_3660_),
+    .Y(_0537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7663_ (.A(_1703_),
+    .X(_3661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7664_ (.A(_3657_),
+    .X(_3662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7665_ (.A1(_3661_),
+    .A2(wbd_dat_i[31]),
+    .B1(_3662_),
+    .B2(\u_spim_regs.spim_reg_wdata[31] ),
+    .X(_0536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7666_ (.A1(_3661_),
+    .A2(wbd_dat_i[30]),
+    .B1(_3662_),
+    .B2(\u_spim_regs.spim_reg_wdata[30] ),
+    .X(_0535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7667_ (.A1(_3661_),
+    .A2(wbd_dat_i[29]),
+    .B1(_3662_),
+    .B2(\u_spim_regs.spim_reg_wdata[29] ),
+    .X(_0534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7668_ (.A1(_3661_),
+    .A2(wbd_dat_i[28]),
+    .B1(_3662_),
+    .B2(\u_spim_regs.spim_reg_wdata[28] ),
+    .X(_0533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7669_ (.A(_0910_),
+    .X(_3663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7670_ (.A(_3663_),
+    .X(_3664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7671_ (.A(_3664_),
+    .X(_3665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7672_ (.A(_1792_),
+    .X(_3666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7673_ (.A(_3666_),
+    .X(_3667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7674_ (.A1(_3665_),
+    .A2(wbd_dat_i[27]),
+    .B1(_3667_),
+    .B2(\u_spim_regs.spim_reg_wdata[27] ),
+    .X(_0532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7675_ (.A1(_3665_),
+    .A2(wbd_dat_i[26]),
+    .B1(_3667_),
+    .B2(\u_spim_regs.spim_reg_wdata[26] ),
+    .X(_0531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7676_ (.A1(_3665_),
+    .A2(wbd_dat_i[25]),
+    .B1(_3667_),
+    .B2(\u_spim_regs.spim_reg_wdata[25] ),
+    .X(_0530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7677_ (.A1(_3665_),
+    .A2(wbd_dat_i[24]),
+    .B1(_3667_),
+    .B2(\u_spim_regs.spim_reg_wdata[24] ),
+    .X(_0529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7678_ (.A(_3664_),
+    .X(_3668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7679_ (.A(_3657_),
+    .X(_3669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7680_ (.A1(_3668_),
+    .A2(wbd_dat_i[23]),
+    .B1(\u_spim_regs.spim_reg_wdata[23] ),
+    .B2(_3669_),
+    .X(_0528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7681_ (.A(_3666_),
+    .X(_3670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7682_ (.A1(_3668_),
+    .A2(wbd_dat_i[22]),
+    .B1(_3670_),
+    .B2(\u_spim_regs.spim_reg_wdata[22] ),
+    .X(_0527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7683_ (.A1(_3668_),
+    .A2(wbd_dat_i[21]),
+    .B1(_3670_),
+    .B2(\u_spim_regs.spim_reg_wdata[21] ),
+    .X(_0526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7684_ (.A1(_3668_),
+    .A2(wbd_dat_i[20]),
+    .B1(_3670_),
+    .B2(\u_spim_regs.spim_reg_wdata[20] ),
+    .X(_0525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7685_ (.A(_3664_),
+    .X(_3671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7686_ (.A1(_3671_),
+    .A2(wbd_dat_i[19]),
+    .B1(_3670_),
+    .B2(\u_spim_regs.spim_reg_wdata[19] ),
+    .X(_0524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7687_ (.A(_3666_),
+    .X(_3672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7688_ (.A1(_3671_),
+    .A2(wbd_dat_i[18]),
+    .B1(_3672_),
+    .B2(\u_spim_regs.spim_reg_wdata[18] ),
+    .X(_0523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7689_ (.A1(_3671_),
+    .A2(wbd_dat_i[17]),
+    .B1(_3672_),
+    .B2(\u_spim_regs.spim_reg_wdata[17] ),
+    .X(_0522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7690_ (.A1(_3671_),
+    .A2(wbd_dat_i[16]),
+    .B1(_3672_),
+    .B2(\u_spim_regs.spim_reg_wdata[16] ),
+    .X(_0521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7691_ (.A(_3664_),
+    .X(_3673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7692_ (.A1(_3673_),
+    .A2(wbd_dat_i[15]),
+    .B1(_3672_),
+    .B2(_1802_),
+    .X(_0520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7693_ (.A(_3666_),
+    .X(_3674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7694_ (.A1(_3673_),
+    .A2(wbd_dat_i[14]),
+    .B1(_3674_),
+    .B2(_1812_),
+    .X(_0519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7695_ (.A1(_3673_),
+    .A2(wbd_dat_i[13]),
+    .B1(_3674_),
+    .B2(_1814_),
+    .X(_0518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7696_ (.A1(_3673_),
+    .A2(wbd_dat_i[12]),
+    .B1(_3674_),
+    .B2(_1816_),
+    .X(_0517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7697_ (.A(_3663_),
+    .X(_3675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7698_ (.A(_3675_),
+    .X(_3676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7699_ (.A1(_3676_),
+    .A2(wbd_dat_i[11]),
+    .B1(_3674_),
+    .B2(_1819_),
+    .X(_0516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7700_ (.A(_1792_),
+    .X(_3677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7701_ (.A(_3677_),
+    .X(_3678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7702_ (.A1(_3676_),
+    .A2(wbd_dat_i[10]),
+    .B1(_3678_),
+    .B2(_1823_),
+    .X(_0515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7703_ (.A1(_3676_),
+    .A2(wbd_dat_i[9]),
+    .B1(_3678_),
+    .B2(_1825_),
+    .X(_0514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7704_ (.A1(_3676_),
+    .A2(wbd_dat_i[8]),
+    .B1(_3678_),
+    .B2(\u_spim_regs.spim_reg_wdata[8] ),
+    .X(_0513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7705_ (.A(_3675_),
+    .X(_3679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7706_ (.A1(_3679_),
+    .A2(wbd_dat_i[7]),
+    .B1(_3678_),
+    .B2(_1760_),
+    .X(_0512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7707_ (.A(_3677_),
+    .X(_3680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7708_ (.A1(_3679_),
+    .A2(wbd_dat_i[6]),
+    .B1(_3680_),
+    .B2(_1784_),
+    .X(_0511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7709_ (.A1(_3679_),
+    .A2(wbd_dat_i[5]),
+    .B1(_3680_),
+    .B2(_1787_),
+    .X(_0510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7710_ (.A1(_3679_),
+    .A2(wbd_dat_i[4]),
+    .B1(_3680_),
+    .B2(_1789_),
+    .X(_0509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7711_ (.A(_3675_),
+    .X(_3681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7712_ (.A1(_3681_),
+    .A2(wbd_dat_i[3]),
+    .B1(_3680_),
+    .B2(_2118_),
+    .X(_0508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7713_ (.A(_3677_),
+    .X(_3682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7714_ (.A1(_3681_),
+    .A2(wbd_dat_i[2]),
+    .B1(_3682_),
+    .B2(_2119_),
+    .X(_0507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7715_ (.A1(_3681_),
+    .A2(wbd_dat_i[1]),
+    .B1(_3682_),
+    .B2(_2121_),
+    .X(_0506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7716_ (.A1(_3681_),
+    .A2(wbd_dat_i[0]),
+    .B1(_3682_),
+    .B2(_2122_),
+    .X(_0505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7717_ (.A(_3675_),
+    .X(_3683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7718_ (.A1(_3683_),
+    .A2(wbd_adr_i[31]),
+    .B1(_3682_),
+    .B2(\u_wb_if.spim_wb_addr[31] ),
+    .X(_0504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7719_ (.A(_3677_),
+    .X(_3684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7720_ (.A1(_3683_),
+    .A2(wbd_adr_i[30]),
+    .B1(_3684_),
+    .B2(\u_wb_if.spim_wb_addr[30] ),
+    .X(_0503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7721_ (.A1(_3683_),
+    .A2(wbd_adr_i[29]),
+    .B1(_3684_),
+    .B2(\u_wb_if.spim_wb_addr[29] ),
+    .X(_0502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7722_ (.A1(_3683_),
+    .A2(wbd_adr_i[28]),
+    .B1(_3684_),
+    .B2(_0933_),
+    .X(_0501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7723_ (.A(_3663_),
+    .X(_3685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7724_ (.A(_3685_),
+    .X(_3686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7725_ (.A1(_3686_),
+    .A2(wbd_adr_i[27]),
+    .B1(_3684_),
+    .B2(\u_wb_if.spim_wb_addr[27] ),
+    .X(_0500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7726_ (.A(_1796_),
+    .X(_3687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7727_ (.A(_3687_),
+    .X(_3688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7728_ (.A1(_3686_),
+    .A2(wbd_adr_i[26]),
+    .B1(_3688_),
+    .B2(\u_wb_if.spim_wb_addr[26] ),
+    .X(_0499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7729_ (.A1(_3686_),
+    .A2(wbd_adr_i[25]),
+    .B1(_3688_),
+    .B2(\u_wb_if.spim_wb_addr[25] ),
+    .X(_0498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7730_ (.A1(_3686_),
+    .A2(wbd_adr_i[24]),
+    .B1(_3688_),
+    .B2(\u_wb_if.spim_wb_addr[24] ),
+    .X(_0497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7731_ (.A(_3685_),
+    .X(_3689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7732_ (.A1(_3689_),
+    .A2(wbd_adr_i[23]),
+    .B1(_3688_),
+    .B2(\u_wb_if.spim_wb_addr[23] ),
+    .X(_0496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7733_ (.A(_3687_),
+    .X(_3690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7734_ (.A1(_3689_),
+    .A2(wbd_adr_i[22]),
+    .B1(_3690_),
+    .B2(\u_wb_if.spim_wb_addr[22] ),
+    .X(_0495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7735_ (.A1(_3689_),
+    .A2(wbd_adr_i[21]),
+    .B1(_3690_),
+    .B2(\u_wb_if.spim_wb_addr[21] ),
+    .X(_0494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7736_ (.A1(_3689_),
+    .A2(wbd_adr_i[20]),
+    .B1(_3690_),
+    .B2(\u_wb_if.spim_wb_addr[20] ),
+    .X(_0493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7737_ (.A(_3685_),
+    .X(_3691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7738_ (.A1(_3691_),
+    .A2(wbd_adr_i[19]),
+    .B1(_3690_),
+    .B2(\u_wb_if.spim_wb_addr[19] ),
+    .X(_0492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7739_ (.A(_3687_),
+    .X(_3692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7740_ (.A1(_3691_),
+    .A2(wbd_adr_i[18]),
+    .B1(_3692_),
+    .B2(\u_wb_if.spim_wb_addr[18] ),
+    .X(_0491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7741_ (.A1(_3691_),
+    .A2(wbd_adr_i[17]),
+    .B1(_3692_),
+    .B2(\u_wb_if.spim_wb_addr[17] ),
+    .X(_0490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7742_ (.A1(_3691_),
+    .A2(wbd_adr_i[16]),
+    .B1(_3692_),
+    .B2(\u_wb_if.spim_wb_addr[16] ),
+    .X(_0489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7743_ (.A(_3685_),
+    .X(_3693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7744_ (.A1(_3693_),
+    .A2(wbd_adr_i[15]),
+    .B1(_3692_),
+    .B2(\u_wb_if.spim_wb_addr[15] ),
+    .X(_0488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7745_ (.A(_3687_),
+    .X(_3694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7746_ (.A1(_3693_),
+    .A2(wbd_adr_i[14]),
+    .B1(_3694_),
+    .B2(\u_wb_if.spim_wb_addr[14] ),
+    .X(_0487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7747_ (.A1(_3693_),
+    .A2(wbd_adr_i[13]),
+    .B1(_3694_),
+    .B2(\u_wb_if.spim_wb_addr[13] ),
+    .X(_0486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7748_ (.A1(_3693_),
+    .A2(wbd_adr_i[12]),
+    .B1(_3694_),
+    .B2(\u_wb_if.spim_wb_addr[12] ),
+    .X(_0485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7749_ (.A(_3663_),
+    .X(_3695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7750_ (.A(_3695_),
+    .X(_3696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7751_ (.A1(_3696_),
+    .A2(wbd_adr_i[11]),
+    .B1(_3694_),
+    .B2(\u_wb_if.spim_wb_addr[11] ),
+    .X(_0484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7752_ (.A(_1796_),
+    .X(_3697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7753_ (.A(_3697_),
+    .X(_3698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7754_ (.A1(_3696_),
+    .A2(wbd_adr_i[10]),
+    .B1(_3698_),
+    .B2(\u_wb_if.spim_wb_addr[10] ),
+    .X(_0483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7755_ (.A1(_3696_),
+    .A2(wbd_adr_i[9]),
+    .B1(_3698_),
+    .B2(\u_wb_if.spim_wb_addr[9] ),
+    .X(_0482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7756_ (.A1(_3696_),
+    .A2(wbd_adr_i[8]),
+    .B1(_3698_),
+    .B2(\u_wb_if.spim_wb_addr[8] ),
+    .X(_0481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7757_ (.A(_3695_),
+    .X(_3699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7758_ (.A1(_3699_),
+    .A2(wbd_adr_i[7]),
+    .B1(_3698_),
+    .B2(\u_wb_if.spim_wb_addr[7] ),
+    .X(_0480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7759_ (.A(_3697_),
+    .X(_3700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7760_ (.A1(_3699_),
+    .A2(wbd_adr_i[6]),
+    .B1(_3700_),
+    .B2(\u_wb_if.spim_wb_addr[6] ),
+    .X(_0479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7761_ (.A1(_3699_),
+    .A2(wbd_adr_i[5]),
+    .B1(_3700_),
+    .B2(_1296_),
+    .X(_0478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7762_ (.A1(_3699_),
+    .A2(wbd_adr_i[4]),
+    .B1(_3700_),
+    .B2(_0990_),
+    .X(_0477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7763_ (.A(_3695_),
+    .X(_3701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7764_ (.A1(_3701_),
+    .A2(wbd_adr_i[3]),
+    .B1(_3700_),
+    .B2(_0987_),
+    .X(_0476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7765_ (.A(_3697_),
+    .X(_3702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7766_ (.A1(_3701_),
+    .A2(wbd_adr_i[2]),
+    .B1(_3702_),
+    .B2(_0988_),
+    .X(_0475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7767_ (.A1(_3701_),
+    .A2(wbd_adr_i[1]),
+    .B1(_3702_),
+    .B2(\u_wb_if.spim_wb_addr[1] ),
+    .X(_0474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7768_ (.A1(_3701_),
+    .A2(wbd_adr_i[0]),
+    .B1(_3702_),
+    .B2(\u_wb_if.spim_wb_addr[0] ),
+    .X(_0473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7769_ (.A(wbd_ack_o),
+    .Y(_3703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7770_ (.A(_1416_),
+    .B(_1420_),
+    .Y(_3704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7771_ (.A1(_3657_),
+    .A2(_3703_),
+    .B1(_1703_),
+    .B2(_3704_),
+    .X(_3705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7772_ (.A(_3705_),
+    .Y(_0472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7773_ (.A(_3695_),
+    .X(_3706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7774_ (.A1(_3706_),
+    .A2(wbd_we_i),
+    .B1(_3702_),
+    .B2(\u_spim_regs.spim_reg_we ),
+    .X(_0471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7775_ (.A(_3697_),
+    .X(_3707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7776_ (.A1(_3706_),
+    .A2(wbd_sel_i[3]),
+    .B1(_3707_),
+    .B2(\u_spim_regs.spim_reg_be[3] ),
+    .X(_0470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7777_ (.A1(_3706_),
+    .A2(wbd_sel_i[2]),
+    .B1(_3707_),
+    .B2(\u_spim_regs.spim_reg_be[2] ),
+    .X(_0469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7778_ (.A1(_3706_),
+    .A2(wbd_sel_i[1]),
+    .B1(_3707_),
+    .B2(\u_spim_regs.spim_reg_be[1] ),
+    .X(_0468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7779_ (.A(_1762_),
+    .X(_3708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7780_ (.A1(_3708_),
+    .A2(wbd_sel_i[0]),
+    .B1(_3707_),
+    .B2(\u_spim_regs.spim_reg_be[0] ),
+    .X(_0467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7781_ (.A(_3643_),
+    .X(_3709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7782_ (.A(_2548_),
+    .Y(_3710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7783_ (.A1(_3597_),
+    .A2(_2540_),
+    .B1(_3653_),
+    .B2(_3710_),
+    .X(_3711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7784_ (.A1_N(_3709_),
+    .A2_N(_3711_),
+    .B1(_2651_),
+    .B2(_3709_),
+    .X(_0466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7785_ (.A(_2608_),
+    .Y(_3712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7786_ (.A1(_3597_),
+    .A2(_2603_),
+    .B1(_3653_),
+    .B2(_3712_),
+    .X(_3713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7787_ (.A1_N(_3709_),
+    .A2_N(_3713_),
+    .B1(_2307_),
+    .B2(_3709_),
+    .X(_0465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7788_ (.A(_2668_),
+    .X(_3714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7789_ (.A1(\u_spictrl.cfg_m0_spi_switch[0] ),
+    .A2(_2006_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_3714_),
+    .X(_3715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7790_ (.A1(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .A2(_2005_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_2668_),
+    .X(_3716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7791_ (.A(_3716_),
+    .Y(_3717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7792_ (.A(_2232_),
+    .B(_1887_),
+    .C(_3715_),
+    .D(_3717_),
+    .X(_3718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7793_ (.A(_3718_),
+    .Y(_3719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7794_ (.A(_1891_),
+    .B(psn_net_37),
+    .C(_3715_),
+    .D(_3717_),
+    .X(_3720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7795_ (.A(psn_net_18),
+    .B(_3719_),
+    .C(_3720_),
+    .X(_3721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7796_ (.A(_3721_),
+    .Y(_3722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7797_ (.A(_3714_),
+    .X(_3723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7798_ (.A(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B(_3723_),
+    .X(_3724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7799_ (.A(_2006_),
+    .X(_3725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7800_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
+    .B(_3725_),
+    .X(_3726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7801_ (.A(_3724_),
+    .B(_3726_),
+    .C(_3183_),
+    .X(_3727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7802_ (.A1(_3722_),
+    .A2(_3727_),
+    .B1(\u_spictrl.s_spi_mode[1] ),
+    .B2(_3721_),
+    .X(_0464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7803_ (.A(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B(_3723_),
+    .X(_3728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7804_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
+    .B(_3725_),
+    .X(_3729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7805_ (.A(_3728_),
+    .B(_3729_),
+    .C(_3183_),
+    .X(_3730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _7806_ (.A1(_3722_),
+    .A2(_3730_),
+    .B1(\u_spictrl.s_spi_mode[0] ),
+    .B2(_3721_),
+    .X(_0463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7807_ (.A(\u_spictrl.res_fifo_wr ),
+    .Y(_3731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7808_ (.A(_3731_),
+    .B(_1883_),
+    .C(_0914_),
+    .X(_3732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7809_ (.A(_3732_),
+    .Y(_3733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7810_ (.A(_3733_),
+    .X(_3734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7811_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+    .B(_3734_),
+    .X(_3735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7812_ (.A(_2240_),
+    .B(_2219_),
+    .C(_3548_),
+    .D(\u_spictrl.gnt[1] ),
+    .X(_3736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7813_ (.A1(_0917_),
+    .A2(_3732_),
+    .B1(_3735_),
+    .C1(_3736_),
+    .X(_3737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7814_ (.A(_3737_),
+    .Y(_0462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7815_ (.A(_3733_),
+    .X(_3738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7816_ (.A1(_3731_),
+    .A2(_1883_),
+    .B1(_0914_),
+    .X(_3739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7817_ (.A(_3738_),
+    .B(_3739_),
+    .C(_3736_),
+    .X(_3740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7818_ (.A(_3740_),
+    .Y(_0461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7819_ (.A(_0947_),
+    .B(_1006_),
+    .X(_3741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7820_ (.A(_3741_),
+    .Y(_3742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _7821_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
+    .B(_3742_),
+    .X(_3743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7822_ (.A1(_0918_),
+    .A2(_3741_),
+    .B1(_3743_),
+    .C1(_3736_),
+    .X(_3744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7823_ (.A(_3744_),
+    .Y(_0460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7824_ (.A1(_1134_),
+    .A2(_1220_),
+    .B1(_3742_),
+    .C1(_3736_),
+    .X(_3745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7825_ (.A(_3745_),
+    .Y(_0459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7826_ (.A(_1052_),
+    .X(_3746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7827_ (.A(_1049_),
+    .X(_3747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7828_ (.A(_2005_),
+    .B(_3731_),
+    .C(_3747_),
+    .X(_3748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7829_ (.A(_3746_),
+    .B(_3748_),
+    .X(_3749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7830_ (.A(_3749_),
+    .Y(_3750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7831_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
+    .B(_3750_),
+    .X(_3751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _7832_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
+    .B(_3750_),
+    .Y(_3752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7833_ (.A(_1899_),
+    .B(psn_net_62),
+    .C(_3549_),
+    .D(_2006_),
+    .X(_3753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7834_ (.A(_3751_),
+    .B(_3752_),
+    .C(_3753_),
+    .X(_0458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7835_ (.A(_3749_),
+    .X(_3754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7836_ (.A(_3754_),
+    .X(_3755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7837_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .X(_3756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7838_ (.A(_3756_),
+    .X(_3757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7839_ (.A(_3748_),
+    .Y(_3758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7840_ (.A(_3758_),
+    .X(_3759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7841_ (.A(_3759_),
+    .X(_3760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7842_ (.A(_3757_),
+    .B(_3760_),
+    .X(_3761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7843_ (.A(_3755_),
+    .B(_3761_),
+    .C(_3753_),
+    .X(_0457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _7844_ (.A1(_3725_),
+    .A2(_3731_),
+    .B1(_3747_),
+    .X(_3762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7845_ (.A(_3753_),
+    .Y(_3763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7846_ (.A(_3760_),
+    .B(_3762_),
+    .C(_3763_),
+    .X(_3764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7847_ (.A(_3764_),
+    .Y(_0456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7848_ (.A(_1431_),
+    .B(_2013_),
+    .C(_1443_),
+    .X(_3765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7849_ (.A1_N(\u_m0_res_fifo.rd_ptr[2] ),
+    .A2_N(_3765_),
+    .B1(\u_m0_res_fifo.rd_ptr[2] ),
+    .B2(_3765_),
+    .X(_3766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7850_ (.A(_3763_),
+    .B(_3766_),
+    .Y(_0455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7851_ (.A(_1436_),
+    .B(_2014_),
+    .X(_3767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7852_ (.A1(_1433_),
+    .A2(_3767_),
+    .B1(_3765_),
+    .C1(_3763_),
+    .X(_3768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7853_ (.A(_3768_),
+    .Y(_0454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _7854_ (.A(_1431_),
+    .B(_2013_),
+    .X(_3769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7855_ (.A(_3767_),
+    .B(_3769_),
+    .C(_3753_),
+    .X(_0453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _7856_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_1080_),
+    .C(_1870_),
+    .X(_3770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7857_ (.A(_3770_),
+    .X(_3771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7858_ (.A(_3771_),
+    .X(_3772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _7859_ (.A(_1864_),
+    .B(_2190_),
+    .Y(_3773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7860_ (.A(_3773_),
+    .X(_3774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7861_ (.A(_3774_),
+    .X(_3775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7862_ (.A(_1858_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
+    .C(_1699_),
+    .X(_3776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7863_ (.A(_2196_),
+    .X(_3777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7864_ (.A(_3777_),
+    .X(_3778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7865_ (.A(_3778_),
+    .X(_3779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7866_ (.A(_2197_),
+    .X(_3780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7867_ (.A(_3780_),
+    .X(_3781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7868_ (.A(_2187_),
+    .B(_3779_),
+    .C(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .D(_3781_),
+    .X(_3782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7869_ (.A1(\u_spim_regs.cfg_m1_addr[31] ),
+    .A2(_3775_),
+    .B1(_3776_),
+    .C1(_3782_),
+    .X(_3783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7870_ (.A(_3783_),
+    .Y(_3784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7871_ (.A1_N(_3772_),
+    .A2_N(_3784_),
+    .B1(\u_m1_cmd_fifo.mem[0][31] ),
+    .B2(_3772_),
+    .X(_0452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7872_ (.A(_1858_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
+    .C(_1699_),
+    .X(_3785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7873_ (.A(_2187_),
+    .B(_3779_),
+    .C(_0950_),
+    .D(_3781_),
+    .X(_3786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7874_ (.A1(\u_spim_regs.cfg_m1_addr[30] ),
+    .A2(_3775_),
+    .B1(_3785_),
+    .C1(_3786_),
+    .X(_3787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7875_ (.A(_3787_),
+    .Y(_3788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7876_ (.A1_N(_3772_),
+    .A2_N(_3788_),
+    .B1(\u_m1_cmd_fifo.mem[0][30] ),
+    .B2(_3772_),
+    .X(_0451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7877_ (.A(_3771_),
+    .X(_3789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7878_ (.A(_1697_),
+    .X(_3790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7879_ (.A(_3790_),
+    .X(_3791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7880_ (.A(_1858_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
+    .C(_3791_),
+    .X(_3792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7881_ (.A(_2187_),
+    .B(_3779_),
+    .C(_0974_),
+    .D(_3781_),
+    .X(_3793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7882_ (.A1(\u_spim_regs.cfg_m1_addr[29] ),
+    .A2(_3775_),
+    .B1(_3792_),
+    .C1(_3793_),
+    .X(_3794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7883_ (.A(_3794_),
+    .Y(_3795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7884_ (.A1_N(_3789_),
+    .A2_N(_3795_),
+    .B1(\u_m1_cmd_fifo.mem[0][29] ),
+    .B2(_3789_),
+    .X(_0450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7885_ (.A(_1692_),
+    .X(_3796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7886_ (.A(_3796_),
+    .X(_3797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7887_ (.A(_3797_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
+    .C(_3791_),
+    .X(_3798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7888_ (.A(_2178_),
+    .X(_3799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7889_ (.A(_3799_),
+    .B(_3779_),
+    .C(_0984_),
+    .D(_3781_),
+    .X(_3800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7890_ (.A1(\u_spim_regs.cfg_m1_addr[28] ),
+    .A2(_3775_),
+    .B1(_3798_),
+    .C1(_3800_),
+    .X(_3801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7891_ (.A(_3801_),
+    .Y(_3802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7892_ (.A1_N(_3789_),
+    .A2_N(_3802_),
+    .B1(\u_m1_cmd_fifo.mem[0][28] ),
+    .B2(_3789_),
+    .X(_0449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7893_ (.A(_3771_),
+    .X(_3803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7894_ (.A(_3774_),
+    .X(_3804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7895_ (.A(_3797_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
+    .C(_3791_),
+    .X(_3805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7896_ (.A(_3777_),
+    .X(_3806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7897_ (.A(_3806_),
+    .X(_3807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7898_ (.A(_3780_),
+    .X(_3808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7899_ (.A(_3799_),
+    .B(_3807_),
+    .C(_1013_),
+    .D(_3808_),
+    .X(_3809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7900_ (.A1(\u_spim_regs.cfg_m1_addr[27] ),
+    .A2(_3804_),
+    .B1(_3805_),
+    .C1(_3809_),
+    .X(_3810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7901_ (.A(_3810_),
+    .Y(_3811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7902_ (.A1_N(_3803_),
+    .A2_N(_3811_),
+    .B1(\u_m1_cmd_fifo.mem[0][27] ),
+    .B2(_3803_),
+    .X(_0448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7903_ (.A(_3797_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
+    .C(_3791_),
+    .X(_3812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7904_ (.A(_3799_),
+    .B(_3807_),
+    .C(_1042_),
+    .D(_3808_),
+    .X(_3813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7905_ (.A1(\u_spim_regs.cfg_m1_addr[26] ),
+    .A2(_3804_),
+    .B1(_3812_),
+    .C1(_3813_),
+    .X(_3814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7906_ (.A(_3814_),
+    .Y(_3815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7907_ (.A1_N(_3803_),
+    .A2_N(_3815_),
+    .B1(\u_m1_cmd_fifo.mem[0][26] ),
+    .B2(_3803_),
+    .X(_0447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7908_ (.A(_3771_),
+    .X(_3816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7909_ (.A(_3790_),
+    .X(_3817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7910_ (.A(_3797_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
+    .C(_3817_),
+    .X(_3818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7911_ (.A(_3799_),
+    .B(_3807_),
+    .C(_1065_),
+    .D(_3808_),
+    .X(_3819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7912_ (.A1(\u_spim_regs.cfg_m1_addr[25] ),
+    .A2(_3804_),
+    .B1(_3818_),
+    .C1(_3819_),
+    .X(_3820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7913_ (.A(_3820_),
+    .Y(_3821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7914_ (.A1_N(_3816_),
+    .A2_N(_3821_),
+    .B1(\u_m1_cmd_fifo.mem[0][25] ),
+    .B2(_3816_),
+    .X(_0446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7915_ (.A(_3796_),
+    .X(_3822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7916_ (.A(_3822_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
+    .C(_3817_),
+    .X(_3823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7917_ (.A(_2178_),
+    .X(_3824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7918_ (.A(_3824_),
+    .B(_3807_),
+    .C(_1075_),
+    .D(_3808_),
+    .X(_3825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7919_ (.A1(\u_spim_regs.cfg_m1_addr[24] ),
+    .A2(_3804_),
+    .B1(_3823_),
+    .C1(_3825_),
+    .X(_3826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7920_ (.A(_3826_),
+    .Y(_3827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7921_ (.A1_N(_3816_),
+    .A2_N(_3827_),
+    .B1(\u_m1_cmd_fifo.mem[0][24] ),
+    .B2(_3816_),
+    .X(_0445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7922_ (.A(_3770_),
+    .X(_3828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7923_ (.A(_3828_),
+    .X(_3829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7924_ (.A(_3774_),
+    .X(_3830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7925_ (.A(_3796_),
+    .X(_3831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7926_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_3831_),
+    .C(_3817_),
+    .X(_3832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7927_ (.A(_3806_),
+    .X(_3833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7928_ (.A(_2197_),
+    .X(_3834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7929_ (.A(_3834_),
+    .X(_3835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7930_ (.A(_3824_),
+    .B(_3833_),
+    .C(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .D(_3835_),
+    .X(_3836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7931_ (.A1(\u_spim_regs.cfg_m1_addr[23] ),
+    .A2(_3830_),
+    .B1(_3832_),
+    .C1(_3836_),
+    .X(_3837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7932_ (.A(_3837_),
+    .Y(_3838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7933_ (.A1_N(_3829_),
+    .A2_N(_3838_),
+    .B1(\u_m1_cmd_fifo.mem[0][23] ),
+    .B2(_3829_),
+    .X(_0444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7934_ (.A(\u_spim_regs.spim_reg_wdata[22] ),
+    .B(_3831_),
+    .C(_3817_),
+    .X(_3839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7935_ (.A(_3824_),
+    .B(_3833_),
+    .C(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .D(_3835_),
+    .X(_3840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7936_ (.A1(\u_spim_regs.cfg_m1_addr[22] ),
+    .A2(_3830_),
+    .B1(_3839_),
+    .C1(_3840_),
+    .X(_3841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7937_ (.A(_3841_),
+    .Y(_3842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7938_ (.A1_N(_3829_),
+    .A2_N(_3842_),
+    .B1(\u_m1_cmd_fifo.mem[0][22] ),
+    .B2(_3829_),
+    .X(_0443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7939_ (.A(_3828_),
+    .X(_3843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7940_ (.A(_3790_),
+    .X(_3844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7941_ (.A(\u_spim_regs.spim_reg_wdata[21] ),
+    .B(_3831_),
+    .C(_3844_),
+    .X(_3845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7942_ (.A(_3824_),
+    .B(_3833_),
+    .C(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .D(_3835_),
+    .X(_3846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7943_ (.A1(\u_spim_regs.cfg_m1_addr[21] ),
+    .A2(_3830_),
+    .B1(_3845_),
+    .C1(_3846_),
+    .X(_3847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7944_ (.A(_3847_),
+    .Y(_3848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7945_ (.A1_N(_3843_),
+    .A2_N(_3848_),
+    .B1(\u_m1_cmd_fifo.mem[0][21] ),
+    .B2(_3843_),
+    .X(_0442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7946_ (.A(_1857_),
+    .X(_3849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7947_ (.A(\u_spim_regs.spim_reg_wdata[20] ),
+    .B(_3849_),
+    .C(_3844_),
+    .X(_3850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7948_ (.A(_2178_),
+    .X(_3851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7949_ (.A(_3851_),
+    .B(_3833_),
+    .C(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .D(_3835_),
+    .X(_3852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7950_ (.A1(\u_spim_regs.cfg_m1_addr[20] ),
+    .A2(_3830_),
+    .B1(_3850_),
+    .C1(_3852_),
+    .X(_3853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7951_ (.A(_3853_),
+    .Y(_3854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7952_ (.A1_N(_3843_),
+    .A2_N(_3854_),
+    .B1(\u_m1_cmd_fifo.mem[0][20] ),
+    .B2(_3843_),
+    .X(_0441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7953_ (.A(_3828_),
+    .X(_3855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7954_ (.A(_3774_),
+    .X(_3856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7955_ (.A(\u_spim_regs.spim_reg_wdata[19] ),
+    .B(_3849_),
+    .C(_3844_),
+    .X(_3857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _7956_ (.A(_2183_),
+    .B(_1165_),
+    .C(_2022_),
+    .D(_1869_),
+    .X(_3858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7957_ (.A(_3858_),
+    .Y(_3859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7958_ (.A1(\u_spim_regs.cfg_m1_addr[19] ),
+    .A2(_3856_),
+    .B1(_3857_),
+    .C1(_3859_),
+    .X(_3860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7959_ (.A(_3860_),
+    .Y(_3861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7960_ (.A1_N(_3855_),
+    .A2_N(_3861_),
+    .B1(\u_m1_cmd_fifo.mem[0][19] ),
+    .B2(_3855_),
+    .X(_0440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7961_ (.A(_1866_),
+    .X(_3862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7962_ (.A(_3862_),
+    .B(_3778_),
+    .C(_2183_),
+    .D(_1166_),
+    .X(_3863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7963_ (.A(\u_spim_regs.spim_reg_wdata[18] ),
+    .B(_3831_),
+    .C(_1699_),
+    .X(_3864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7964_ (.A1(\u_spim_regs.cfg_m1_addr[18] ),
+    .A2(_3856_),
+    .B1(_3863_),
+    .C1(_3864_),
+    .X(_3865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7965_ (.A(_3865_),
+    .Y(_3866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7966_ (.A1_N(_3855_),
+    .A2_N(_3866_),
+    .B1(\u_m1_cmd_fifo.mem[0][18] ),
+    .B2(_3855_),
+    .X(_0439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7967_ (.A(_3828_),
+    .X(_3867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7968_ (.A(\u_spim_regs.spim_reg_wdata[17] ),
+    .B(_3849_),
+    .C(_3844_),
+    .X(_3868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7969_ (.A(_3806_),
+    .X(_3869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7970_ (.A(_3834_),
+    .X(_3870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7971_ (.A(_3851_),
+    .B(_3869_),
+    .C(_1179_),
+    .D(_3870_),
+    .X(_3871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7972_ (.A1(\u_spim_regs.cfg_m1_addr[17] ),
+    .A2(_3856_),
+    .B1(_3868_),
+    .C1(_3871_),
+    .X(_3872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7973_ (.A(_3872_),
+    .Y(_3873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7974_ (.A1_N(_3867_),
+    .A2_N(_3873_),
+    .B1(\u_m1_cmd_fifo.mem[0][17] ),
+    .B2(_3867_),
+    .X(_0438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7975_ (.A(_3790_),
+    .X(_3874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7976_ (.A(\u_spim_regs.spim_reg_wdata[16] ),
+    .B(_3849_),
+    .C(_3874_),
+    .X(_3875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7977_ (.A(_3851_),
+    .B(_3869_),
+    .C(_1186_),
+    .D(_3870_),
+    .X(_3876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7978_ (.A1(\u_spim_regs.cfg_m1_addr[16] ),
+    .A2(_3856_),
+    .B1(_3875_),
+    .C1(_3876_),
+    .X(_3877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7979_ (.A(_3877_),
+    .Y(_3878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7980_ (.A1_N(_3867_),
+    .A2_N(_3878_),
+    .B1(\u_m1_cmd_fifo.mem[0][16] ),
+    .B2(_3867_),
+    .X(_0437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7981_ (.A(_3770_),
+    .X(_3879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7982_ (.A(_3879_),
+    .X(_3880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7983_ (.A(_3773_),
+    .X(_3881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7984_ (.A(_3881_),
+    .X(_3882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7985_ (.A(_3822_),
+    .B(\u_spim_regs.spim_reg_wdata[15] ),
+    .C(_3874_),
+    .X(_3883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7986_ (.A(_3851_),
+    .B(_3869_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .D(_3870_),
+    .X(_3884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7987_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
+    .A2(_3882_),
+    .B1(_3883_),
+    .C1(_3884_),
+    .X(_3885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7988_ (.A(_3885_),
+    .Y(_3886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7989_ (.A1_N(_3880_),
+    .A2_N(_3886_),
+    .B1(\u_m1_cmd_fifo.mem[0][15] ),
+    .B2(_3880_),
+    .X(_0436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7990_ (.A(_3822_),
+    .B(\u_spim_regs.spim_reg_wdata[14] ),
+    .C(_3874_),
+    .X(_3887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7991_ (.A(_2186_),
+    .X(_3888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _7992_ (.A(_3888_),
+    .B(_3869_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .D(_3870_),
+    .X(_3889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _7993_ (.A1(\u_spim_regs.cfg_m1_addr[14] ),
+    .A2(_3882_),
+    .B1(_3887_),
+    .C1(_3889_),
+    .X(_3890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _7994_ (.A(_3890_),
+    .Y(_3891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _7995_ (.A1_N(_3880_),
+    .A2_N(_3891_),
+    .B1(\u_m1_cmd_fifo.mem[0][14] ),
+    .B2(_3880_),
+    .X(_0435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7996_ (.A(_3879_),
+    .X(_3892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _7997_ (.A(_3822_),
+    .B(\u_spim_regs.spim_reg_wdata[13] ),
+    .C(_3874_),
+    .X(_3893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7998_ (.A(_3806_),
+    .X(_3894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _7999_ (.A(_3834_),
+    .X(_3895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8000_ (.A(_3888_),
+    .B(_3894_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .D(_3895_),
+    .X(_3896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8001_ (.A1(\u_spim_regs.cfg_m1_addr[13] ),
+    .A2(_3882_),
+    .B1(_3893_),
+    .C1(_3896_),
+    .X(_3897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8002_ (.A(_3897_),
+    .Y(_3898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8003_ (.A1_N(_3892_),
+    .A2_N(_3898_),
+    .B1(\u_m1_cmd_fifo.mem[0][13] ),
+    .B2(_3892_),
+    .X(_0434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8004_ (.A(_3796_),
+    .X(_3899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8005_ (.A(_1698_),
+    .X(_3900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8006_ (.A(_3899_),
+    .B(\u_spim_regs.spim_reg_wdata[12] ),
+    .C(_3900_),
+    .X(_3901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8007_ (.A(_3888_),
+    .B(_3894_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .D(_3895_),
+    .X(_3902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8008_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
+    .A2(_3882_),
+    .B1(_3901_),
+    .C1(_3902_),
+    .X(_3903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8009_ (.A(_3903_),
+    .Y(_3904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8010_ (.A1_N(_3892_),
+    .A2_N(_3904_),
+    .B1(\u_m1_cmd_fifo.mem[0][12] ),
+    .B2(_3892_),
+    .X(_0433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8011_ (.A(_3879_),
+    .X(_3905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8012_ (.A(_3881_),
+    .X(_3906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8013_ (.A(_3899_),
+    .B(\u_spim_regs.spim_reg_wdata[11] ),
+    .C(_3900_),
+    .X(_3907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8014_ (.A(_3888_),
+    .B(_3894_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .D(_3895_),
+    .X(_3908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8015_ (.A1(\u_spim_regs.cfg_m1_addr[11] ),
+    .A2(_3906_),
+    .B1(_3907_),
+    .C1(_3908_),
+    .X(_3909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8016_ (.A(_3909_),
+    .Y(_3910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8017_ (.A1_N(_3905_),
+    .A2_N(_3910_),
+    .B1(\u_m1_cmd_fifo.mem[0][11] ),
+    .B2(_3905_),
+    .X(_0432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8018_ (.A(_3899_),
+    .B(\u_spim_regs.spim_reg_wdata[10] ),
+    .C(_3900_),
+    .X(_3911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8019_ (.A(_2186_),
+    .X(_3912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8020_ (.A(_3912_),
+    .B(_3894_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .D(_3895_),
+    .X(_3913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8021_ (.A1(\u_spim_regs.cfg_m1_addr[10] ),
+    .A2(_3906_),
+    .B1(_3911_),
+    .C1(_3913_),
+    .X(_3914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8022_ (.A(_3914_),
+    .Y(_3915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8023_ (.A1_N(_3905_),
+    .A2_N(_3915_),
+    .B1(\u_m1_cmd_fifo.mem[0][10] ),
+    .B2(_3905_),
+    .X(_0431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8024_ (.A(_3879_),
+    .X(_3916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8025_ (.A1_N(\u_spim_regs.cfg_m1_req ),
+    .A2_N(\u_spim_regs.spim_reg_wdata[9] ),
+    .B1(_1278_),
+    .B2(\u_spim_regs.cfg_m1_req ),
+    .X(_3917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8026_ (.A(_3881_),
+    .X(_3918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8027_ (.A(_3862_),
+    .B(_3778_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .D(_3780_),
+    .X(_3919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _8028_ (.A1(\u_spim_regs.cfg_m1_addr[9] ),
+    .A2(_3918_),
+    .B1(_3919_),
+    .Y(_3920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _8029_ (.A1(_1696_),
+    .A2(_3917_),
+    .B1(_3920_),
+    .X(_3921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8030_ (.A1_N(_3916_),
+    .A2_N(_3921_),
+    .B1(\u_m1_cmd_fifo.mem[0][9] ),
+    .B2(_3916_),
+    .X(_0430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8031_ (.A(_3899_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
+    .C(_3900_),
+    .X(_3922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8032_ (.A(_3777_),
+    .X(_3923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8033_ (.A(_3834_),
+    .X(_3924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8034_ (.A(_3912_),
+    .B(_3923_),
+    .C(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .D(_3924_),
+    .X(_3925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8035_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
+    .A2(_3906_),
+    .B1(_3922_),
+    .C1(_3925_),
+    .X(_3926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8036_ (.A(_3926_),
+    .Y(_3927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8037_ (.A1_N(_3916_),
+    .A2_N(_3927_),
+    .B1(\u_m1_cmd_fifo.mem[0][8] ),
+    .B2(_3916_),
+    .X(_0429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8038_ (.A(_3770_),
+    .X(_3928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8039_ (.A(_3928_),
+    .X(_3929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8040_ (.A(_1857_),
+    .X(_3930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8041_ (.A(_1698_),
+    .X(_3931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8042_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+    .B(_3930_),
+    .C(_3931_),
+    .X(_3932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8043_ (.A(_3912_),
+    .B(_3923_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .D(_3924_),
+    .X(_3933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8044_ (.A1(\u_spim_regs.cfg_m1_addr[7] ),
+    .A2(_3906_),
+    .B1(_3932_),
+    .C1(_3933_),
+    .X(_3934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8045_ (.A(_3934_),
+    .Y(_3935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8046_ (.A1_N(_3929_),
+    .A2_N(_3935_),
+    .B1(\u_m1_cmd_fifo.mem[0][7] ),
+    .B2(_3929_),
+    .X(_0428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8047_ (.A(_3881_),
+    .X(_3936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8048_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
+    .B(_3930_),
+    .C(_3931_),
+    .X(_3937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8049_ (.A(_3912_),
+    .B(_3923_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .D(_3924_),
+    .X(_3938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8050_ (.A1(\u_spim_regs.cfg_m1_addr[6] ),
+    .A2(_3936_),
+    .B1(_3937_),
+    .C1(_3938_),
+    .X(_3939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8051_ (.A(_3939_),
+    .Y(_3940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8052_ (.A1_N(_3929_),
+    .A2_N(_3940_),
+    .B1(\u_m1_cmd_fifo.mem[0][6] ),
+    .B2(_3929_),
+    .X(_0427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8053_ (.A(_3928_),
+    .X(_3941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8054_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
+    .B(_3930_),
+    .C(_3931_),
+    .X(_3942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8055_ (.A(_2186_),
+    .X(_3943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8056_ (.A(_3943_),
+    .B(_3923_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .D(_3924_),
+    .X(_3944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8057_ (.A1(\u_spim_regs.cfg_m1_addr[5] ),
+    .A2(_3936_),
+    .B1(_3942_),
+    .C1(_3944_),
+    .X(_3945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8058_ (.A(_3945_),
+    .Y(_3946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8059_ (.A1_N(_3941_),
+    .A2_N(_3946_),
+    .B1(\u_m1_cmd_fifo.mem[0][5] ),
+    .B2(_3941_),
+    .X(_0426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8060_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .B(_3930_),
+    .C(_3931_),
+    .X(_3947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8061_ (.A(_3777_),
+    .X(_3948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8062_ (.A(_2197_),
+    .X(_3949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8063_ (.A(_3943_),
+    .B(_3948_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .D(_3949_),
+    .X(_3950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8064_ (.A1(\u_spim_regs.cfg_m1_addr[4] ),
+    .A2(_3936_),
+    .B1(_3947_),
+    .C1(_3950_),
+    .X(_3951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8065_ (.A(_3951_),
+    .Y(_3952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8066_ (.A1_N(_3941_),
+    .A2_N(_3952_),
+    .B1(\u_m1_cmd_fifo.mem[0][4] ),
+    .B2(_3941_),
+    .X(_0425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8067_ (.A(_3928_),
+    .X(_3953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8068_ (.A(_1857_),
+    .X(_3954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8069_ (.A(_1698_),
+    .X(_3955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8070_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
+    .B(_3954_),
+    .C(_3955_),
+    .X(_3956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8071_ (.A(_3943_),
+    .B(_3948_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .D(_3949_),
+    .X(_3957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8072_ (.A1(\u_spim_regs.cfg_m1_addr[3] ),
+    .A2(_3936_),
+    .B1(_3956_),
+    .C1(_3957_),
+    .X(_3958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8073_ (.A(_3958_),
+    .Y(_3959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8074_ (.A1_N(_3953_),
+    .A2_N(_3959_),
+    .B1(\u_m1_cmd_fifo.mem[0][3] ),
+    .B2(_3953_),
+    .X(_0424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8075_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+    .B(_3954_),
+    .C(_3955_),
+    .X(_3960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8076_ (.A(_3943_),
+    .B(_3948_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .D(_3949_),
+    .X(_3961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8077_ (.A1(\u_spim_regs.cfg_m1_addr[2] ),
+    .A2(_3918_),
+    .B1(_3960_),
+    .C1(_3961_),
+    .X(_3962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8078_ (.A(_3962_),
+    .Y(_3963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8079_ (.A1_N(_3953_),
+    .A2_N(_3963_),
+    .B1(\u_m1_cmd_fifo.mem[0][2] ),
+    .B2(_3953_),
+    .X(_0423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8080_ (.A(_3928_),
+    .X(_3964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8081_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
+    .B(_3954_),
+    .C(_3955_),
+    .X(_3965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8082_ (.A(_3862_),
+    .B(_3948_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .D(_3949_),
+    .X(_3966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8083_ (.A1(\u_spim_regs.cfg_m1_addr[1] ),
+    .A2(_3918_),
+    .B1(_3965_),
+    .C1(_3966_),
+    .X(_3967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8084_ (.A(_3967_),
+    .Y(_3968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8085_ (.A1_N(_3964_),
+    .A2_N(_3968_),
+    .B1(\u_m1_cmd_fifo.mem[0][1] ),
+    .B2(_3964_),
+    .X(_0422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8086_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
+    .B(_3954_),
+    .C(_3955_),
+    .X(_3969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8087_ (.A(_3862_),
+    .B(_3778_),
+    .C(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .D(_3780_),
+    .X(_3970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8088_ (.A1(\u_spim_regs.cfg_m1_addr[0] ),
+    .A2(_3918_),
+    .B1(_3969_),
+    .C1(_3970_),
+    .X(_3971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8089_ (.A(_3971_),
+    .Y(_3972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8090_ (.A1_N(_3964_),
+    .A2_N(_3972_),
+    .B1(\u_m1_cmd_fifo.mem[0][0] ),
+    .B2(_3964_),
+    .X(_0421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8091_ (.A(\u_m1_res_fifo.mem[1][31] ),
+    .Y(_3973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8092_ (.A(_3738_),
+    .X(_3974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8093_ (.A(\u_spictrl.res_fifo_wdata[31] ),
+    .X(_3975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8094_ (.A1_N(_3973_),
+    .A2_N(_3974_),
+    .B1(_3975_),
+    .B2(_3974_),
+    .X(_0420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8095_ (.A(\u_m1_res_fifo.mem[1][30] ),
+    .Y(_3976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8096_ (.A(\u_spictrl.res_fifo_wdata[30] ),
+    .X(_3977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8097_ (.A1_N(_3976_),
+    .A2_N(_3974_),
+    .B1(_3977_),
+    .B2(_3974_),
+    .X(_0419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8098_ (.A(\u_m1_res_fifo.mem[1][29] ),
+    .Y(_3978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8099_ (.A(_3738_),
+    .X(_3979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8100_ (.A(\u_spictrl.res_fifo_wdata[29] ),
+    .X(_3980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8101_ (.A1_N(_3978_),
+    .A2_N(_3979_),
+    .B1(_3980_),
+    .B2(_3979_),
+    .X(_0418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8102_ (.A(\u_spictrl.res_fifo_wdata[28] ),
+    .X(_3981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8103_ (.A1_N(_1002_),
+    .A2_N(_3979_),
+    .B1(_3981_),
+    .B2(_3979_),
+    .X(_0417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8104_ (.A(_3738_),
+    .X(_3982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8105_ (.A(\u_spictrl.res_fifo_wdata[27] ),
+    .X(_3983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8106_ (.A1_N(_1026_),
+    .A2_N(_3982_),
+    .B1(_3983_),
+    .B2(_3982_),
+    .X(_0416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8107_ (.A(\u_m1_res_fifo.mem[1][26] ),
+    .Y(_3984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8108_ (.A(\u_spictrl.res_fifo_wdata[26] ),
+    .X(_3985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8109_ (.A1_N(_3984_),
+    .A2_N(_3982_),
+    .B1(_3985_),
+    .B2(_3982_),
+    .X(_0415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8110_ (.A(\u_m1_res_fifo.mem[1][25] ),
+    .Y(_3986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8111_ (.A(_3734_),
+    .X(_3987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8112_ (.A(_3987_),
+    .X(_3988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8113_ (.A(\u_spictrl.res_fifo_wdata[25] ),
+    .X(_3989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8114_ (.A1_N(_3986_),
+    .A2_N(_3988_),
+    .B1(_3989_),
+    .B2(_3988_),
+    .X(_0414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8115_ (.A(\u_m1_res_fifo.mem[1][24] ),
+    .Y(_3990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8116_ (.A(\u_spictrl.res_fifo_wdata[24] ),
+    .X(_3991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8117_ (.A1_N(_3990_),
+    .A2_N(_3988_),
+    .B1(_3991_),
+    .B2(_3988_),
+    .X(_0413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8118_ (.A(\u_m1_res_fifo.mem[1][23] ),
+    .Y(_3992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8119_ (.A(_3987_),
+    .X(_3993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8120_ (.A(\u_spictrl.res_fifo_wdata[23] ),
+    .X(_3994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8121_ (.A1_N(_3992_),
+    .A2_N(_3993_),
+    .B1(_3994_),
+    .B2(_3993_),
+    .X(_0412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8122_ (.A(\u_spictrl.res_fifo_wdata[22] ),
+    .X(_3995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8123_ (.A1_N(_1110_),
+    .A2_N(_3993_),
+    .B1(_3995_),
+    .B2(_3993_),
+    .X(_0411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8124_ (.A(_3987_),
+    .X(_3996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8125_ (.A(\u_spictrl.res_fifo_wdata[21] ),
+    .X(_3997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8126_ (.A1_N(_1124_),
+    .A2_N(_3996_),
+    .B1(_3997_),
+    .B2(_3996_),
+    .X(_0410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8127_ (.A(\u_m1_res_fifo.mem[1][20] ),
+    .Y(_3998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8128_ (.A(\u_spictrl.res_fifo_wdata[20] ),
+    .X(_3999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8129_ (.A1_N(_3998_),
+    .A2_N(_3996_),
+    .B1(_3999_),
+    .B2(_3996_),
+    .X(_0409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8130_ (.A(\u_m1_res_fifo.mem[1][19] ),
+    .Y(_4000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8131_ (.A(_3987_),
+    .X(_4001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8132_ (.A(\u_spictrl.res_fifo_wdata[19] ),
+    .X(_4002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8133_ (.A1_N(_4000_),
+    .A2_N(_4001_),
+    .B1(_4002_),
+    .B2(_4001_),
+    .X(_0408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8134_ (.A(\u_m1_res_fifo.mem[1][18] ),
+    .Y(_4003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8135_ (.A(\u_spictrl.res_fifo_wdata[18] ),
+    .X(_4004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8136_ (.A1_N(_4003_),
+    .A2_N(_4001_),
+    .B1(_4004_),
+    .B2(_4001_),
+    .X(_0407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8137_ (.A(\u_m1_res_fifo.mem[1][17] ),
+    .Y(_4005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8138_ (.A(_3734_),
+    .X(_4006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8139_ (.A(_4006_),
+    .X(_4007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8140_ (.A(\u_spictrl.res_fifo_wdata[17] ),
+    .X(_4008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8141_ (.A1_N(_4005_),
+    .A2_N(_4007_),
+    .B1(_4008_),
+    .B2(_4007_),
+    .X(_0406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8142_ (.A(\u_m1_res_fifo.mem[1][16] ),
+    .Y(_4009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8143_ (.A(\u_spictrl.res_fifo_wdata[16] ),
+    .X(_4010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8144_ (.A1_N(_4009_),
+    .A2_N(_4007_),
+    .B1(_4010_),
+    .B2(_4007_),
+    .X(_0405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8145_ (.A(\u_m1_res_fifo.mem[1][15] ),
+    .Y(_4011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8146_ (.A(_4006_),
+    .X(_4012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8147_ (.A(\u_spictrl.res_fifo_wdata[15] ),
+    .X(_4013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8148_ (.A1_N(_4011_),
+    .A2_N(_4012_),
+    .B1(_4013_),
+    .B2(_4012_),
+    .X(_0404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8149_ (.A(\u_spictrl.res_fifo_wdata[14] ),
+    .X(_4014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8150_ (.A1_N(_1217_),
+    .A2_N(_4012_),
+    .B1(_4014_),
+    .B2(_4012_),
+    .X(_0403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8151_ (.A(_4006_),
+    .X(_4015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8152_ (.A(\u_spictrl.res_fifo_wdata[13] ),
+    .X(_4016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8153_ (.A1_N(_1232_),
+    .A2_N(_4015_),
+    .B1(_4016_),
+    .B2(_4015_),
+    .X(_0402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8154_ (.A(\u_m1_res_fifo.mem[1][12] ),
+    .Y(_4017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8155_ (.A(\u_spictrl.res_fifo_wdata[12] ),
+    .X(_4018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8156_ (.A1_N(_4017_),
+    .A2_N(_4015_),
+    .B1(_4018_),
+    .B2(_4015_),
+    .X(_0401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8157_ (.A(_4006_),
+    .X(_4019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8158_ (.A(\u_spictrl.res_fifo_wdata[11] ),
+    .X(_4020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8159_ (.A1_N(_1257_),
+    .A2_N(_4019_),
+    .B1(_4020_),
+    .B2(_4019_),
+    .X(_0400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8160_ (.A(\u_spictrl.res_fifo_wdata[10] ),
+    .X(_4021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8161_ (.A1_N(_1271_),
+    .A2_N(_4019_),
+    .B1(_4021_),
+    .B2(_4019_),
+    .X(_0399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8162_ (.A(_3733_),
+    .X(_4022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8163_ (.A(_4022_),
+    .X(_4023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8164_ (.A(\u_spictrl.res_fifo_wdata[9] ),
+    .X(_4024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8165_ (.A1_N(_1288_),
+    .A2_N(_4023_),
+    .B1(_4024_),
+    .B2(_4023_),
+    .X(_0398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8166_ (.A(\u_spictrl.res_fifo_wdata[8] ),
+    .X(_4025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8167_ (.A1_N(_1309_),
+    .A2_N(_4023_),
+    .B1(_4025_),
+    .B2(_4023_),
+    .X(_0397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8168_ (.A(\u_m1_res_fifo.mem[1][7] ),
+    .Y(_4026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8169_ (.A(_4022_),
+    .X(_4027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8170_ (.A(\u_spictrl.res_fifo_wdata[7] ),
+    .X(_4028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8171_ (.A1_N(_4026_),
+    .A2_N(_4027_),
+    .B1(_4028_),
+    .B2(_4027_),
+    .X(_0396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8172_ (.A(\u_m1_res_fifo.mem[1][6] ),
+    .Y(_4029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8173_ (.A(\u_spictrl.res_fifo_wdata[6] ),
+    .X(_4030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8174_ (.A1_N(_4029_),
+    .A2_N(_4027_),
+    .B1(_4030_),
+    .B2(_4027_),
+    .X(_0395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8175_ (.A(\u_m1_res_fifo.mem[1][5] ),
+    .Y(_4031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8176_ (.A(_4022_),
+    .X(_4032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8177_ (.A(\u_spictrl.res_fifo_wdata[5] ),
+    .X(_4033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8178_ (.A1_N(_4031_),
+    .A2_N(_4032_),
+    .B1(_4033_),
+    .B2(_4032_),
+    .X(_0394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8179_ (.A(\u_m1_res_fifo.mem[1][4] ),
+    .Y(_4034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8180_ (.A(\u_spictrl.res_fifo_wdata[4] ),
+    .X(_4035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8181_ (.A1_N(_4034_),
+    .A2_N(_4032_),
+    .B1(_4035_),
+    .B2(_4032_),
+    .X(_0393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8182_ (.A(_4022_),
+    .X(_4036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8183_ (.A(\u_spictrl.res_fifo_wdata[3] ),
+    .X(_4037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8184_ (.A1_N(_1370_),
+    .A2_N(_4036_),
+    .B1(_4037_),
+    .B2(_4036_),
+    .X(_0392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8185_ (.A(\u_spictrl.res_fifo_wdata[2] ),
+    .X(_4038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8186_ (.A1_N(_1382_),
+    .A2_N(_4036_),
+    .B1(_4038_),
+    .B2(_4036_),
+    .X(_0391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8187_ (.A(_3734_),
+    .X(_4039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8188_ (.A(\u_spictrl.res_fifo_wdata[1] ),
+    .X(_4040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8189_ (.A1_N(_1394_),
+    .A2_N(_4039_),
+    .B1(_4040_),
+    .B2(_4039_),
+    .X(_0390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8190_ (.A(\u_spictrl.res_fifo_wdata[0] ),
+    .X(_4041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8191_ (.A1_N(_1399_),
+    .A2_N(_4039_),
+    .B1(_4041_),
+    .B2(_4039_),
+    .X(_0389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8192_ (.A(\u_m1_cmd_fifo.mem[2][31] ),
+    .Y(_4042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _8193_ (.A(_1084_),
+    .B(_1080_),
+    .C(_1870_),
+    .X(_4043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8194_ (.A(_4043_),
+    .Y(_4044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8195_ (.A(_4044_),
+    .X(_4045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8196_ (.A(_4045_),
+    .X(_4046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8197_ (.A1_N(_4042_),
+    .A2_N(_4046_),
+    .B1(_3783_),
+    .B2(_4046_),
+    .X(_0388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8198_ (.A(\u_m1_cmd_fifo.mem[2][30] ),
+    .Y(_4047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8199_ (.A1_N(_4047_),
+    .A2_N(_4046_),
+    .B1(_3787_),
+    .B2(_4046_),
+    .X(_0387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8200_ (.A(\u_m1_cmd_fifo.mem[2][29] ),
+    .Y(_4048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8201_ (.A(_4044_),
+    .X(_4049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8202_ (.A(_4049_),
+    .X(_4050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8203_ (.A(_4050_),
+    .X(_4051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8204_ (.A1_N(_4048_),
+    .A2_N(_4051_),
+    .B1(_3794_),
+    .B2(_4051_),
+    .X(_0386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8205_ (.A(\u_m1_cmd_fifo.mem[2][28] ),
+    .Y(_4052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8206_ (.A1_N(_4052_),
+    .A2_N(_4051_),
+    .B1(_3801_),
+    .B2(_4051_),
+    .X(_0385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8207_ (.A(\u_m1_cmd_fifo.mem[2][27] ),
+    .Y(_4053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8208_ (.A(_4050_),
+    .X(_4054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8209_ (.A1_N(_4053_),
+    .A2_N(_4054_),
+    .B1(_3810_),
+    .B2(_4054_),
+    .X(_0384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8210_ (.A(\u_m1_cmd_fifo.mem[2][26] ),
+    .Y(_4055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8211_ (.A1_N(_4055_),
+    .A2_N(_4054_),
+    .B1(_3814_),
+    .B2(_4054_),
+    .X(_0383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8212_ (.A(\u_m1_cmd_fifo.mem[2][25] ),
+    .Y(_4056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8213_ (.A(_4050_),
+    .X(_4057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8214_ (.A1_N(_4056_),
+    .A2_N(_4057_),
+    .B1(_3820_),
+    .B2(_4057_),
+    .X(_0382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8215_ (.A(\u_m1_cmd_fifo.mem[2][24] ),
+    .Y(_4058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8216_ (.A1_N(_4058_),
+    .A2_N(_4057_),
+    .B1(_3826_),
+    .B2(_4057_),
+    .X(_0381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8217_ (.A(\u_m1_cmd_fifo.mem[2][23] ),
+    .Y(_4059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8218_ (.A(_4050_),
+    .X(_4060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8219_ (.A1_N(_4059_),
+    .A2_N(_4060_),
+    .B1(_3837_),
+    .B2(_4060_),
+    .X(_0380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8220_ (.A(\u_m1_cmd_fifo.mem[2][22] ),
+    .Y(_4061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8221_ (.A1_N(_4061_),
+    .A2_N(_4060_),
+    .B1(_3841_),
+    .B2(_4060_),
+    .X(_0379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8222_ (.A(\u_m1_cmd_fifo.mem[2][21] ),
+    .Y(_4062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8223_ (.A(_4049_),
+    .X(_4063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8224_ (.A(_4063_),
+    .X(_4064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8225_ (.A1_N(_4062_),
+    .A2_N(_4064_),
+    .B1(_3847_),
+    .B2(_4064_),
+    .X(_0378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8226_ (.A(\u_m1_cmd_fifo.mem[2][20] ),
+    .Y(_4065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8227_ (.A1_N(_4065_),
+    .A2_N(_4064_),
+    .B1(_3853_),
+    .B2(_4064_),
+    .X(_0377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8228_ (.A(\u_m1_cmd_fifo.mem[2][19] ),
+    .Y(_4066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8229_ (.A(_4063_),
+    .X(_4067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8230_ (.A1_N(_4066_),
+    .A2_N(_4067_),
+    .B1(_3860_),
+    .B2(_4067_),
+    .X(_0376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8231_ (.A(\u_m1_cmd_fifo.mem[2][18] ),
+    .Y(_4068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8232_ (.A1_N(_4068_),
+    .A2_N(_4067_),
+    .B1(_3865_),
+    .B2(_4067_),
+    .X(_0375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8233_ (.A(\u_m1_cmd_fifo.mem[2][17] ),
+    .Y(_4069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8234_ (.A(_4063_),
+    .X(_4070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8235_ (.A1_N(_4069_),
+    .A2_N(_4070_),
+    .B1(_3872_),
+    .B2(_4070_),
+    .X(_0374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8236_ (.A(\u_m1_cmd_fifo.mem[2][16] ),
+    .Y(_4071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8237_ (.A1_N(_4071_),
+    .A2_N(_4070_),
+    .B1(_3877_),
+    .B2(_4070_),
+    .X(_0373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8238_ (.A(\u_m1_cmd_fifo.mem[2][15] ),
+    .Y(_4072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8239_ (.A(_4063_),
+    .X(_4073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8240_ (.A1_N(_4072_),
+    .A2_N(_4073_),
+    .B1(_3885_),
+    .B2(_4073_),
+    .X(_0372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8241_ (.A(\u_m1_cmd_fifo.mem[2][14] ),
+    .Y(_4074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8242_ (.A1_N(_4074_),
+    .A2_N(_4073_),
+    .B1(_3890_),
+    .B2(_4073_),
+    .X(_0371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8243_ (.A(\u_m1_cmd_fifo.mem[2][13] ),
+    .Y(_4075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8244_ (.A(_4044_),
+    .X(_4076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8245_ (.A(_4076_),
+    .X(_4077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8246_ (.A1_N(_4075_),
+    .A2_N(_4077_),
+    .B1(_3897_),
+    .B2(_4077_),
+    .X(_0370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8247_ (.A(\u_m1_cmd_fifo.mem[2][12] ),
+    .Y(_4078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8248_ (.A1_N(_4078_),
+    .A2_N(_4077_),
+    .B1(_3903_),
+    .B2(_4077_),
+    .X(_0369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8249_ (.A(\u_m1_cmd_fifo.mem[2][11] ),
+    .Y(_4079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8250_ (.A(_4076_),
+    .X(_4080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8251_ (.A1_N(_4079_),
+    .A2_N(_4080_),
+    .B1(_3909_),
+    .B2(_4080_),
+    .X(_0368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8252_ (.A(\u_m1_cmd_fifo.mem[2][10] ),
+    .Y(_4081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8253_ (.A1_N(_4081_),
+    .A2_N(_4080_),
+    .B1(_3914_),
+    .B2(_4080_),
+    .X(_0367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8254_ (.A(\u_m1_cmd_fifo.mem[2][9] ),
+    .Y(_4082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8255_ (.A1(_4082_),
+    .A2(_4045_),
+    .B1(_3921_),
+    .B2(_4043_),
+    .X(_4083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8256_ (.A(_4083_),
+    .Y(_0366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8257_ (.A(\u_m1_cmd_fifo.mem[2][8] ),
+    .Y(_4084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8258_ (.A(_4076_),
+    .X(_4085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8259_ (.A1_N(_4084_),
+    .A2_N(_4085_),
+    .B1(_3926_),
+    .B2(_4085_),
+    .X(_0365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8260_ (.A(\u_m1_cmd_fifo.mem[2][7] ),
+    .Y(_4086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8261_ (.A1_N(_4086_),
+    .A2_N(_4085_),
+    .B1(_3934_),
+    .B2(_4085_),
+    .X(_0364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8262_ (.A(\u_m1_cmd_fifo.mem[2][6] ),
+    .Y(_4087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8263_ (.A(_4076_),
+    .X(_4088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8264_ (.A1_N(_4087_),
+    .A2_N(_4088_),
+    .B1(_3939_),
+    .B2(_4088_),
+    .X(_0363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8265_ (.A(\u_m1_cmd_fifo.mem[2][5] ),
+    .Y(_4089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8266_ (.A1_N(_4089_),
+    .A2_N(_4088_),
+    .B1(_3945_),
+    .B2(_4088_),
+    .X(_0362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8267_ (.A(\u_m1_cmd_fifo.mem[2][4] ),
+    .Y(_4090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8268_ (.A(_4049_),
+    .X(_4091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8269_ (.A1_N(_4090_),
+    .A2_N(_4091_),
+    .B1(_3951_),
+    .B2(_4091_),
+    .X(_0361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8270_ (.A(\u_m1_cmd_fifo.mem[2][3] ),
+    .Y(_4092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8271_ (.A1_N(_4092_),
+    .A2_N(_4091_),
+    .B1(_3958_),
+    .B2(_4091_),
+    .X(_0360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8272_ (.A(\u_m1_cmd_fifo.mem[2][2] ),
+    .Y(_4093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8273_ (.A(_4049_),
+    .X(_4094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8274_ (.A1_N(_4093_),
+    .A2_N(_4094_),
+    .B1(_3962_),
+    .B2(_4094_),
+    .X(_0359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8275_ (.A(\u_m1_cmd_fifo.mem[2][1] ),
+    .Y(_4095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8276_ (.A1_N(_4095_),
+    .A2_N(_4094_),
+    .B1(_3967_),
+    .B2(_4094_),
+    .X(_0358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8277_ (.A(\u_m1_cmd_fifo.mem[2][0] ),
+    .Y(_4096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8278_ (.A1_N(_4096_),
+    .A2_N(_4045_),
+    .B1(_3971_),
+    .B2(_4045_),
+    .X(_0357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8279_ (.A(_1805_),
+    .B(_1832_),
+    .X(_4097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8280_ (.A(_4097_),
+    .X(_4098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8281_ (.A(_4097_),
+    .Y(_4099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8282_ (.A(_4099_),
+    .X(_4100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8283_ (.A1(_1803_),
+    .A2(_4098_),
+    .B1(\u_spim_regs.cfg_m1_addr[15] ),
+    .B2(_4100_),
+    .X(_0356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8284_ (.A1(_1813_),
+    .A2(_4098_),
+    .B1(\u_spim_regs.cfg_m1_addr[14] ),
+    .B2(_4100_),
+    .X(_0355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8285_ (.A1(_1815_),
+    .A2(_4098_),
+    .B1(\u_spim_regs.cfg_m1_addr[13] ),
+    .B2(_4100_),
+    .X(_0354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8286_ (.A1(_1817_),
+    .A2(_4098_),
+    .B1(\u_spim_regs.cfg_m1_addr[12] ),
+    .B2(_4100_),
+    .X(_0353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8287_ (.A(_4097_),
+    .X(_4101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8288_ (.A(_4099_),
+    .X(_4102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8289_ (.A1(_1820_),
+    .A2(_4101_),
+    .B1(\u_spim_regs.cfg_m1_addr[11] ),
+    .B2(_4102_),
+    .X(_0352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8290_ (.A1(_1824_),
+    .A2(_4101_),
+    .B1(\u_spim_regs.cfg_m1_addr[10] ),
+    .B2(_4102_),
+    .X(_0351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8291_ (.A1(_1826_),
+    .A2(_4101_),
+    .B1(\u_spim_regs.cfg_m1_addr[9] ),
+    .B2(_4102_),
+    .X(_0350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8292_ (.A1(_1827_),
+    .A2(_4101_),
+    .B1(\u_spim_regs.cfg_m1_addr[8] ),
+    .B2(_4102_),
+    .X(_0349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8293_ (.A(_1993_),
+    .X(_4103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8294_ (.A(_4103_),
+    .X(_4104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _8295_ (.A(_1209_),
+    .B(_1225_),
+    .X(_4105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8296_ (.A(_4105_),
+    .X(_4106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8297_ (.A(_4106_),
+    .X(_4107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8298_ (.A(_1989_),
+    .Y(_4108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8299_ (.A(_4108_),
+    .X(_4109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8300_ (.A(_4109_),
+    .X(_4110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8301_ (.A1(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .A2(_4107_),
+    .A3(_4110_),
+    .B1(\u_wb_if.spim_wb_addr[31] ),
+    .B2(_2012_),
+    .X(_4111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8302_ (.A1_N(_2372_),
+    .A2_N(_4104_),
+    .B1(_4104_),
+    .B2(_4111_),
+    .X(_0348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8303_ (.A1(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .A2(_4107_),
+    .A3(_4110_),
+    .B1(\u_wb_if.spim_wb_addr[30] ),
+    .B2(_2012_),
+    .X(_4112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8304_ (.A1_N(_2480_),
+    .A2_N(_4104_),
+    .B1(_4104_),
+    .B2(_4112_),
+    .X(_0347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8305_ (.A(_4103_),
+    .X(_4113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8306_ (.A(_2011_),
+    .X(_4114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8307_ (.A1(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .A2(_4107_),
+    .A3(_4110_),
+    .B1(\u_wb_if.spim_wb_addr[29] ),
+    .B2(_4114_),
+    .X(_4115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8308_ (.A1_N(_2553_),
+    .A2_N(_4113_),
+    .B1(_4113_),
+    .B2(_4115_),
+    .X(_0346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o41a_4 _8309_ (.A1(_1208_),
+    .A2(spi_debug[13]),
+    .A3(_2146_),
+    .A4(_1989_),
+    .B1(_1417_),
+    .X(_4116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8310_ (.A1(_2612_),
+    .A2(_1995_),
+    .B1(_1992_),
+    .B2(_4116_),
+    .X(_4117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8311_ (.A(_4117_),
+    .Y(_0345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8312_ (.A1(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .A2(_4107_),
+    .A3(_4110_),
+    .B1(\u_wb_if.spim_wb_addr[27] ),
+    .B2(_4114_),
+    .X(_4118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8313_ (.A1_N(_2666_),
+    .A2_N(_4113_),
+    .B1(_4113_),
+    .B2(_4118_),
+    .X(_0344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8314_ (.A(_4103_),
+    .X(_4119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8315_ (.A(_4105_),
+    .X(_4120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8316_ (.A(_4120_),
+    .X(_4121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8317_ (.A(_4108_),
+    .X(_4122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8318_ (.A(_4122_),
+    .X(_4123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8319_ (.A1(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .A2(_4121_),
+    .A3(_4123_),
+    .B1(\u_wb_if.spim_wb_addr[26] ),
+    .B2(_4114_),
+    .X(_4124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8320_ (.A1_N(_2730_),
+    .A2_N(_4119_),
+    .B1(_4119_),
+    .B2(_4124_),
+    .X(_0343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8321_ (.A1(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .A2(_4121_),
+    .A3(_4123_),
+    .B1(\u_wb_if.spim_wb_addr[25] ),
+    .B2(_4114_),
+    .X(_4125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8322_ (.A1_N(_2778_),
+    .A2_N(_4119_),
+    .B1(_4119_),
+    .B2(_4125_),
+    .X(_0342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8323_ (.A(_4103_),
+    .X(_4126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8324_ (.A(_2011_),
+    .X(_4127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8325_ (.A1(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .A2(_4121_),
+    .A3(_4123_),
+    .B1(\u_wb_if.spim_wb_addr[24] ),
+    .B2(_4127_),
+    .X(_4128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8326_ (.A1_N(_2829_),
+    .A2_N(_4126_),
+    .B1(_4126_),
+    .B2(_4128_),
+    .X(_0341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8327_ (.A1(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .A2(_4121_),
+    .A3(_4123_),
+    .B1(\u_wb_if.spim_wb_addr[23] ),
+    .B2(_4127_),
+    .X(_4129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8328_ (.A1_N(_2345_),
+    .A2_N(_4126_),
+    .B1(_4126_),
+    .B2(_4129_),
+    .X(_0340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8329_ (.A(_1993_),
+    .X(_4130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8330_ (.A(_4130_),
+    .X(_4131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8331_ (.A(_4120_),
+    .X(_4132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8332_ (.A(_4122_),
+    .X(_4133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8333_ (.A1(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .A2(_4132_),
+    .A3(_4133_),
+    .B1(\u_wb_if.spim_wb_addr[22] ),
+    .B2(_4127_),
+    .X(_4134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8334_ (.A1_N(_2464_),
+    .A2_N(_4131_),
+    .B1(_4131_),
+    .B2(_4134_),
+    .X(_0339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8335_ (.A1(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .A2(_4132_),
+    .A3(_4133_),
+    .B1(\u_wb_if.spim_wb_addr[21] ),
+    .B2(_4127_),
+    .X(_4135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8336_ (.A1_N(_2539_),
+    .A2_N(_4131_),
+    .B1(_4131_),
+    .B2(_4135_),
+    .X(_0338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8337_ (.A(_4130_),
+    .X(_4136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8338_ (.A(_2010_),
+    .X(_4137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8339_ (.A(_4137_),
+    .X(_4138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8340_ (.A1(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .A2(_4132_),
+    .A3(_4133_),
+    .B1(\u_wb_if.spim_wb_addr[20] ),
+    .B2(_4138_),
+    .X(_4139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8341_ (.A1_N(_2602_),
+    .A2_N(_4136_),
+    .B1(_4136_),
+    .B2(_4139_),
+    .X(_0337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8342_ (.A1(\u_spim_regs.cfg_m0_spi_seq[3] ),
+    .A2(_4132_),
+    .A3(_4133_),
+    .B1(\u_wb_if.spim_wb_addr[19] ),
+    .B2(_4138_),
+    .X(_4140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8343_ (.A1_N(_2654_),
+    .A2_N(_4136_),
+    .B1(_4136_),
+    .B2(_4140_),
+    .X(_0336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8344_ (.A(_4130_),
+    .X(_4141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8345_ (.A(_4120_),
+    .X(_4142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8346_ (.A(_4122_),
+    .X(_4143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8347_ (.A1(\u_spim_regs.cfg_m0_spi_seq[2] ),
+    .A2(_4142_),
+    .A3(_4143_),
+    .B1(\u_wb_if.spim_wb_addr[18] ),
+    .B2(_4138_),
+    .X(_4144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8348_ (.A1_N(_2719_),
+    .A2_N(_4141_),
+    .B1(_4141_),
+    .B2(_4144_),
+    .X(_0335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8349_ (.A1(\u_spim_regs.cfg_m0_spi_seq[1] ),
+    .A2(_4142_),
+    .A3(_4143_),
+    .B1(\u_wb_if.spim_wb_addr[17] ),
+    .B2(_4138_),
+    .X(_4145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8350_ (.A1_N(_2768_),
+    .A2_N(_4141_),
+    .B1(_4141_),
+    .B2(_4145_),
+    .X(_0334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8351_ (.A(_4130_),
+    .X(_4146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8352_ (.A(_4137_),
+    .X(_4147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8353_ (.A1(\u_spim_regs.cfg_m0_spi_seq[0] ),
+    .A2(_4142_),
+    .A3(_4143_),
+    .B1(\u_wb_if.spim_wb_addr[16] ),
+    .B2(_4147_),
+    .X(_4148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8354_ (.A1_N(_2819_),
+    .A2_N(_4146_),
+    .B1(_4146_),
+    .B2(_4148_),
+    .X(_0333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8355_ (.A1(\u_spim_regs.cfg_m0_mode_reg[7] ),
+    .A2(_4142_),
+    .A3(_4143_),
+    .B1(\u_wb_if.spim_wb_addr[15] ),
+    .B2(_4147_),
+    .X(_4149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8356_ (.A1_N(_2397_),
+    .A2_N(_4146_),
+    .B1(_4146_),
+    .B2(_4149_),
+    .X(_0332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8357_ (.A(_1993_),
+    .X(_4150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8358_ (.A(_4150_),
+    .X(_4151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8359_ (.A(_4120_),
+    .X(_4152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8360_ (.A(_4122_),
+    .X(_4153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8361_ (.A1(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .A2(_4152_),
+    .A3(_4153_),
+    .B1(\u_wb_if.spim_wb_addr[14] ),
+    .B2(_4147_),
+    .X(_4154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8362_ (.A1_N(_2510_),
+    .A2_N(_4151_),
+    .B1(_4151_),
+    .B2(_4154_),
+    .X(_0331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8363_ (.A1(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .A2(_4152_),
+    .A3(_4153_),
+    .B1(\u_wb_if.spim_wb_addr[13] ),
+    .B2(_4147_),
+    .X(_4155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8364_ (.A1_N(_2579_),
+    .A2_N(_4151_),
+    .B1(_4151_),
+    .B2(_4155_),
+    .X(_0330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8365_ (.A(_4150_),
+    .X(_4156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8366_ (.A(_4137_),
+    .X(_4157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8367_ (.A1(\u_spim_regs.cfg_m0_mode_reg[4] ),
+    .A2(_4152_),
+    .A3(_4153_),
+    .B1(\u_wb_if.spim_wb_addr[12] ),
+    .B2(_4157_),
+    .X(_4158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8368_ (.A1_N(_2632_),
+    .A2_N(_4156_),
+    .B1(_4156_),
+    .B2(_4158_),
+    .X(_0329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8369_ (.A1(\u_spim_regs.cfg_m0_mode_reg[3] ),
+    .A2(_4152_),
+    .A3(_4153_),
+    .B1(\u_wb_if.spim_wb_addr[11] ),
+    .B2(_4157_),
+    .X(_4159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8370_ (.A1_N(_2686_),
+    .A2_N(_4156_),
+    .B1(_4156_),
+    .B2(_4159_),
+    .X(_0328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8371_ (.A(_4150_),
+    .X(_4160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8372_ (.A(_4105_),
+    .X(_4161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8373_ (.A(_4108_),
+    .X(_4162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8374_ (.A1(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .A2(_4161_),
+    .A3(_4162_),
+    .B1(\u_wb_if.spim_wb_addr[10] ),
+    .B2(_4157_),
+    .X(_4163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8375_ (.A1_N(_2749_),
+    .A2_N(_4160_),
+    .B1(_4160_),
+    .B2(_4163_),
+    .X(_0327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8376_ (.A1(\u_spim_regs.cfg_m0_mode_reg[1] ),
+    .A2(_4161_),
+    .A3(_4162_),
+    .B1(\u_wb_if.spim_wb_addr[9] ),
+    .B2(_4157_),
+    .X(_4164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8377_ (.A1_N(_2796_),
+    .A2_N(_4160_),
+    .B1(_4160_),
+    .B2(_4164_),
+    .X(_0326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8378_ (.A(_4150_),
+    .X(_4165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8379_ (.A(_4137_),
+    .X(_4166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8380_ (.A1(\u_spim_regs.cfg_m0_mode_reg[0] ),
+    .A2(_4161_),
+    .A3(_4162_),
+    .B1(\u_wb_if.spim_wb_addr[8] ),
+    .B2(_4166_),
+    .X(_4167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8381_ (.A1_N(_2847_),
+    .A2_N(_4165_),
+    .B1(_4165_),
+    .B2(_4167_),
+    .X(_0325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8382_ (.A(\u_m0_cmd_fifo.mem[1][7] ),
+    .Y(_4168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8383_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+    .A2(_4161_),
+    .A3(_4162_),
+    .B1(\u_wb_if.spim_wb_addr[7] ),
+    .B2(_4166_),
+    .X(_4169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8384_ (.A1_N(_4168_),
+    .A2_N(_4165_),
+    .B1(_4165_),
+    .B2(_4169_),
+    .X(_0324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8385_ (.A(\u_m0_cmd_fifo.mem[1][6] ),
+    .Y(_4170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8386_ (.A(_1994_),
+    .X(_4171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8387_ (.A(_4105_),
+    .X(_4172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8388_ (.A(_4108_),
+    .X(_4173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8389_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+    .A2(_4172_),
+    .A3(_4173_),
+    .B1(\u_wb_if.spim_wb_addr[6] ),
+    .B2(_4166_),
+    .X(_4174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8390_ (.A1_N(_4170_),
+    .A2_N(_4171_),
+    .B1(_4171_),
+    .B2(_4174_),
+    .X(_0323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8391_ (.A(\u_m0_cmd_fifo.mem[1][5] ),
+    .Y(_4175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8392_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+    .A2(_4172_),
+    .A3(_4173_),
+    .B1(_1296_),
+    .B2(_4166_),
+    .X(_4176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8393_ (.A1_N(_4175_),
+    .A2_N(_4171_),
+    .B1(_4171_),
+    .B2(_4176_),
+    .X(_0322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8394_ (.A(\u_m0_cmd_fifo.mem[1][4] ),
+    .Y(_4177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8395_ (.A(_1994_),
+    .X(_4178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8396_ (.A(_2010_),
+    .X(_4179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8397_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[4] ),
+    .A2(_4172_),
+    .A3(_4173_),
+    .B1(_0990_),
+    .B2(_4179_),
+    .X(_4180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8398_ (.A1_N(_4177_),
+    .A2_N(_4178_),
+    .B1(_4178_),
+    .B2(_4180_),
+    .X(_0321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8399_ (.A(\u_m0_cmd_fifo.mem[1][3] ),
+    .Y(_4181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8400_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[3] ),
+    .A2(_4172_),
+    .A3(_4173_),
+    .B1(_0987_),
+    .B2(_4179_),
+    .X(_4182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8401_ (.A1_N(_4181_),
+    .A2_N(_4178_),
+    .B1(_4178_),
+    .B2(_4182_),
+    .X(_0320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8402_ (.A(\u_m0_cmd_fifo.mem[1][2] ),
+    .Y(_4183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8403_ (.A(_1994_),
+    .X(_4184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8404_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+    .A2(_4106_),
+    .A3(_4109_),
+    .B1(_0988_),
+    .B2(_4179_),
+    .X(_4185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8405_ (.A1_N(_4183_),
+    .A2_N(_4184_),
+    .B1(_4184_),
+    .B2(_4185_),
+    .X(_0319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8406_ (.A(\u_m0_cmd_fifo.mem[1][1] ),
+    .Y(_4186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8407_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[1] ),
+    .A2(_4106_),
+    .A3(_4109_),
+    .B1(\u_wb_if.spim_wb_addr[1] ),
+    .B2(_4179_),
+    .X(_4187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8408_ (.A1_N(_4186_),
+    .A2_N(_4184_),
+    .B1(_4184_),
+    .B2(_4187_),
+    .X(_0318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8409_ (.A(\u_m0_cmd_fifo.mem[1][0] ),
+    .Y(_4188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8410_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .A2(_4106_),
+    .A3(_4109_),
+    .B1(\u_wb_if.spim_wb_addr[0] ),
+    .B2(_2011_),
+    .X(_4189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8411_ (.A1_N(_4188_),
+    .A2_N(_1995_),
+    .B1(_1995_),
+    .B2(_4189_),
+    .X(_0317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8412_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_1871_),
+    .X(_4190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8413_ (.A(_4190_),
+    .X(_4191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8414_ (.A(_4191_),
+    .X(_4192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8415_ (.A1_N(_4192_),
+    .A2_N(_3784_),
+    .B1(\u_m1_cmd_fifo.mem[1][31] ),
+    .B2(_4192_),
+    .X(_0316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8416_ (.A1_N(_4192_),
+    .A2_N(_3788_),
+    .B1(\u_m1_cmd_fifo.mem[1][30] ),
+    .B2(_4192_),
+    .X(_0315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8417_ (.A(_4191_),
+    .X(_4193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8418_ (.A1_N(_4193_),
+    .A2_N(_3795_),
+    .B1(\u_m1_cmd_fifo.mem[1][29] ),
+    .B2(_4193_),
+    .X(_0314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8419_ (.A1_N(_4193_),
+    .A2_N(_3802_),
+    .B1(\u_m1_cmd_fifo.mem[1][28] ),
+    .B2(_4193_),
+    .X(_0313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8420_ (.A(_4191_),
+    .X(_4194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8421_ (.A1_N(_4194_),
+    .A2_N(_3811_),
+    .B1(\u_m1_cmd_fifo.mem[1][27] ),
+    .B2(_4194_),
+    .X(_0312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8422_ (.A1_N(_4194_),
+    .A2_N(_3815_),
+    .B1(\u_m1_cmd_fifo.mem[1][26] ),
+    .B2(_4194_),
+    .X(_0311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8423_ (.A(_4191_),
+    .X(_4195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8424_ (.A1_N(_4195_),
+    .A2_N(_3821_),
+    .B1(\u_m1_cmd_fifo.mem[1][25] ),
+    .B2(_4195_),
+    .X(_0310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8425_ (.A1_N(_4195_),
+    .A2_N(_3827_),
+    .B1(\u_m1_cmd_fifo.mem[1][24] ),
+    .B2(_4195_),
+    .X(_0309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8426_ (.A(_4190_),
+    .X(_4196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8427_ (.A(_4196_),
+    .X(_4197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8428_ (.A1_N(_4197_),
+    .A2_N(_3838_),
+    .B1(\u_m1_cmd_fifo.mem[1][23] ),
+    .B2(_4197_),
+    .X(_0308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8429_ (.A1_N(_4197_),
+    .A2_N(_3842_),
+    .B1(\u_m1_cmd_fifo.mem[1][22] ),
+    .B2(_4197_),
+    .X(_0307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8430_ (.A(_4196_),
+    .X(_4198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8431_ (.A1_N(_4198_),
+    .A2_N(_3848_),
+    .B1(\u_m1_cmd_fifo.mem[1][21] ),
+    .B2(_4198_),
+    .X(_0306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8432_ (.A1_N(_4198_),
+    .A2_N(_3854_),
+    .B1(\u_m1_cmd_fifo.mem[1][20] ),
+    .B2(_4198_),
+    .X(_0305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8433_ (.A(_4196_),
+    .X(_4199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8434_ (.A1_N(_4199_),
+    .A2_N(_3861_),
+    .B1(\u_m1_cmd_fifo.mem[1][19] ),
+    .B2(_4199_),
+    .X(_0304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8435_ (.A1_N(_4199_),
+    .A2_N(_3866_),
+    .B1(\u_m1_cmd_fifo.mem[1][18] ),
+    .B2(_4199_),
+    .X(_0303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8436_ (.A(_4196_),
+    .X(_4200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8437_ (.A1_N(_4200_),
+    .A2_N(_3873_),
+    .B1(\u_m1_cmd_fifo.mem[1][17] ),
+    .B2(_4200_),
+    .X(_0302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8438_ (.A1_N(_4200_),
+    .A2_N(_3878_),
+    .B1(\u_m1_cmd_fifo.mem[1][16] ),
+    .B2(_4200_),
+    .X(_0301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8439_ (.A(_4190_),
+    .X(_4201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8440_ (.A(_4201_),
+    .X(_4202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8441_ (.A1_N(_4202_),
+    .A2_N(_3886_),
+    .B1(\u_m1_cmd_fifo.mem[1][15] ),
+    .B2(_4202_),
+    .X(_0300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8442_ (.A1_N(_4202_),
+    .A2_N(_3891_),
+    .B1(\u_m1_cmd_fifo.mem[1][14] ),
+    .B2(_4202_),
+    .X(_0299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8443_ (.A(_4201_),
+    .X(_4203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8444_ (.A1_N(_4203_),
+    .A2_N(_3898_),
+    .B1(\u_m1_cmd_fifo.mem[1][13] ),
+    .B2(_4203_),
+    .X(_0298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8445_ (.A1_N(_4203_),
+    .A2_N(_3904_),
+    .B1(\u_m1_cmd_fifo.mem[1][12] ),
+    .B2(_4203_),
+    .X(_0297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8446_ (.A(_4201_),
+    .X(_4204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8447_ (.A1_N(_4204_),
+    .A2_N(_3910_),
+    .B1(\u_m1_cmd_fifo.mem[1][11] ),
+    .B2(_4204_),
+    .X(_0296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8448_ (.A1_N(_4204_),
+    .A2_N(_3915_),
+    .B1(\u_m1_cmd_fifo.mem[1][10] ),
+    .B2(_4204_),
+    .X(_0295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8449_ (.A(_4201_),
+    .X(_4205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8450_ (.A1_N(_4205_),
+    .A2_N(_3921_),
+    .B1(\u_m1_cmd_fifo.mem[1][9] ),
+    .B2(_4205_),
+    .X(_0294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8451_ (.A1_N(_4205_),
+    .A2_N(_3927_),
+    .B1(\u_m1_cmd_fifo.mem[1][8] ),
+    .B2(_4205_),
+    .X(_0293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8452_ (.A(_4190_),
+    .X(_4206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8453_ (.A(_4206_),
+    .X(_4207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8454_ (.A1_N(_4207_),
+    .A2_N(_3935_),
+    .B1(\u_m1_cmd_fifo.mem[1][7] ),
+    .B2(_4207_),
+    .X(_0292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8455_ (.A1_N(_4207_),
+    .A2_N(_3940_),
+    .B1(\u_m1_cmd_fifo.mem[1][6] ),
+    .B2(_4207_),
+    .X(_0291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8456_ (.A(_4206_),
+    .X(_4208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8457_ (.A1_N(_4208_),
+    .A2_N(_3946_),
+    .B1(\u_m1_cmd_fifo.mem[1][5] ),
+    .B2(_4208_),
+    .X(_0290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8458_ (.A1_N(_4208_),
+    .A2_N(_3952_),
+    .B1(\u_m1_cmd_fifo.mem[1][4] ),
+    .B2(_4208_),
+    .X(_0289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8459_ (.A(_4206_),
+    .X(_4209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8460_ (.A1_N(_4209_),
+    .A2_N(_3959_),
+    .B1(\u_m1_cmd_fifo.mem[1][3] ),
+    .B2(_4209_),
+    .X(_0288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8461_ (.A1_N(_4209_),
+    .A2_N(_3963_),
+    .B1(\u_m1_cmd_fifo.mem[1][2] ),
+    .B2(_4209_),
+    .X(_0287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8462_ (.A(_4206_),
+    .X(_4210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8463_ (.A1_N(_4210_),
+    .A2_N(_3968_),
+    .B1(\u_m1_cmd_fifo.mem[1][1] ),
+    .B2(_4210_),
+    .X(_0286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8464_ (.A1_N(_4210_),
+    .A2_N(_3972_),
+    .B1(\u_m1_cmd_fifo.mem[1][0] ),
+    .B2(_4210_),
+    .X(_0285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8465_ (.A1_N(_2369_),
+    .A2_N(_1999_),
+    .B1(_1999_),
+    .B2(_4111_),
+    .X(_0284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8466_ (.A(_1998_),
+    .X(_4211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8467_ (.A1_N(_2478_),
+    .A2_N(_1999_),
+    .B1(_4211_),
+    .B2(_4112_),
+    .X(_0283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8468_ (.A1_N(_2551_),
+    .A2_N(_4211_),
+    .B1(_4211_),
+    .B2(_4115_),
+    .X(_0282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8469_ (.A(_1997_),
+    .X(_4212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8470_ (.A(_4212_),
+    .X(_4213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8471_ (.A1(_2611_),
+    .A2(_4213_),
+    .B1(_1996_),
+    .B2(_4116_),
+    .X(_4214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8472_ (.A(_4214_),
+    .Y(_0281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8473_ (.A(_1998_),
+    .X(_4215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8474_ (.A1_N(_2665_),
+    .A2_N(_4211_),
+    .B1(_4215_),
+    .B2(_4118_),
+    .X(_0280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8475_ (.A1_N(_2729_),
+    .A2_N(_4215_),
+    .B1(_4215_),
+    .B2(_4124_),
+    .X(_0279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8476_ (.A(_1998_),
+    .X(_4216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8477_ (.A1_N(_2777_),
+    .A2_N(_4215_),
+    .B1(_4216_),
+    .B2(_4125_),
+    .X(_0278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8478_ (.A1_N(_2828_),
+    .A2_N(_4216_),
+    .B1(_4216_),
+    .B2(_4128_),
+    .X(_0277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8479_ (.A(_1997_),
+    .X(_4217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8480_ (.A(_4217_),
+    .X(_4218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8481_ (.A1_N(_2344_),
+    .A2_N(_4216_),
+    .B1(_4218_),
+    .B2(_4129_),
+    .X(_0276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8482_ (.A1_N(_2462_),
+    .A2_N(_4218_),
+    .B1(_4218_),
+    .B2(_4134_),
+    .X(_0275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8483_ (.A(_4217_),
+    .X(_4219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8484_ (.A1_N(_2538_),
+    .A2_N(_4218_),
+    .B1(_4219_),
+    .B2(_4135_),
+    .X(_0274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8485_ (.A1_N(_2601_),
+    .A2_N(_4219_),
+    .B1(_4219_),
+    .B2(_4139_),
+    .X(_0273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8486_ (.A(_4217_),
+    .X(_4220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8487_ (.A1_N(_2653_),
+    .A2_N(_4219_),
+    .B1(_4220_),
+    .B2(_4140_),
+    .X(_0272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8488_ (.A1_N(_2718_),
+    .A2_N(_4220_),
+    .B1(_4220_),
+    .B2(_4144_),
+    .X(_0271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8489_ (.A(_4217_),
+    .X(_4221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8490_ (.A1_N(_2767_),
+    .A2_N(_4220_),
+    .B1(_4221_),
+    .B2(_4145_),
+    .X(_0270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8491_ (.A1_N(_2818_),
+    .A2_N(_4221_),
+    .B1(_4221_),
+    .B2(_4148_),
+    .X(_0269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8492_ (.A(_1997_),
+    .X(_4222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8493_ (.A(_4222_),
+    .X(_4223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8494_ (.A1_N(_2396_),
+    .A2_N(_4221_),
+    .B1(_4223_),
+    .B2(_4149_),
+    .X(_0268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8495_ (.A1_N(_2507_),
+    .A2_N(_4223_),
+    .B1(_4223_),
+    .B2(_4154_),
+    .X(_0267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8496_ (.A(_4222_),
+    .X(_4224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8497_ (.A1_N(_2578_),
+    .A2_N(_4223_),
+    .B1(_4224_),
+    .B2(_4155_),
+    .X(_0266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8498_ (.A1_N(_2631_),
+    .A2_N(_4224_),
+    .B1(_4224_),
+    .B2(_4158_),
+    .X(_0265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8499_ (.A(_4222_),
+    .X(_4225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8500_ (.A1_N(_2685_),
+    .A2_N(_4224_),
+    .B1(_4225_),
+    .B2(_4159_),
+    .X(_0264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8501_ (.A1_N(_2748_),
+    .A2_N(_4225_),
+    .B1(_4225_),
+    .B2(_4163_),
+    .X(_0263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8502_ (.A(_4222_),
+    .X(_4226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8503_ (.A1_N(_2795_),
+    .A2_N(_4225_),
+    .B1(_4226_),
+    .B2(_4164_),
+    .X(_0262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8504_ (.A1_N(_2846_),
+    .A2_N(_4226_),
+    .B1(_4226_),
+    .B2(_4167_),
+    .X(_0261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8505_ (.A(\u_m0_cmd_fifo.mem[0][7] ),
+    .Y(_4227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8506_ (.A(_4212_),
+    .X(_4228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8507_ (.A1_N(_4227_),
+    .A2_N(_4226_),
+    .B1(_4228_),
+    .B2(_4169_),
+    .X(_0260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8508_ (.A(\u_m0_cmd_fifo.mem[0][6] ),
+    .Y(_4229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8509_ (.A1_N(_4229_),
+    .A2_N(_4228_),
+    .B1(_4228_),
+    .B2(_4174_),
+    .X(_0259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8510_ (.A(\u_m0_cmd_fifo.mem[0][5] ),
+    .Y(_4230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8511_ (.A(_4212_),
+    .X(_4231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8512_ (.A1_N(_4230_),
+    .A2_N(_4228_),
+    .B1(_4231_),
+    .B2(_4176_),
+    .X(_0258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8513_ (.A(\u_m0_cmd_fifo.mem[0][4] ),
+    .Y(_4232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8514_ (.A1_N(_4232_),
+    .A2_N(_4231_),
+    .B1(_4231_),
+    .B2(_4180_),
+    .X(_0257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8515_ (.A(\u_m0_cmd_fifo.mem[0][3] ),
+    .Y(_4233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8516_ (.A(_4212_),
+    .X(_4234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8517_ (.A1_N(_4233_),
+    .A2_N(_4231_),
+    .B1(_4234_),
+    .B2(_4182_),
+    .X(_0256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8518_ (.A(\u_m0_cmd_fifo.mem[0][2] ),
+    .Y(_4235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8519_ (.A1_N(_4235_),
+    .A2_N(_4234_),
+    .B1(_4234_),
+    .B2(_4185_),
+    .X(_0255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8520_ (.A(\u_m0_cmd_fifo.mem[0][1] ),
+    .Y(_4236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8521_ (.A1_N(_4236_),
+    .A2_N(_4234_),
+    .B1(_4213_),
+    .B2(_4187_),
+    .X(_0254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8522_ (.A(\u_m0_cmd_fifo.mem[0][0] ),
+    .Y(_4237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8523_ (.A1_N(_4237_),
+    .A2_N(_4213_),
+    .B1(_4213_),
+    .B2(_4189_),
+    .X(_0253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8524_ (.A(\u_m0_res_fifo.mem[0][31] ),
+    .Y(_4238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8525_ (.A(_3714_),
+    .B(\u_spictrl.res_fifo_wr ),
+    .C(_3746_),
+    .D(_3747_),
+    .X(_4239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8526_ (.A(_4239_),
+    .X(_4240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8527_ (.A(_4240_),
+    .X(_4241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8528_ (.A1_N(_4238_),
+    .A2_N(_4241_),
+    .B1(_3975_),
+    .B2(_4241_),
+    .X(_0252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8529_ (.A(\u_m0_res_fifo.mem[0][30] ),
+    .Y(_4242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8530_ (.A1_N(_4242_),
+    .A2_N(_4241_),
+    .B1(_3977_),
+    .B2(_4241_),
+    .X(_0251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8531_ (.A(\u_m0_res_fifo.mem[0][29] ),
+    .Y(_4243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8532_ (.A(_4240_),
+    .X(_4244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8533_ (.A1_N(_4243_),
+    .A2_N(_4244_),
+    .B1(_3980_),
+    .B2(_4244_),
+    .X(_0250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8534_ (.A(\u_m0_res_fifo.mem[0][28] ),
+    .Y(_4245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8535_ (.A1_N(_4245_),
+    .A2_N(_4244_),
+    .B1(_3981_),
+    .B2(_4244_),
+    .X(_0249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8536_ (.A(\u_m0_res_fifo.mem[0][27] ),
+    .Y(_4246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8537_ (.A(_4240_),
+    .X(_4247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8538_ (.A1_N(_4246_),
+    .A2_N(_4247_),
+    .B1(_3983_),
+    .B2(_4247_),
+    .X(_0248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8539_ (.A(\u_m0_res_fifo.mem[0][26] ),
+    .Y(_4248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8540_ (.A1_N(_4248_),
+    .A2_N(_4247_),
+    .B1(_3985_),
+    .B2(_4247_),
+    .X(_0247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8541_ (.A(\u_m0_res_fifo.mem[0][25] ),
+    .Y(_4249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8542_ (.A(_4240_),
+    .X(_4250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8543_ (.A1_N(_4249_),
+    .A2_N(_4250_),
+    .B1(_3989_),
+    .B2(_4250_),
+    .X(_0246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8544_ (.A(\u_m0_res_fifo.mem[0][24] ),
+    .Y(_4251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8545_ (.A1_N(_4251_),
+    .A2_N(_4250_),
+    .B1(_3991_),
+    .B2(_4250_),
+    .X(_0245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8546_ (.A(\u_m0_res_fifo.mem[0][23] ),
+    .Y(_4252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8547_ (.A(_4239_),
+    .X(_4253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8548_ (.A(_4253_),
+    .X(_4254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8549_ (.A1_N(_4252_),
+    .A2_N(_4254_),
+    .B1(_3994_),
+    .B2(_4254_),
+    .X(_0244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8550_ (.A(\u_m0_res_fifo.mem[0][22] ),
+    .Y(_4255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8551_ (.A1_N(_4255_),
+    .A2_N(_4254_),
+    .B1(_3995_),
+    .B2(_4254_),
+    .X(_0243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8552_ (.A(\u_m0_res_fifo.mem[0][21] ),
+    .Y(_4256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8553_ (.A(_4253_),
+    .X(_4257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8554_ (.A1_N(_4256_),
+    .A2_N(_4257_),
+    .B1(_3997_),
+    .B2(_4257_),
+    .X(_0242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8555_ (.A(\u_m0_res_fifo.mem[0][20] ),
+    .Y(_4258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8556_ (.A1_N(_4258_),
+    .A2_N(_4257_),
+    .B1(_3999_),
+    .B2(_4257_),
+    .X(_0241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8557_ (.A(\u_m0_res_fifo.mem[0][19] ),
+    .Y(_4259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8558_ (.A(_4253_),
+    .X(_4260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8559_ (.A1_N(_4259_),
+    .A2_N(_4260_),
+    .B1(_4002_),
+    .B2(_4260_),
+    .X(_0240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8560_ (.A(\u_m0_res_fifo.mem[0][18] ),
+    .Y(_4261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8561_ (.A1_N(_4261_),
+    .A2_N(_4260_),
+    .B1(_4004_),
+    .B2(_4260_),
+    .X(_0239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8562_ (.A(\u_m0_res_fifo.mem[0][17] ),
+    .Y(_4262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8563_ (.A(_4253_),
+    .X(_4263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8564_ (.A1_N(_4262_),
+    .A2_N(_4263_),
+    .B1(_4008_),
+    .B2(_4263_),
+    .X(_0238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8565_ (.A(\u_m0_res_fifo.mem[0][16] ),
+    .Y(_4264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8566_ (.A1_N(_4264_),
+    .A2_N(_4263_),
+    .B1(_4010_),
+    .B2(_4263_),
+    .X(_0237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8567_ (.A(\u_m0_res_fifo.mem[0][15] ),
+    .Y(_4265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8568_ (.A(_4239_),
+    .X(_4266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8569_ (.A(_4266_),
+    .X(_4267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8570_ (.A1_N(_4265_),
+    .A2_N(_4267_),
+    .B1(_4013_),
+    .B2(_4267_),
+    .X(_0236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8571_ (.A(\u_m0_res_fifo.mem[0][14] ),
+    .Y(_4268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8572_ (.A1_N(_4268_),
+    .A2_N(_4267_),
+    .B1(_4014_),
+    .B2(_4267_),
+    .X(_0235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8573_ (.A(\u_m0_res_fifo.mem[0][13] ),
+    .Y(_4269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8574_ (.A(_4266_),
+    .X(_4270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8575_ (.A1_N(_4269_),
+    .A2_N(_4270_),
+    .B1(_4016_),
+    .B2(_4270_),
+    .X(_0234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8576_ (.A(\u_m0_res_fifo.mem[0][12] ),
+    .Y(_4271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8577_ (.A1_N(_4271_),
+    .A2_N(_4270_),
+    .B1(_4018_),
+    .B2(_4270_),
+    .X(_0233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8578_ (.A(\u_m0_res_fifo.mem[0][11] ),
+    .Y(_4272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8579_ (.A(_4266_),
+    .X(_4273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8580_ (.A1_N(_4272_),
+    .A2_N(_4273_),
+    .B1(_4020_),
+    .B2(_4273_),
+    .X(_0232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8581_ (.A(\u_m0_res_fifo.mem[0][10] ),
+    .Y(_4274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8582_ (.A1_N(_4274_),
+    .A2_N(_4273_),
+    .B1(_4021_),
+    .B2(_4273_),
+    .X(_0231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8583_ (.A(\u_m0_res_fifo.mem[0][9] ),
+    .Y(_4275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8584_ (.A(_4266_),
+    .X(_4276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8585_ (.A1_N(_4275_),
+    .A2_N(_4276_),
+    .B1(_4024_),
+    .B2(_4276_),
+    .X(_0230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8586_ (.A(\u_m0_res_fifo.mem[0][8] ),
+    .Y(_4277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8587_ (.A1_N(_4277_),
+    .A2_N(_4276_),
+    .B1(_4025_),
+    .B2(_4276_),
+    .X(_0229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8588_ (.A(\u_m0_res_fifo.mem[0][7] ),
+    .Y(_4278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8589_ (.A(_4239_),
+    .X(_4279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8590_ (.A(_4279_),
+    .X(_4280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8591_ (.A1_N(_4278_),
+    .A2_N(_4280_),
+    .B1(_4028_),
+    .B2(_4280_),
+    .X(_0228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8592_ (.A(\u_m0_res_fifo.mem[0][6] ),
+    .Y(_4281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8593_ (.A1_N(_4281_),
+    .A2_N(_4280_),
+    .B1(_4030_),
+    .B2(_4280_),
+    .X(_0227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8594_ (.A(\u_m0_res_fifo.mem[0][5] ),
+    .Y(_4282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8595_ (.A(_4279_),
+    .X(_4283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8596_ (.A1_N(_4282_),
+    .A2_N(_4283_),
+    .B1(_4033_),
+    .B2(_4283_),
+    .X(_0226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8597_ (.A(\u_m0_res_fifo.mem[0][4] ),
+    .Y(_4284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8598_ (.A1_N(_4284_),
+    .A2_N(_4283_),
+    .B1(_4035_),
+    .B2(_4283_),
+    .X(_0225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8599_ (.A(\u_m0_res_fifo.mem[0][3] ),
+    .Y(_4285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8600_ (.A(_4279_),
+    .X(_4286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8601_ (.A1_N(_4285_),
+    .A2_N(_4286_),
+    .B1(_4037_),
+    .B2(_4286_),
+    .X(_0224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8602_ (.A(\u_m0_res_fifo.mem[0][2] ),
+    .Y(_4287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8603_ (.A1_N(_4287_),
+    .A2_N(_4286_),
+    .B1(_4038_),
+    .B2(_4286_),
+    .X(_0223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8604_ (.A(\u_m0_res_fifo.mem[0][1] ),
+    .Y(_4288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8605_ (.A(_4279_),
+    .X(_4289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8606_ (.A1_N(_4288_),
+    .A2_N(_4289_),
+    .B1(_4040_),
+    .B2(_4289_),
+    .X(_0222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8607_ (.A(\u_m0_res_fifo.mem[0][0] ),
+    .Y(_4290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8608_ (.A1_N(_4290_),
+    .A2_N(_4289_),
+    .B1(_4041_),
+    .B2(_4289_),
+    .X(_0221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8609_ (.A(_3746_),
+    .X(_4291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8610_ (.A(_4291_),
+    .X(_4292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8611_ (.A(_3760_),
+    .X(_4293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8612_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .B(_3748_),
+    .X(_4294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8613_ (.A(_4294_),
+    .X(_4295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8614_ (.A(_4295_),
+    .X(_4296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8615_ (.A1(_4292_),
+    .A2(_4293_),
+    .A3(\u_spictrl.res_fifo_wdata[31] ),
+    .B1(\u_m0_res_fifo.mem[1][31] ),
+    .B2(_4296_),
+    .X(_0220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8616_ (.A1(_4292_),
+    .A2(_4293_),
+    .A3(\u_spictrl.res_fifo_wdata[30] ),
+    .B1(\u_m0_res_fifo.mem[1][30] ),
+    .B2(_4296_),
+    .X(_0219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8617_ (.A1(_4292_),
+    .A2(_4293_),
+    .A3(\u_spictrl.res_fifo_wdata[29] ),
+    .B1(\u_m0_res_fifo.mem[1][29] ),
+    .B2(_4296_),
+    .X(_0218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8618_ (.A1(_4292_),
+    .A2(_4293_),
+    .A3(\u_spictrl.res_fifo_wdata[28] ),
+    .B1(\u_m0_res_fifo.mem[1][28] ),
+    .B2(_4296_),
+    .X(_0217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8619_ (.A(_4291_),
+    .X(_4297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8620_ (.A(_3760_),
+    .X(_4298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8621_ (.A(_4295_),
+    .X(_4299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8622_ (.A1(_4297_),
+    .A2(_4298_),
+    .A3(\u_spictrl.res_fifo_wdata[27] ),
+    .B1(\u_m0_res_fifo.mem[1][27] ),
+    .B2(_4299_),
+    .X(_0216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8623_ (.A1(_4297_),
+    .A2(_4298_),
+    .A3(\u_spictrl.res_fifo_wdata[26] ),
+    .B1(\u_m0_res_fifo.mem[1][26] ),
+    .B2(_4299_),
+    .X(_0215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8624_ (.A1(_4297_),
+    .A2(_4298_),
+    .A3(\u_spictrl.res_fifo_wdata[25] ),
+    .B1(\u_m0_res_fifo.mem[1][25] ),
+    .B2(_4299_),
+    .X(_0214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8625_ (.A1(_4297_),
+    .A2(_4298_),
+    .A3(\u_spictrl.res_fifo_wdata[24] ),
+    .B1(\u_m0_res_fifo.mem[1][24] ),
+    .B2(_4299_),
+    .X(_0213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8626_ (.A(_4291_),
+    .X(_4300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8627_ (.A(_3759_),
+    .X(_4301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8628_ (.A(_4301_),
+    .X(_4302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8629_ (.A(_4295_),
+    .X(_4303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8630_ (.A1(_4300_),
+    .A2(_4302_),
+    .A3(\u_spictrl.res_fifo_wdata[23] ),
+    .B1(\u_m0_res_fifo.mem[1][23] ),
+    .B2(_4303_),
+    .X(_0212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8631_ (.A1(_4300_),
+    .A2(_4302_),
+    .A3(\u_spictrl.res_fifo_wdata[22] ),
+    .B1(\u_m0_res_fifo.mem[1][22] ),
+    .B2(_4303_),
+    .X(_0211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8632_ (.A1(_4300_),
+    .A2(_4302_),
+    .A3(\u_spictrl.res_fifo_wdata[21] ),
+    .B1(\u_m0_res_fifo.mem[1][21] ),
+    .B2(_4303_),
+    .X(_0210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8633_ (.A1(_4300_),
+    .A2(_4302_),
+    .A3(\u_spictrl.res_fifo_wdata[20] ),
+    .B1(\u_m0_res_fifo.mem[1][20] ),
+    .B2(_4303_),
+    .X(_0209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8634_ (.A(_4291_),
+    .X(_4304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8635_ (.A(_4301_),
+    .X(_4305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8636_ (.A(_4295_),
+    .X(_4306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8637_ (.A1(_4304_),
+    .A2(_4305_),
+    .A3(\u_spictrl.res_fifo_wdata[19] ),
+    .B1(\u_m0_res_fifo.mem[1][19] ),
+    .B2(_4306_),
+    .X(_0208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8638_ (.A1(_4304_),
+    .A2(_4305_),
+    .A3(\u_spictrl.res_fifo_wdata[18] ),
+    .B1(\u_m0_res_fifo.mem[1][18] ),
+    .B2(_4306_),
+    .X(_0207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8639_ (.A1(_4304_),
+    .A2(_4305_),
+    .A3(\u_spictrl.res_fifo_wdata[17] ),
+    .B1(\u_m0_res_fifo.mem[1][17] ),
+    .B2(_4306_),
+    .X(_0206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8640_ (.A1(_4304_),
+    .A2(_4305_),
+    .A3(\u_spictrl.res_fifo_wdata[16] ),
+    .B1(\u_m0_res_fifo.mem[1][16] ),
+    .B2(_4306_),
+    .X(_0205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8641_ (.A(_3746_),
+    .X(_4307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8642_ (.A(_4307_),
+    .X(_4308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8643_ (.A(_4301_),
+    .X(_4309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8644_ (.A(_4294_),
+    .X(_4310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8645_ (.A(_4310_),
+    .X(_4311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8646_ (.A1(_4308_),
+    .A2(_4309_),
+    .A3(\u_spictrl.res_fifo_wdata[15] ),
+    .B1(\u_m0_res_fifo.mem[1][15] ),
+    .B2(_4311_),
+    .X(_0204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8647_ (.A1(_4308_),
+    .A2(_4309_),
+    .A3(\u_spictrl.res_fifo_wdata[14] ),
+    .B1(\u_m0_res_fifo.mem[1][14] ),
+    .B2(_4311_),
+    .X(_0203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8648_ (.A1(_4308_),
+    .A2(_4309_),
+    .A3(\u_spictrl.res_fifo_wdata[13] ),
+    .B1(\u_m0_res_fifo.mem[1][13] ),
+    .B2(_4311_),
+    .X(_0202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8649_ (.A1(_4308_),
+    .A2(_4309_),
+    .A3(\u_spictrl.res_fifo_wdata[12] ),
+    .B1(\u_m0_res_fifo.mem[1][12] ),
+    .B2(_4311_),
+    .X(_0201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8650_ (.A(_4307_),
+    .X(_4312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8651_ (.A(_4301_),
+    .X(_4313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8652_ (.A(_4310_),
+    .X(_4314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8653_ (.A1(_4312_),
+    .A2(_4313_),
+    .A3(\u_spictrl.res_fifo_wdata[11] ),
+    .B1(\u_m0_res_fifo.mem[1][11] ),
+    .B2(_4314_),
+    .X(_0200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8654_ (.A1(_4312_),
+    .A2(_4313_),
+    .A3(\u_spictrl.res_fifo_wdata[10] ),
+    .B1(\u_m0_res_fifo.mem[1][10] ),
+    .B2(_4314_),
+    .X(_0199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8655_ (.A1(_4312_),
+    .A2(_4313_),
+    .A3(\u_spictrl.res_fifo_wdata[9] ),
+    .B1(\u_m0_res_fifo.mem[1][9] ),
+    .B2(_4314_),
+    .X(_0198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8656_ (.A1(_4312_),
+    .A2(_4313_),
+    .A3(\u_spictrl.res_fifo_wdata[8] ),
+    .B1(\u_m0_res_fifo.mem[1][8] ),
+    .B2(_4314_),
+    .X(_0197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8657_ (.A(_4307_),
+    .X(_4315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8658_ (.A(_3758_),
+    .X(_4316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8659_ (.A(_4316_),
+    .X(_4317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8660_ (.A(_4310_),
+    .X(_4318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8661_ (.A1(_4315_),
+    .A2(_4317_),
+    .A3(\u_spictrl.res_fifo_wdata[7] ),
+    .B1(\u_m0_res_fifo.mem[1][7] ),
+    .B2(_4318_),
+    .X(_0196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8662_ (.A1(_4315_),
+    .A2(_4317_),
+    .A3(\u_spictrl.res_fifo_wdata[6] ),
+    .B1(\u_m0_res_fifo.mem[1][6] ),
+    .B2(_4318_),
+    .X(_0195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8663_ (.A1(_4315_),
+    .A2(_4317_),
+    .A3(\u_spictrl.res_fifo_wdata[5] ),
+    .B1(\u_m0_res_fifo.mem[1][5] ),
+    .B2(_4318_),
+    .X(_0194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8664_ (.A1(_4315_),
+    .A2(_4317_),
+    .A3(\u_spictrl.res_fifo_wdata[4] ),
+    .B1(\u_m0_res_fifo.mem[1][4] ),
+    .B2(_4318_),
+    .X(_0193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8665_ (.A(_4307_),
+    .X(_4319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8666_ (.A(_4316_),
+    .X(_4320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8667_ (.A(_4310_),
+    .X(_4321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8668_ (.A1(_4319_),
+    .A2(_4320_),
+    .A3(\u_spictrl.res_fifo_wdata[3] ),
+    .B1(\u_m0_res_fifo.mem[1][3] ),
+    .B2(_4321_),
+    .X(_0192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8669_ (.A1(_4319_),
+    .A2(_4320_),
+    .A3(\u_spictrl.res_fifo_wdata[2] ),
+    .B1(\u_m0_res_fifo.mem[1][2] ),
+    .B2(_4321_),
+    .X(_0191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8670_ (.A1(_4319_),
+    .A2(_4320_),
+    .A3(\u_spictrl.res_fifo_wdata[1] ),
+    .B1(\u_m0_res_fifo.mem[1][1] ),
+    .B2(_4321_),
+    .X(_0190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8671_ (.A1(_4319_),
+    .A2(_4320_),
+    .A3(\u_spictrl.res_fifo_wdata[0] ),
+    .B1(\u_m0_res_fifo.mem[1][0] ),
+    .B2(_4321_),
+    .X(_0189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8672_ (.A(_3757_),
+    .X(_4322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8673_ (.A(_4316_),
+    .X(_4323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8674_ (.A1(_4322_),
+    .A2(_4323_),
+    .A3(\u_spictrl.res_fifo_wdata[31] ),
+    .B1(\u_m0_res_fifo.mem[3][31] ),
+    .B2(_3755_),
+    .X(_0188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8675_ (.A1(_4322_),
+    .A2(_4323_),
+    .A3(\u_spictrl.res_fifo_wdata[30] ),
+    .B1(\u_m0_res_fifo.mem[3][30] ),
+    .B2(_3755_),
+    .X(_0187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8676_ (.A1(_4322_),
+    .A2(_4323_),
+    .A3(\u_spictrl.res_fifo_wdata[29] ),
+    .B1(\u_m0_res_fifo.mem[3][29] ),
+    .B2(_3755_),
+    .X(_0186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8677_ (.A(_3754_),
+    .X(_4324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8678_ (.A1(_4322_),
+    .A2(_4323_),
+    .A3(\u_spictrl.res_fifo_wdata[28] ),
+    .B1(\u_m0_res_fifo.mem[3][28] ),
+    .B2(_4324_),
+    .X(_0185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8679_ (.A(_3757_),
+    .X(_4325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8680_ (.A(_4316_),
+    .X(_4326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8681_ (.A1(_4325_),
+    .A2(_4326_),
+    .A3(\u_spictrl.res_fifo_wdata[27] ),
+    .B1(\u_m0_res_fifo.mem[3][27] ),
+    .B2(_4324_),
+    .X(_0184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8682_ (.A1(_4325_),
+    .A2(_4326_),
+    .A3(\u_spictrl.res_fifo_wdata[26] ),
+    .B1(\u_m0_res_fifo.mem[3][26] ),
+    .B2(_4324_),
+    .X(_0183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8683_ (.A1(_4325_),
+    .A2(_4326_),
+    .A3(\u_spictrl.res_fifo_wdata[25] ),
+    .B1(\u_m0_res_fifo.mem[3][25] ),
+    .B2(_4324_),
+    .X(_0182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8684_ (.A(_3754_),
+    .X(_4327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8685_ (.A1(_4325_),
+    .A2(_4326_),
+    .A3(\u_spictrl.res_fifo_wdata[24] ),
+    .B1(\u_m0_res_fifo.mem[3][24] ),
+    .B2(_4327_),
+    .X(_0181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8686_ (.A(_3757_),
+    .X(_4328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8687_ (.A(_3758_),
+    .X(_4329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8688_ (.A(_4329_),
+    .X(_4330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8689_ (.A1(_4328_),
+    .A2(_4330_),
+    .A3(\u_spictrl.res_fifo_wdata[23] ),
+    .B1(\u_m0_res_fifo.mem[3][23] ),
+    .B2(_4327_),
+    .X(_0180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8690_ (.A1(_4328_),
+    .A2(_4330_),
+    .A3(\u_spictrl.res_fifo_wdata[22] ),
+    .B1(\u_m0_res_fifo.mem[3][22] ),
+    .B2(_4327_),
+    .X(_0179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8691_ (.A1(_4328_),
+    .A2(_4330_),
+    .A3(\u_spictrl.res_fifo_wdata[21] ),
+    .B1(\u_m0_res_fifo.mem[3][21] ),
+    .B2(_4327_),
+    .X(_0178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8692_ (.A(_3749_),
+    .X(_4331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8693_ (.A(_4331_),
+    .X(_4332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8694_ (.A1(_4328_),
+    .A2(_4330_),
+    .A3(\u_spictrl.res_fifo_wdata[20] ),
+    .B1(\u_m0_res_fifo.mem[3][20] ),
+    .B2(_4332_),
+    .X(_0177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8695_ (.A(_3756_),
+    .X(_4333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8696_ (.A(_4333_),
+    .X(_4334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8697_ (.A(_4329_),
+    .X(_4335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8698_ (.A1(_4334_),
+    .A2(_4335_),
+    .A3(\u_spictrl.res_fifo_wdata[19] ),
+    .B1(\u_m0_res_fifo.mem[3][19] ),
+    .B2(_4332_),
+    .X(_0176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8699_ (.A1(_4334_),
+    .A2(_4335_),
+    .A3(\u_spictrl.res_fifo_wdata[18] ),
+    .B1(\u_m0_res_fifo.mem[3][18] ),
+    .B2(_4332_),
+    .X(_0175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8700_ (.A1(_4334_),
+    .A2(_4335_),
+    .A3(\u_spictrl.res_fifo_wdata[17] ),
+    .B1(\u_m0_res_fifo.mem[3][17] ),
+    .B2(_4332_),
+    .X(_0174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8701_ (.A(_4331_),
+    .X(_4336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8702_ (.A1(_4334_),
+    .A2(_4335_),
+    .A3(\u_spictrl.res_fifo_wdata[16] ),
+    .B1(\u_m0_res_fifo.mem[3][16] ),
+    .B2(_4336_),
+    .X(_0173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8703_ (.A(_4333_),
+    .X(_4337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8704_ (.A(_4329_),
+    .X(_4338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8705_ (.A1(_4337_),
+    .A2(_4338_),
+    .A3(\u_spictrl.res_fifo_wdata[15] ),
+    .B1(\u_m0_res_fifo.mem[3][15] ),
+    .B2(_4336_),
+    .X(_0172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8706_ (.A1(_4337_),
+    .A2(_4338_),
+    .A3(\u_spictrl.res_fifo_wdata[14] ),
+    .B1(\u_m0_res_fifo.mem[3][14] ),
+    .B2(_4336_),
+    .X(_0171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8707_ (.A1(_4337_),
+    .A2(_4338_),
+    .A3(\u_spictrl.res_fifo_wdata[13] ),
+    .B1(\u_m0_res_fifo.mem[3][13] ),
+    .B2(_4336_),
+    .X(_0170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8708_ (.A(_4331_),
+    .X(_4339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8709_ (.A1(_4337_),
+    .A2(_4338_),
+    .A3(\u_spictrl.res_fifo_wdata[12] ),
+    .B1(\u_m0_res_fifo.mem[3][12] ),
+    .B2(_4339_),
+    .X(_0169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8710_ (.A(_4333_),
+    .X(_4340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8711_ (.A(_4329_),
+    .X(_4341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8712_ (.A1(_4340_),
+    .A2(_4341_),
+    .A3(\u_spictrl.res_fifo_wdata[11] ),
+    .B1(\u_m0_res_fifo.mem[3][11] ),
+    .B2(_4339_),
+    .X(_0168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8713_ (.A1(_4340_),
+    .A2(_4341_),
+    .A3(\u_spictrl.res_fifo_wdata[10] ),
+    .B1(\u_m0_res_fifo.mem[3][10] ),
+    .B2(_4339_),
+    .X(_0167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8714_ (.A1(_4340_),
+    .A2(_4341_),
+    .A3(\u_spictrl.res_fifo_wdata[9] ),
+    .B1(\u_m0_res_fifo.mem[3][9] ),
+    .B2(_4339_),
+    .X(_0166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8715_ (.A(_4331_),
+    .X(_4342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8716_ (.A1(_4340_),
+    .A2(_4341_),
+    .A3(\u_spictrl.res_fifo_wdata[8] ),
+    .B1(\u_m0_res_fifo.mem[3][8] ),
+    .B2(_4342_),
+    .X(_0165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8717_ (.A(_4333_),
+    .X(_4343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8718_ (.A(_3759_),
+    .X(_4344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8719_ (.A1(_4343_),
+    .A2(_4344_),
+    .A3(\u_spictrl.res_fifo_wdata[7] ),
+    .B1(\u_m0_res_fifo.mem[3][7] ),
+    .B2(_4342_),
+    .X(_0164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8720_ (.A1(_4343_),
+    .A2(_4344_),
+    .A3(\u_spictrl.res_fifo_wdata[6] ),
+    .B1(\u_m0_res_fifo.mem[3][6] ),
+    .B2(_4342_),
+    .X(_0163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8721_ (.A1(_4343_),
+    .A2(_4344_),
+    .A3(\u_spictrl.res_fifo_wdata[5] ),
+    .B1(\u_m0_res_fifo.mem[3][5] ),
+    .B2(_4342_),
+    .X(_0162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8722_ (.A(_3749_),
+    .X(_4345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8723_ (.A1(_4343_),
+    .A2(_4344_),
+    .A3(\u_spictrl.res_fifo_wdata[4] ),
+    .B1(\u_m0_res_fifo.mem[3][4] ),
+    .B2(_4345_),
+    .X(_0161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8724_ (.A(_3756_),
+    .X(_4346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8725_ (.A(_3759_),
+    .X(_4347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8726_ (.A1(_4346_),
+    .A2(_4347_),
+    .A3(\u_spictrl.res_fifo_wdata[3] ),
+    .B1(\u_m0_res_fifo.mem[3][3] ),
+    .B2(_4345_),
+    .X(_0160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8727_ (.A1(_4346_),
+    .A2(_4347_),
+    .A3(\u_spictrl.res_fifo_wdata[2] ),
+    .B1(\u_m0_res_fifo.mem[3][2] ),
+    .B2(_4345_),
+    .X(_0159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8728_ (.A1(_4346_),
+    .A2(_4347_),
+    .A3(\u_spictrl.res_fifo_wdata[1] ),
+    .B1(\u_m0_res_fifo.mem[3][1] ),
+    .B2(_4345_),
+    .X(_0158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8729_ (.A1(_4346_),
+    .A2(_4347_),
+    .A3(\u_spictrl.res_fifo_wdata[0] ),
+    .B1(\u_m0_res_fifo.mem[3][0] ),
+    .B2(_3754_),
+    .X(_0157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8730_ (.A(_1873_),
+    .X(_4348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8731_ (.A1_N(_4348_),
+    .A2_N(_3784_),
+    .B1(\u_m1_cmd_fifo.mem[3][31] ),
+    .B2(_4348_),
+    .X(_0156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8732_ (.A1_N(_4348_),
+    .A2_N(_3788_),
+    .B1(\u_m1_cmd_fifo.mem[3][30] ),
+    .B2(_4348_),
+    .X(_0155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8733_ (.A(_1873_),
+    .X(_4349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8734_ (.A1_N(_4349_),
+    .A2_N(_3795_),
+    .B1(\u_m1_cmd_fifo.mem[3][29] ),
+    .B2(_4349_),
+    .X(_0154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8735_ (.A1_N(_4349_),
+    .A2_N(_3802_),
+    .B1(\u_m1_cmd_fifo.mem[3][28] ),
+    .B2(_4349_),
+    .X(_0153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8736_ (.A(_1872_),
+    .X(_4350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8737_ (.A(_4350_),
+    .X(_4351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8738_ (.A(_4351_),
+    .X(_4352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8739_ (.A1_N(_4352_),
+    .A2_N(_3811_),
+    .B1(\u_m1_cmd_fifo.mem[3][27] ),
+    .B2(_4352_),
+    .X(_0152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8740_ (.A1_N(_4352_),
+    .A2_N(_3815_),
+    .B1(\u_m1_cmd_fifo.mem[3][26] ),
+    .B2(_4352_),
+    .X(_0151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8741_ (.A(_4351_),
+    .X(_4353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8742_ (.A1_N(_4353_),
+    .A2_N(_3821_),
+    .B1(\u_m1_cmd_fifo.mem[3][25] ),
+    .B2(_4353_),
+    .X(_0150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8743_ (.A1_N(_4353_),
+    .A2_N(_3827_),
+    .B1(\u_m1_cmd_fifo.mem[3][24] ),
+    .B2(_4353_),
+    .X(_0149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8744_ (.A(_4351_),
+    .X(_4354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8745_ (.A1_N(_4354_),
+    .A2_N(_3838_),
+    .B1(\u_m1_cmd_fifo.mem[3][23] ),
+    .B2(_4354_),
+    .X(_0148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8746_ (.A1_N(_4354_),
+    .A2_N(_3842_),
+    .B1(\u_m1_cmd_fifo.mem[3][22] ),
+    .B2(_4354_),
+    .X(_0147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8747_ (.A(_4351_),
+    .X(_4355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8748_ (.A1_N(_4355_),
+    .A2_N(_3848_),
+    .B1(\u_m1_cmd_fifo.mem[3][21] ),
+    .B2(_4355_),
+    .X(_0146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8749_ (.A1_N(_4355_),
+    .A2_N(_3854_),
+    .B1(\u_m1_cmd_fifo.mem[3][20] ),
+    .B2(_4355_),
+    .X(_0145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8750_ (.A(_4350_),
+    .X(_4356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8751_ (.A(_4356_),
+    .X(_4357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8752_ (.A1_N(_4357_),
+    .A2_N(_3861_),
+    .B1(\u_m1_cmd_fifo.mem[3][19] ),
+    .B2(_4357_),
+    .X(_0144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8753_ (.A1_N(_4357_),
+    .A2_N(_3866_),
+    .B1(\u_m1_cmd_fifo.mem[3][18] ),
+    .B2(_4357_),
+    .X(_0143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8754_ (.A(_4356_),
+    .X(_4358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8755_ (.A1_N(_4358_),
+    .A2_N(_3873_),
+    .B1(\u_m1_cmd_fifo.mem[3][17] ),
+    .B2(_4358_),
+    .X(_0142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8756_ (.A1_N(_4358_),
+    .A2_N(_3878_),
+    .B1(\u_m1_cmd_fifo.mem[3][16] ),
+    .B2(_4358_),
+    .X(_0141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8757_ (.A(_4356_),
+    .X(_4359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8758_ (.A1_N(_4359_),
+    .A2_N(_3886_),
+    .B1(\u_m1_cmd_fifo.mem[3][15] ),
+    .B2(_4359_),
+    .X(_0140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8759_ (.A1_N(_4359_),
+    .A2_N(_3891_),
+    .B1(\u_m1_cmd_fifo.mem[3][14] ),
+    .B2(_4359_),
+    .X(_0139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8760_ (.A(_4356_),
+    .X(_4360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8761_ (.A1_N(_4360_),
+    .A2_N(_3898_),
+    .B1(\u_m1_cmd_fifo.mem[3][13] ),
+    .B2(_4360_),
+    .X(_0138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8762_ (.A1_N(_4360_),
+    .A2_N(_3904_),
+    .B1(\u_m1_cmd_fifo.mem[3][12] ),
+    .B2(_4360_),
+    .X(_0137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8763_ (.A(_1872_),
+    .X(_4361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8764_ (.A(_4361_),
+    .X(_4362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8765_ (.A1_N(_4362_),
+    .A2_N(_3910_),
+    .B1(\u_m1_cmd_fifo.mem[3][11] ),
+    .B2(_4362_),
+    .X(_0136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8766_ (.A1_N(_4362_),
+    .A2_N(_3915_),
+    .B1(\u_m1_cmd_fifo.mem[3][10] ),
+    .B2(_4362_),
+    .X(_0135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8767_ (.A(_4361_),
+    .X(_4363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8768_ (.A1_N(_4363_),
+    .A2_N(_3921_),
+    .B1(\u_m1_cmd_fifo.mem[3][9] ),
+    .B2(_4363_),
+    .X(_0134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8769_ (.A1_N(_4363_),
+    .A2_N(_3927_),
+    .B1(\u_m1_cmd_fifo.mem[3][8] ),
+    .B2(_4363_),
+    .X(_0133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8770_ (.A(_4361_),
+    .X(_4364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8771_ (.A1_N(_4364_),
+    .A2_N(_3935_),
+    .B1(\u_m1_cmd_fifo.mem[3][7] ),
+    .B2(_4364_),
+    .X(_0132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8772_ (.A1_N(_4364_),
+    .A2_N(_3940_),
+    .B1(\u_m1_cmd_fifo.mem[3][6] ),
+    .B2(_4364_),
+    .X(_0131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8773_ (.A(_4361_),
+    .X(_4365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8774_ (.A1_N(_4365_),
+    .A2_N(_3946_),
+    .B1(\u_m1_cmd_fifo.mem[3][5] ),
+    .B2(_4365_),
+    .X(_0130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8775_ (.A1_N(_4365_),
+    .A2_N(_3952_),
+    .B1(\u_m1_cmd_fifo.mem[3][4] ),
+    .B2(_4365_),
+    .X(_0129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8776_ (.A(_4350_),
+    .X(_4366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8777_ (.A1_N(_4366_),
+    .A2_N(_3959_),
+    .B1(\u_m1_cmd_fifo.mem[3][3] ),
+    .B2(_4366_),
+    .X(_0128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8778_ (.A1_N(_4366_),
+    .A2_N(_3963_),
+    .B1(\u_m1_cmd_fifo.mem[3][2] ),
+    .B2(_4366_),
+    .X(_0127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8779_ (.A(_4350_),
+    .X(_4367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8780_ (.A1_N(_4367_),
+    .A2_N(_3968_),
+    .B1(\u_m1_cmd_fifo.mem[3][1] ),
+    .B2(_4367_),
+    .X(_0126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8781_ (.A1_N(_4367_),
+    .A2_N(_3972_),
+    .B1(\u_m1_cmd_fifo.mem[3][0] ),
+    .B2(_4367_),
+    .X(_0125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8782_ (.A(\u_m1_res_fifo.mem[0][31] ),
+    .Y(_4368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8783_ (.A(\u_spictrl.res_fifo_wr ),
+    .B(\u_spictrl.gnt[1] ),
+    .C(_0914_),
+    .X(_4369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8784_ (.A(_4369_),
+    .X(_4370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8785_ (.A(_4370_),
+    .X(_4371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8786_ (.A1_N(_4368_),
+    .A2_N(_4371_),
+    .B1(_3975_),
+    .B2(_4371_),
+    .X(_0124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8787_ (.A(\u_m1_res_fifo.mem[0][30] ),
+    .Y(_4372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8788_ (.A1_N(_4372_),
+    .A2_N(_4371_),
+    .B1(_3977_),
+    .B2(_4371_),
+    .X(_0123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8789_ (.A(\u_m1_res_fifo.mem[0][29] ),
+    .Y(_4373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8790_ (.A(_4370_),
+    .X(_4374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8791_ (.A1_N(_4373_),
+    .A2_N(_4374_),
+    .B1(_3980_),
+    .B2(_4374_),
+    .X(_0122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8792_ (.A1_N(_1004_),
+    .A2_N(_4374_),
+    .B1(_3981_),
+    .B2(_4374_),
+    .X(_0121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8793_ (.A(_4370_),
+    .X(_4375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8794_ (.A1_N(_1027_),
+    .A2_N(_4375_),
+    .B1(_3983_),
+    .B2(_4375_),
+    .X(_0120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8795_ (.A(\u_m1_res_fifo.mem[0][26] ),
+    .Y(_4376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8796_ (.A1_N(_4376_),
+    .A2_N(_4375_),
+    .B1(_3985_),
+    .B2(_4375_),
+    .X(_0119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8797_ (.A(\u_m1_res_fifo.mem[0][25] ),
+    .Y(_4377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8798_ (.A(_4370_),
+    .X(_4378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8799_ (.A1_N(_4377_),
+    .A2_N(_4378_),
+    .B1(_3989_),
+    .B2(_4378_),
+    .X(_0118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8800_ (.A(\u_m1_res_fifo.mem[0][24] ),
+    .Y(_4379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8801_ (.A1_N(_4379_),
+    .A2_N(_4378_),
+    .B1(_3991_),
+    .B2(_4378_),
+    .X(_0117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8802_ (.A(\u_m1_res_fifo.mem[0][23] ),
+    .Y(_4380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8803_ (.A(_4369_),
+    .X(_4381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8804_ (.A(_4381_),
+    .X(_4382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8805_ (.A1_N(_4380_),
+    .A2_N(_4382_),
+    .B1(_3994_),
+    .B2(_4382_),
+    .X(_0116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8806_ (.A1_N(_1111_),
+    .A2_N(_4382_),
+    .B1(_3995_),
+    .B2(_4382_),
+    .X(_0115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8807_ (.A(_4381_),
+    .X(_4383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8808_ (.A1_N(_1125_),
+    .A2_N(_4383_),
+    .B1(_3997_),
+    .B2(_4383_),
+    .X(_0114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8809_ (.A(\u_m1_res_fifo.mem[0][20] ),
+    .Y(_4384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8810_ (.A1_N(_4384_),
+    .A2_N(_4383_),
+    .B1(_3999_),
+    .B2(_4383_),
+    .X(_0113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8811_ (.A(\u_m1_res_fifo.mem[0][19] ),
+    .Y(_4385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8812_ (.A(_4381_),
+    .X(_4386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8813_ (.A1_N(_4385_),
+    .A2_N(_4386_),
+    .B1(_4002_),
+    .B2(_4386_),
+    .X(_0112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8814_ (.A(\u_m1_res_fifo.mem[0][18] ),
+    .Y(_4387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8815_ (.A1_N(_4387_),
+    .A2_N(_4386_),
+    .B1(_4004_),
+    .B2(_4386_),
+    .X(_0111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8816_ (.A(\u_m1_res_fifo.mem[0][17] ),
+    .Y(_4388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8817_ (.A(_4381_),
+    .X(_4389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8818_ (.A1_N(_4388_),
+    .A2_N(_4389_),
+    .B1(_4008_),
+    .B2(_4389_),
+    .X(_0110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8819_ (.A(\u_m1_res_fifo.mem[0][16] ),
+    .Y(_4390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8820_ (.A1_N(_4390_),
+    .A2_N(_4389_),
+    .B1(_4010_),
+    .B2(_4389_),
+    .X(_0109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8821_ (.A(\u_m1_res_fifo.mem[0][15] ),
+    .Y(_4391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8822_ (.A(_4369_),
+    .X(_4392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8823_ (.A(_4392_),
+    .X(_4393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8824_ (.A1_N(_4391_),
+    .A2_N(_4393_),
+    .B1(_4013_),
+    .B2(_4393_),
+    .X(_0108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8825_ (.A1_N(_1218_),
+    .A2_N(_4393_),
+    .B1(_4014_),
+    .B2(_4393_),
+    .X(_0107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8826_ (.A(_4392_),
+    .X(_4394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8827_ (.A1_N(_1234_),
+    .A2_N(_4394_),
+    .B1(_4016_),
+    .B2(_4394_),
+    .X(_0106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8828_ (.A(\u_m1_res_fifo.mem[0][12] ),
+    .Y(_4395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8829_ (.A1_N(_4395_),
+    .A2_N(_4394_),
+    .B1(_4018_),
+    .B2(_4394_),
+    .X(_0105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8830_ (.A(_4392_),
+    .X(_4396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8831_ (.A1_N(_1258_),
+    .A2_N(_4396_),
+    .B1(_4020_),
+    .B2(_4396_),
+    .X(_0104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8832_ (.A1_N(_1272_),
+    .A2_N(_4396_),
+    .B1(_4021_),
+    .B2(_4396_),
+    .X(_0103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8833_ (.A(_4392_),
+    .X(_4397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8834_ (.A1_N(_1289_),
+    .A2_N(_4397_),
+    .B1(_4024_),
+    .B2(_4397_),
+    .X(_0102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8835_ (.A1_N(_1311_),
+    .A2_N(_4397_),
+    .B1(_4025_),
+    .B2(_4397_),
+    .X(_0101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8836_ (.A(\u_m1_res_fifo.mem[0][7] ),
+    .Y(_4398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8837_ (.A(_4369_),
+    .X(_4399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8838_ (.A(_4399_),
+    .X(_4400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8839_ (.A1_N(_4398_),
+    .A2_N(_4400_),
+    .B1(_4028_),
+    .B2(_4400_),
+    .X(_0100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8840_ (.A(\u_m1_res_fifo.mem[0][6] ),
+    .Y(_4401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8841_ (.A1_N(_4401_),
+    .A2_N(_4400_),
+    .B1(_4030_),
+    .B2(_4400_),
+    .X(_0099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8842_ (.A(\u_m1_res_fifo.mem[0][5] ),
+    .Y(_4402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8843_ (.A(_4399_),
+    .X(_4403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8844_ (.A1_N(_4402_),
+    .A2_N(_4403_),
+    .B1(_4033_),
+    .B2(_4403_),
+    .X(_0098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8845_ (.A(\u_m1_res_fifo.mem[0][4] ),
+    .Y(_4404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8846_ (.A1_N(_4404_),
+    .A2_N(_4403_),
+    .B1(_4035_),
+    .B2(_4403_),
+    .X(_0097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8847_ (.A(_4399_),
+    .X(_4405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8848_ (.A1_N(_1371_),
+    .A2_N(_4405_),
+    .B1(_4037_),
+    .B2(_4405_),
+    .X(_0096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8849_ (.A1_N(_1383_),
+    .A2_N(_4405_),
+    .B1(_4038_),
+    .B2(_4405_),
+    .X(_0095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8850_ (.A(_4399_),
+    .X(_4406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8851_ (.A1_N(_1395_),
+    .A2_N(_4406_),
+    .B1(_4040_),
+    .B2(_4406_),
+    .X(_0094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8852_ (.A1_N(_1400_),
+    .A2_N(_4406_),
+    .B1(_4041_),
+    .B2(_4406_),
+    .X(_0093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8853_ (.A(\u_m0_res_fifo.mem[2][31] ),
+    .Y(_4407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _8854_ (.A(_3714_),
+    .B(\u_spictrl.res_fifo_wr ),
+    .C(_3756_),
+    .D(_3747_),
+    .X(_4408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8855_ (.A(_4408_),
+    .X(_4409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8856_ (.A(_4409_),
+    .X(_4410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8857_ (.A1_N(_4407_),
+    .A2_N(_4410_),
+    .B1(_3975_),
+    .B2(_4410_),
+    .X(_0092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8858_ (.A(\u_m0_res_fifo.mem[2][30] ),
+    .Y(_4411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8859_ (.A1_N(_4411_),
+    .A2_N(_4410_),
+    .B1(_3977_),
+    .B2(_4410_),
+    .X(_0091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8860_ (.A(\u_m0_res_fifo.mem[2][29] ),
+    .Y(_4412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8861_ (.A(_4409_),
+    .X(_4413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8862_ (.A1_N(_4412_),
+    .A2_N(_4413_),
+    .B1(_3980_),
+    .B2(_4413_),
+    .X(_0090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8863_ (.A(\u_m0_res_fifo.mem[2][28] ),
+    .Y(_4414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8864_ (.A1_N(_4414_),
+    .A2_N(_4413_),
+    .B1(_3981_),
+    .B2(_4413_),
+    .X(_0089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8865_ (.A(\u_m0_res_fifo.mem[2][27] ),
+    .Y(_4415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8866_ (.A(_4409_),
+    .X(_4416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8867_ (.A1_N(_4415_),
+    .A2_N(_4416_),
+    .B1(_3983_),
+    .B2(_4416_),
+    .X(_0088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8868_ (.A(\u_m0_res_fifo.mem[2][26] ),
+    .Y(_4417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8869_ (.A1_N(_4417_),
+    .A2_N(_4416_),
+    .B1(_3985_),
+    .B2(_4416_),
+    .X(_0087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8870_ (.A(\u_m0_res_fifo.mem[2][25] ),
+    .Y(_4418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8871_ (.A(_4409_),
+    .X(_4419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8872_ (.A1_N(_4418_),
+    .A2_N(_4419_),
+    .B1(_3989_),
+    .B2(_4419_),
+    .X(_0086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8873_ (.A(\u_m0_res_fifo.mem[2][24] ),
+    .Y(_4420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8874_ (.A1_N(_4420_),
+    .A2_N(_4419_),
+    .B1(_3991_),
+    .B2(_4419_),
+    .X(_0085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8875_ (.A(\u_m0_res_fifo.mem[2][23] ),
+    .Y(_4421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8876_ (.A(_4408_),
+    .X(_4422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8877_ (.A(_4422_),
+    .X(_4423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8878_ (.A1_N(_4421_),
+    .A2_N(_4423_),
+    .B1(_3994_),
+    .B2(_4423_),
+    .X(_0084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8879_ (.A(\u_m0_res_fifo.mem[2][22] ),
+    .Y(_4424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8880_ (.A1_N(_4424_),
+    .A2_N(_4423_),
+    .B1(_3995_),
+    .B2(_4423_),
+    .X(_0083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8881_ (.A(\u_m0_res_fifo.mem[2][21] ),
+    .Y(_4425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8882_ (.A(_4422_),
+    .X(_4426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8883_ (.A1_N(_4425_),
+    .A2_N(_4426_),
+    .B1(_3997_),
+    .B2(_4426_),
+    .X(_0082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8884_ (.A(\u_m0_res_fifo.mem[2][20] ),
+    .Y(_4427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8885_ (.A1_N(_4427_),
+    .A2_N(_4426_),
+    .B1(_3999_),
+    .B2(_4426_),
+    .X(_0081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8886_ (.A(\u_m0_res_fifo.mem[2][19] ),
+    .Y(_4428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8887_ (.A(_4422_),
+    .X(_4429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8888_ (.A1_N(_4428_),
+    .A2_N(_4429_),
+    .B1(_4002_),
+    .B2(_4429_),
+    .X(_0080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8889_ (.A(\u_m0_res_fifo.mem[2][18] ),
+    .Y(_4430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8890_ (.A1_N(_4430_),
+    .A2_N(_4429_),
+    .B1(_4004_),
+    .B2(_4429_),
+    .X(_0079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8891_ (.A(\u_m0_res_fifo.mem[2][17] ),
+    .Y(_4431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8892_ (.A(_4422_),
+    .X(_4432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8893_ (.A1_N(_4431_),
+    .A2_N(_4432_),
+    .B1(_4008_),
+    .B2(_4432_),
+    .X(_0078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8894_ (.A(\u_m0_res_fifo.mem[2][16] ),
+    .Y(_4433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8895_ (.A1_N(_4433_),
+    .A2_N(_4432_),
+    .B1(_4010_),
+    .B2(_4432_),
+    .X(_0077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8896_ (.A(\u_m0_res_fifo.mem[2][15] ),
+    .Y(_4434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8897_ (.A(_4408_),
+    .X(_4435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8898_ (.A(_4435_),
+    .X(_4436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8899_ (.A1_N(_4434_),
+    .A2_N(_4436_),
+    .B1(_4013_),
+    .B2(_4436_),
+    .X(_0076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8900_ (.A(\u_m0_res_fifo.mem[2][14] ),
+    .Y(_4437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8901_ (.A1_N(_4437_),
+    .A2_N(_4436_),
+    .B1(_4014_),
+    .B2(_4436_),
+    .X(_0075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8902_ (.A(\u_m0_res_fifo.mem[2][13] ),
+    .Y(_4438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8903_ (.A(_4435_),
+    .X(_4439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8904_ (.A1_N(_4438_),
+    .A2_N(_4439_),
+    .B1(_4016_),
+    .B2(_4439_),
+    .X(_0074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8905_ (.A(\u_m0_res_fifo.mem[2][12] ),
+    .Y(_4440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8906_ (.A1_N(_4440_),
+    .A2_N(_4439_),
+    .B1(_4018_),
+    .B2(_4439_),
+    .X(_0073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8907_ (.A(\u_m0_res_fifo.mem[2][11] ),
+    .Y(_4441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8908_ (.A(_4435_),
+    .X(_4442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8909_ (.A1_N(_4441_),
+    .A2_N(_4442_),
+    .B1(_4020_),
+    .B2(_4442_),
+    .X(_0072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8910_ (.A(\u_m0_res_fifo.mem[2][10] ),
+    .Y(_4443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8911_ (.A1_N(_4443_),
+    .A2_N(_4442_),
+    .B1(_4021_),
+    .B2(_4442_),
+    .X(_0071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8912_ (.A(\u_m0_res_fifo.mem[2][9] ),
+    .Y(_4444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8913_ (.A(_4435_),
+    .X(_4445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8914_ (.A1_N(_4444_),
+    .A2_N(_4445_),
+    .B1(_4024_),
+    .B2(_4445_),
+    .X(_0070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8915_ (.A(\u_m0_res_fifo.mem[2][8] ),
+    .Y(_4446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8916_ (.A1_N(_4446_),
+    .A2_N(_4445_),
+    .B1(_4025_),
+    .B2(_4445_),
+    .X(_0069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8917_ (.A(\u_m0_res_fifo.mem[2][7] ),
+    .Y(_4447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8918_ (.A(_4408_),
+    .X(_4448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8919_ (.A(_4448_),
+    .X(_4449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8920_ (.A1_N(_4447_),
+    .A2_N(_4449_),
+    .B1(_4028_),
+    .B2(_4449_),
+    .X(_0068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8921_ (.A(\u_m0_res_fifo.mem[2][6] ),
+    .Y(_4450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8922_ (.A1_N(_4450_),
+    .A2_N(_4449_),
+    .B1(_4030_),
+    .B2(_4449_),
+    .X(_0067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8923_ (.A(\u_m0_res_fifo.mem[2][5] ),
+    .Y(_4451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8924_ (.A(_4448_),
+    .X(_4452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8925_ (.A1_N(_4451_),
+    .A2_N(_4452_),
+    .B1(_4033_),
+    .B2(_4452_),
+    .X(_0066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8926_ (.A(\u_m0_res_fifo.mem[2][4] ),
+    .Y(_4453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8927_ (.A1_N(_4453_),
+    .A2_N(_4452_),
+    .B1(_4035_),
+    .B2(_4452_),
+    .X(_0065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8928_ (.A(\u_m0_res_fifo.mem[2][3] ),
+    .Y(_4454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8929_ (.A(_4448_),
+    .X(_4455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8930_ (.A1_N(_4454_),
+    .A2_N(_4455_),
+    .B1(_4037_),
+    .B2(_4455_),
+    .X(_0064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8931_ (.A(\u_m0_res_fifo.mem[2][2] ),
+    .Y(_4456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8932_ (.A1_N(_4456_),
+    .A2_N(_4455_),
+    .B1(_4038_),
+    .B2(_4455_),
+    .X(_0063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8933_ (.A(\u_m0_res_fifo.mem[2][1] ),
+    .Y(_4457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8934_ (.A(_4448_),
+    .X(_4458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8935_ (.A1_N(_4457_),
+    .A2_N(_4458_),
+    .B1(_4040_),
+    .B2(_4458_),
+    .X(_0062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8936_ (.A(\u_m0_res_fifo.mem[2][0] ),
+    .Y(_4459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8937_ (.A1_N(_4459_),
+    .A2_N(_4458_),
+    .B1(_4041_),
+    .B2(_4458_),
+    .X(_0061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8938_ (.A(_1068_),
+    .Y(spi_debug[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _8939_ (.A(_1101_),
+    .B(_3114_),
+    .X(_4460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8940_ (.A1(spi_debug[27]),
+    .A2(_3117_),
+    .B1(\u_spictrl.gnt[1] ),
+    .B2(_4460_),
     .X(_0000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _5168_ (.A1(_0467_),
-    .A2(_0582_),
-    .B1(\u_spim_regs.reg2spi_csreg[3] ),
-    .B2(_0473_),
-    .X(_0454_),
+ sky130_fd_sc_hd__inv_2 _8941_ (.A(_1859_),
+    .Y(_4461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _5169_ (.LO(events_o[0]),
+ sky130_fd_sc_hd__a21o_4 _8942_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_4461_),
+    .B1(_2099_),
+    .X(_0009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _5170_ (.LO(events_o[1]),
+ sky130_fd_sc_hd__a32o_4 _8943_ (.A1(_3708_),
+    .A2(_1718_),
+    .A3(\u_spim_regs.spi_init_state[0] ),
+    .B1(_1855_),
+    .B2(\u_spim_regs.spi_init_state[4] ),
+    .X(_0010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _5171_ (.LO(io_oeb[0]),
+ sky130_fd_sc_hd__a32o_4 _8944_ (.A1(_3708_),
+    .A2(_1720_),
+    .A3(\u_spim_regs.spi_init_state[1] ),
+    .B1(_1855_),
+    .B2(\u_spim_regs.spi_init_state[5] ),
+    .X(_0011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _5172_ (.LO(io_oeb[1]),
+ sky130_fd_sc_hd__a32o_4 _8945_ (.A1(_3708_),
+    .A2(_1720_),
+    .A3(\u_spim_regs.spi_init_state[2] ),
+    .B1(_1855_),
+    .B2(\u_spim_regs.spi_init_state[6] ),
+    .X(_0012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _5173_ (.LO(wbd_err_o),
+ sky130_fd_sc_hd__a21o_4 _8946_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_1859_),
+    .B1(\u_spim_regs.spi_init_state[7] ),
+    .X(_0013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5174_ (.A(io_oeb[5]),
+ sky130_fd_sc_hd__a2bb2o_4 _8947_ (.A1_N(_3669_),
+    .A2_N(_1771_),
+    .B1(\u_spim_regs.spi_init_state[2] ),
+    .B2(_4461_),
+    .X(_0008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _8948_ (.A1(\u_spim_regs.spi_init_state[1] ),
+    .A2(_4461_),
+    .B1(_1794_),
+    .X(_0007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _8949_ (.A1(_3669_),
+    .A2(_1717_),
+    .B1(\u_spim_regs.spi_init_state[0] ),
+    .X(_0006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _8950_ (.A(_3406_),
+    .B(_3100_),
+    .C(_3404_),
+    .X(_4462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8951_ (.A(_3400_),
+    .X(_4463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8952_ (.A(_3391_),
+    .Y(_4464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _8953_ (.A1(_3190_),
+    .A2(_4463_),
+    .B1(_4464_),
+    .X(_4465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8954_ (.A1_N(_4462_),
+    .A2_N(_4465_),
+    .B1(_3391_),
+    .B2(_3420_),
+    .X(_0005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8955_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .Y(_4466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _8956_ (.A(_3419_),
+    .B(_4462_),
+    .X(_4467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8957_ (.A(_3406_),
+    .X(_4468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _8958_ (.A(_4468_),
+    .B(psn_net_20),
+    .C(\u_spictrl.rx_done ),
+    .D(_4463_),
+    .X(_4469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8959_ (.A1(_4466_),
+    .A2(_4467_),
+    .B1(_3410_),
+    .B2(_4469_),
+    .X(_4470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8960_ (.A(_4470_),
+    .Y(_0003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8961_ (.A(_0005_),
+    .B(_0003_),
+    .X(_0057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8962_ (.A1(\u_spictrl.rx_done ),
+    .A2(_4463_),
+    .B1(_4468_),
+    .B2(_4466_),
+    .X(_4471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8963_ (.A1_N(_3394_),
+    .A2_N(_4471_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .B2(_3407_),
+    .X(_4472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _8964_ (.A1(\u_spictrl.spi_rise ),
+    .A2(_3123_),
+    .A3(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .B1(_3103_),
+    .B2(_4472_),
+    .X(_0004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8965_ (.A(_0005_),
+    .B(_0004_),
+    .X(_0056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _8966_ (.A1(_4468_),
+    .A2(_3119_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .X(_4473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _8967_ (.A(_4468_),
+    .B(_4465_),
+    .C(_3394_),
+    .X(_4474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8968_ (.A(_4474_),
+    .Y(_4475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _8969_ (.A(_2218_),
+    .B(_4473_),
+    .C(_4475_),
+    .X(_0002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _8970_ (.A1(spi_debug[27]),
+    .A2(_3132_),
+    .B1(_3725_),
+    .B2(_4460_),
+    .X(_4476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8971_ (.A(_4476_),
+    .Y(_0001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8972_ (.A(_0999_),
+    .Y(spi_debug[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _8973_ (.A(_2316_),
+    .B(io_oeb[3]),
+    .X(io_oeb[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8974_ (.A(_4463_),
+    .B(_3407_),
+    .X(_4477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8975_ (.A(_4464_),
+    .B(_4466_),
+    .C(_4477_),
+    .X(_4478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _8976_ (.A(_3034_),
+    .B(_4478_),
+    .Y(_0055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8977_ (.A(_3117_),
+    .B(_2244_),
+    .C(\u_spictrl.u_txreg.data_ready_f ),
+    .X(_0058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8978_ (.A(_3483_),
+    .B(_3489_),
+    .X(_0016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8979_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .X(_4479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8980_ (.A(_3483_),
+    .B(_3480_),
+    .X(_4480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _8981_ (.A(_3488_),
+    .X(_4481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8982_ (.A(_4479_),
+    .B(_4480_),
+    .C(_4481_),
+    .X(_0017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _8983_ (.A(_3485_),
+    .B(_4480_),
+    .Y(_4482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8984_ (.A(_3485_),
+    .B(_4480_),
+    .X(_4483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8985_ (.A(_4482_),
+    .B(_4483_),
+    .C(_4481_),
+    .X(_0018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _8986_ (.A(_3473_),
+    .B(_4483_),
+    .Y(_4484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8987_ (.A(_3473_),
+    .B(_4483_),
+    .X(_4485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8988_ (.A(_4484_),
+    .B(_4485_),
+    .C(_4481_),
+    .X(_0019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _8989_ (.A(_3475_),
+    .B(_4485_),
+    .Y(_4486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8990_ (.A(_3475_),
+    .B(_4485_),
+    .X(_4487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _8991_ (.A(_4486_),
+    .B(_4487_),
+    .C(_3488_),
+    .X(_0020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _8992_ (.A(_3478_),
+    .B(_4487_),
+    .Y(_4488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _8993_ (.A1(_3478_),
+    .A2(_4487_),
+    .B1(_3489_),
+    .C1(_4488_),
+    .X(_4489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8994_ (.A(_4489_),
+    .Y(_0021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _8995_ (.A(_4481_),
+    .B(_0014_),
+    .Y(_0022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _8996_ (.A1_N(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .A2_N(_3723_),
+    .B1(_1407_),
+    .B2(_3723_),
+    .X(_4490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _8997_ (.A(_2218_),
+    .B(_4490_),
+    .X(_0015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _8998_ (.A1(\u_spim_regs.spi_init_state[7] ),
+    .A2(_1718_),
+    .B1(_3669_),
+    .X(_0060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _8999_ (.A(\u_spim_regs.spim_reg_rdata[31] ),
+    .Y(_4491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _9000_ (.A1(_1172_),
+    .A2(\u_m1_res_fifo.mem[0][31] ),
+    .B1(_0948_),
+    .B2(\u_m1_res_fifo.mem[1][31] ),
+    .X(_4492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _9001_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .B(_1184_),
+    .X(_4493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _9002_ (.A1_N(_2059_),
+    .A2_N(_1189_),
+    .B1(\u_spim_regs.cfg_m1_addr[31] ),
+    .B2(_1138_),
+    .X(_4494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _9003_ (.A1(_0943_),
+    .A2(_4492_),
+    .B1(_4493_),
+    .C1(_4494_),
+    .X(_4495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _9004_ (.A1_N(_4491_),
+    .A2_N(_0980_),
+    .B1(_0980_),
+    .B2(_4495_),
+    .X(_0907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9005_ (.LO(io_oeb[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9006_ (.LO(io_oeb[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9007_ (.LO(spi_debug[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9008_ (.LO(spi_debug[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9009_ (.LO(spi_debug[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9010_ (.LO(spi_debug[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9011_ (.LO(spi_debug[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9012_ (.LO(spi_debug[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9013_ (.LO(spi_debug[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9014_ (.LO(spi_debug[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9015_ (.LO(spi_debug[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9016_ (.LO(spi_debug[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9017_ (.LO(spi_debug[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9018_ (.LO(spi_debug[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9019_ (.LO(spi_debug[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9020_ (.LO(spi_debug[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _9021_ (.LO(wbd_err_o),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _9022_ (.A(psn_net_45),
     .X(io_oeb[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5175_ (.A(io_oeb[5]),
-    .X(io_oeb[3]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _5176_ (.A(io_oeb[5]),
+ sky130_fd_sc_hd__buf_2 _9023_ (.A(io_oeb[5]),
     .X(io_oeb[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5177_ (.D(_0025_),
-    .Q(\u_spim_regs.spim_reg_rdata[0] ),
-    .CLK(clknet_6_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9024_ (.D(_0061_),
+    .Q(\u_m0_res_fifo.mem[2][0] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5178_ (.D(_0026_),
-    .Q(\u_spim_regs.spim_reg_rdata[1] ),
-    .CLK(clknet_6_28_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9025_ (.D(_0062_),
+    .Q(\u_m0_res_fifo.mem[2][1] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5179_ (.D(_0027_),
-    .Q(\u_spim_regs.spim_reg_rdata[2] ),
-    .CLK(clknet_6_28_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9026_ (.D(_0063_),
+    .Q(\u_m0_res_fifo.mem[2][2] ),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5180_ (.D(_0028_),
-    .Q(\u_spim_regs.spim_reg_rdata[3] ),
-    .CLK(clknet_6_29_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9027_ (.D(_0064_),
+    .Q(\u_m0_res_fifo.mem[2][3] ),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5181_ (.D(_0029_),
-    .Q(\u_spim_regs.spim_reg_rdata[4] ),
-    .CLK(clknet_6_29_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9028_ (.D(_0065_),
+    .Q(\u_m0_res_fifo.mem[2][4] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5182_ (.D(_0030_),
-    .Q(\u_spim_regs.spim_reg_rdata[5] ),
-    .CLK(clknet_6_28_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9029_ (.D(_0066_),
+    .Q(\u_m0_res_fifo.mem[2][5] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5183_ (.D(_0031_),
-    .Q(\u_spim_regs.spim_reg_rdata[6] ),
-    .CLK(clknet_6_28_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9030_ (.D(_0067_),
+    .Q(\u_m0_res_fifo.mem[2][6] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5184_ (.D(_0032_),
-    .Q(\u_spim_regs.spim_reg_rdata[7] ),
-    .CLK(clknet_6_23_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9031_ (.D(_0068_),
+    .Q(\u_m0_res_fifo.mem[2][7] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5185_ (.D(_0033_),
-    .Q(\u_spim_regs.spim_reg_rdata[8] ),
-    .CLK(clknet_6_25_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9032_ (.D(_0069_),
+    .Q(\u_m0_res_fifo.mem[2][8] ),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5186_ (.D(_0034_),
-    .Q(\u_spim_regs.spim_reg_rdata[9] ),
-    .CLK(clknet_6_25_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9033_ (.D(_0070_),
+    .Q(\u_m0_res_fifo.mem[2][9] ),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5187_ (.D(_0035_),
-    .Q(\u_spim_regs.spim_reg_rdata[10] ),
-    .CLK(clknet_6_25_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9034_ (.D(_0071_),
+    .Q(\u_m0_res_fifo.mem[2][10] ),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5188_ (.D(_0036_),
-    .Q(\u_spim_regs.spim_reg_rdata[11] ),
-    .CLK(clknet_6_25_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9035_ (.D(_0072_),
+    .Q(\u_m0_res_fifo.mem[2][11] ),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5189_ (.D(_0037_),
-    .Q(\u_spim_regs.spim_reg_rdata[12] ),
-    .CLK(clknet_6_18_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9036_ (.D(_0073_),
+    .Q(\u_m0_res_fifo.mem[2][12] ),
+    .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5190_ (.D(_0038_),
-    .Q(\u_spim_regs.spim_reg_rdata[13] ),
-    .CLK(clknet_6_18_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9037_ (.D(_0074_),
+    .Q(\u_m0_res_fifo.mem[2][13] ),
+    .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5191_ (.D(_0039_),
-    .Q(\u_spim_regs.spim_reg_rdata[14] ),
-    .CLK(clknet_6_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9038_ (.D(_0075_),
+    .Q(\u_m0_res_fifo.mem[2][14] ),
+    .CLK(clknet_7_60_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5192_ (.D(_0040_),
-    .Q(\u_spim_regs.spim_reg_rdata[15] ),
-    .CLK(clknet_6_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9039_ (.D(_0076_),
+    .Q(\u_m0_res_fifo.mem[2][15] ),
+    .CLK(clknet_7_60_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5193_ (.D(_0041_),
-    .Q(\u_spim_regs.spim_reg_rdata[16] ),
-    .CLK(clknet_6_19_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9040_ (.D(_0077_),
+    .Q(\u_m0_res_fifo.mem[2][16] ),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5194_ (.D(_0042_),
-    .Q(\u_spim_regs.spim_reg_rdata[17] ),
-    .CLK(clknet_6_18_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9041_ (.D(_0078_),
+    .Q(\u_m0_res_fifo.mem[2][17] ),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5195_ (.D(_0043_),
-    .Q(\u_spim_regs.spim_reg_rdata[18] ),
-    .CLK(clknet_6_19_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9042_ (.D(_0079_),
+    .Q(\u_m0_res_fifo.mem[2][18] ),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5196_ (.D(_0044_),
-    .Q(\u_spim_regs.spim_reg_rdata[19] ),
-    .CLK(clknet_6_18_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9043_ (.D(_0080_),
+    .Q(\u_m0_res_fifo.mem[2][19] ),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5197_ (.D(_0045_),
-    .Q(\u_spim_regs.spim_reg_rdata[20] ),
-    .CLK(clknet_6_6_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9044_ (.D(_0081_),
+    .Q(\u_m0_res_fifo.mem[2][20] ),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5198_ (.D(_0046_),
-    .Q(\u_spim_regs.spim_reg_rdata[21] ),
-    .CLK(clknet_6_7_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9045_ (.D(_0082_),
+    .Q(\u_m0_res_fifo.mem[2][21] ),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5199_ (.D(_0047_),
-    .Q(\u_spim_regs.spim_reg_rdata[22] ),
-    .CLK(clknet_6_6_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9046_ (.D(_0083_),
+    .Q(\u_m0_res_fifo.mem[2][22] ),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5200_ (.D(_0048_),
-    .Q(\u_spim_regs.spim_reg_rdata[23] ),
-    .CLK(clknet_6_6_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9047_ (.D(_0084_),
+    .Q(\u_m0_res_fifo.mem[2][23] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5201_ (.D(_0049_),
-    .Q(\u_spim_regs.spim_reg_rdata[24] ),
-    .CLK(clknet_6_16_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9048_ (.D(_0085_),
+    .Q(\u_m0_res_fifo.mem[2][24] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5202_ (.D(_0050_),
-    .Q(\u_spim_regs.spim_reg_rdata[25] ),
-    .CLK(clknet_6_16_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9049_ (.D(_0086_),
+    .Q(\u_m0_res_fifo.mem[2][25] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5203_ (.D(_0051_),
-    .Q(\u_spim_regs.spim_reg_rdata[26] ),
-    .CLK(clknet_6_16_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9050_ (.D(_0087_),
+    .Q(\u_m0_res_fifo.mem[2][26] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5204_ (.D(_0052_),
-    .Q(\u_spim_regs.spim_reg_rdata[27] ),
-    .CLK(clknet_6_17_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9051_ (.D(_0088_),
+    .Q(\u_m0_res_fifo.mem[2][27] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5205_ (.D(_0053_),
-    .Q(\u_spim_regs.spim_reg_rdata[28] ),
-    .CLK(clknet_6_18_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9052_ (.D(_0089_),
+    .Q(\u_m0_res_fifo.mem[2][28] ),
+    .CLK(clknet_7_46_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5206_ (.D(_0054_),
-    .Q(\u_spim_regs.spim_reg_rdata[29] ),
-    .CLK(clknet_6_17_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9053_ (.D(_0090_),
+    .Q(\u_m0_res_fifo.mem[2][29] ),
+    .CLK(clknet_7_43_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5207_ (.D(_0055_),
-    .Q(\u_spim_regs.spim_reg_rdata[30] ),
-    .CLK(clknet_6_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9054_ (.D(_0091_),
+    .Q(\u_m0_res_fifo.mem[2][30] ),
+    .CLK(clknet_7_43_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5208_ (.D(_0056_),
-    .Q(\u_spim_regs.spim_reg_rdata[31] ),
-    .CLK(clknet_6_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9055_ (.D(_0092_),
+    .Q(\u_m0_res_fifo.mem[2][31] ),
+    .CLK(clknet_7_43_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5209_ (.D(_0023_),
-    .Q(\u_spictrl.spi_fall ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9056_ (.D(_0093_),
+    .Q(\u_m1_res_fifo.mem[0][0] ),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5210_ (.D(_0016_),
-    .Q(\u_spictrl.spi_rise ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9057_ (.D(_0094_),
+    .Q(\u_m1_res_fifo.mem[0][1] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5211_ (.D(_0017_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9058_ (.D(_0095_),
+    .Q(\u_m1_res_fifo.mem[0][2] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5212_ (.D(_0018_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9059_ (.D(_0096_),
+    .Q(\u_m1_res_fifo.mem[0][3] ),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5213_ (.D(_0019_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9060_ (.D(_0097_),
+    .Q(\u_m1_res_fifo.mem[0][4] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5214_ (.D(_0020_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9061_ (.D(_0098_),
+    .Q(\u_m1_res_fifo.mem[0][5] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5215_ (.D(_0021_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9062_ (.D(_0099_),
+    .Q(\u_m1_res_fifo.mem[0][6] ),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5216_ (.D(_0022_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _9063_ (.D(_0100_),
+    .Q(\u_m1_res_fifo.mem[0][7] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5217_ (.D(_0024_),
+ sky130_fd_sc_hd__dfxtp_4 _9064_ (.D(_0101_),
+    .Q(\u_m1_res_fifo.mem[0][8] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9065_ (.D(_0102_),
+    .Q(\u_m1_res_fifo.mem[0][9] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9066_ (.D(_0103_),
+    .Q(\u_m1_res_fifo.mem[0][10] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9067_ (.D(_0104_),
+    .Q(\u_m1_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9068_ (.D(_0105_),
+    .Q(\u_m1_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9069_ (.D(_0106_),
+    .Q(\u_m1_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9070_ (.D(_0107_),
+    .Q(\u_m1_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9071_ (.D(_0108_),
+    .Q(\u_m1_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9072_ (.D(_0109_),
+    .Q(\u_m1_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9073_ (.D(_0110_),
+    .Q(\u_m1_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9074_ (.D(_0111_),
+    .Q(\u_m1_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9075_ (.D(_0112_),
+    .Q(\u_m1_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9076_ (.D(_0113_),
+    .Q(\u_m1_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9077_ (.D(_0114_),
+    .Q(\u_m1_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9078_ (.D(_0115_),
+    .Q(\u_m1_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9079_ (.D(_0116_),
+    .Q(\u_m1_res_fifo.mem[0][23] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9080_ (.D(_0117_),
+    .Q(\u_m1_res_fifo.mem[0][24] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9081_ (.D(_0118_),
+    .Q(\u_m1_res_fifo.mem[0][25] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9082_ (.D(_0119_),
+    .Q(\u_m1_res_fifo.mem[0][26] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9083_ (.D(_0120_),
+    .Q(\u_m1_res_fifo.mem[0][27] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9084_ (.D(_0121_),
+    .Q(\u_m1_res_fifo.mem[0][28] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9085_ (.D(_0122_),
+    .Q(\u_m1_res_fifo.mem[0][29] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9086_ (.D(_0123_),
+    .Q(\u_m1_res_fifo.mem[0][30] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9087_ (.D(_0124_),
+    .Q(\u_m1_res_fifo.mem[0][31] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9088_ (.D(_0125_),
+    .Q(\u_m1_cmd_fifo.mem[3][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9089_ (.D(_0126_),
+    .Q(\u_m1_cmd_fifo.mem[3][1] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9090_ (.D(_0127_),
+    .Q(\u_m1_cmd_fifo.mem[3][2] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9091_ (.D(_0128_),
+    .Q(\u_m1_cmd_fifo.mem[3][3] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9092_ (.D(_0129_),
+    .Q(\u_m1_cmd_fifo.mem[3][4] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9093_ (.D(_0130_),
+    .Q(\u_m1_cmd_fifo.mem[3][5] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9094_ (.D(_0131_),
+    .Q(\u_m1_cmd_fifo.mem[3][6] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9095_ (.D(_0132_),
+    .Q(\u_m1_cmd_fifo.mem[3][7] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9096_ (.D(_0133_),
+    .Q(\u_m1_cmd_fifo.mem[3][8] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9097_ (.D(_0134_),
+    .Q(\u_m1_cmd_fifo.mem[3][9] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9098_ (.D(_0135_),
+    .Q(\u_m1_cmd_fifo.mem[3][10] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9099_ (.D(_0136_),
+    .Q(\u_m1_cmd_fifo.mem[3][11] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9100_ (.D(_0137_),
+    .Q(\u_m1_cmd_fifo.mem[3][12] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9101_ (.D(_0138_),
+    .Q(\u_m1_cmd_fifo.mem[3][13] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9102_ (.D(_0139_),
+    .Q(\u_m1_cmd_fifo.mem[3][14] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9103_ (.D(_0140_),
+    .Q(\u_m1_cmd_fifo.mem[3][15] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9104_ (.D(_0141_),
+    .Q(\u_m1_cmd_fifo.mem[3][16] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9105_ (.D(_0142_),
+    .Q(\u_m1_cmd_fifo.mem[3][17] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9106_ (.D(_0143_),
+    .Q(\u_m1_cmd_fifo.mem[3][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9107_ (.D(_0144_),
+    .Q(\u_m1_cmd_fifo.mem[3][19] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9108_ (.D(_0145_),
+    .Q(\u_m1_cmd_fifo.mem[3][20] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9109_ (.D(_0146_),
+    .Q(\u_m1_cmd_fifo.mem[3][21] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9110_ (.D(_0147_),
+    .Q(\u_m1_cmd_fifo.mem[3][22] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9111_ (.D(_0148_),
+    .Q(\u_m1_cmd_fifo.mem[3][23] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9112_ (.D(_0149_),
+    .Q(\u_m1_cmd_fifo.mem[3][24] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9113_ (.D(_0150_),
+    .Q(\u_m1_cmd_fifo.mem[3][25] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9114_ (.D(_0151_),
+    .Q(\u_m1_cmd_fifo.mem[3][26] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9115_ (.D(_0152_),
+    .Q(\u_m1_cmd_fifo.mem[3][27] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9116_ (.D(_0153_),
+    .Q(\u_m1_cmd_fifo.mem[3][28] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9117_ (.D(_0154_),
+    .Q(\u_m1_cmd_fifo.mem[3][29] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9118_ (.D(_0155_),
+    .Q(\u_m1_cmd_fifo.mem[3][30] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9119_ (.D(_0156_),
+    .Q(\u_m1_cmd_fifo.mem[3][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9120_ (.D(_0157_),
+    .Q(\u_m0_res_fifo.mem[3][0] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9121_ (.D(_0158_),
+    .Q(\u_m0_res_fifo.mem[3][1] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9122_ (.D(_0159_),
+    .Q(\u_m0_res_fifo.mem[3][2] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9123_ (.D(_0160_),
+    .Q(\u_m0_res_fifo.mem[3][3] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9124_ (.D(_0161_),
+    .Q(\u_m0_res_fifo.mem[3][4] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9125_ (.D(_0162_),
+    .Q(\u_m0_res_fifo.mem[3][5] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9126_ (.D(_0163_),
+    .Q(\u_m0_res_fifo.mem[3][6] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9127_ (.D(_0164_),
+    .Q(\u_m0_res_fifo.mem[3][7] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9128_ (.D(_0165_),
+    .Q(\u_m0_res_fifo.mem[3][8] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9129_ (.D(_0166_),
+    .Q(\u_m0_res_fifo.mem[3][9] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9130_ (.D(_0167_),
+    .Q(\u_m0_res_fifo.mem[3][10] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9131_ (.D(_0168_),
+    .Q(\u_m0_res_fifo.mem[3][11] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9132_ (.D(_0169_),
+    .Q(\u_m0_res_fifo.mem[3][12] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9133_ (.D(_0170_),
+    .Q(\u_m0_res_fifo.mem[3][13] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9134_ (.D(_0171_),
+    .Q(\u_m0_res_fifo.mem[3][14] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9135_ (.D(_0172_),
+    .Q(\u_m0_res_fifo.mem[3][15] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9136_ (.D(_0173_),
+    .Q(\u_m0_res_fifo.mem[3][16] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9137_ (.D(_0174_),
+    .Q(\u_m0_res_fifo.mem[3][17] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9138_ (.D(_0175_),
+    .Q(\u_m0_res_fifo.mem[3][18] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9139_ (.D(_0176_),
+    .Q(\u_m0_res_fifo.mem[3][19] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9140_ (.D(_0177_),
+    .Q(\u_m0_res_fifo.mem[3][20] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9141_ (.D(_0178_),
+    .Q(\u_m0_res_fifo.mem[3][21] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9142_ (.D(_0179_),
+    .Q(\u_m0_res_fifo.mem[3][22] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9143_ (.D(_0180_),
+    .Q(\u_m0_res_fifo.mem[3][23] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9144_ (.D(_0181_),
+    .Q(\u_m0_res_fifo.mem[3][24] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9145_ (.D(_0182_),
+    .Q(\u_m0_res_fifo.mem[3][25] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9146_ (.D(_0183_),
+    .Q(\u_m0_res_fifo.mem[3][26] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9147_ (.D(_0184_),
+    .Q(\u_m0_res_fifo.mem[3][27] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9148_ (.D(_0185_),
+    .Q(\u_m0_res_fifo.mem[3][28] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9149_ (.D(_0186_),
+    .Q(\u_m0_res_fifo.mem[3][29] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9150_ (.D(_0187_),
+    .Q(\u_m0_res_fifo.mem[3][30] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9151_ (.D(_0188_),
+    .Q(\u_m0_res_fifo.mem[3][31] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9152_ (.D(_0189_),
+    .Q(\u_m0_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9153_ (.D(_0190_),
+    .Q(\u_m0_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9154_ (.D(_0191_),
+    .Q(\u_m0_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9155_ (.D(_0192_),
+    .Q(\u_m0_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9156_ (.D(_0193_),
+    .Q(\u_m0_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9157_ (.D(_0194_),
+    .Q(\u_m0_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9158_ (.D(_0195_),
+    .Q(\u_m0_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9159_ (.D(_0196_),
+    .Q(\u_m0_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9160_ (.D(_0197_),
+    .Q(\u_m0_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9161_ (.D(_0198_),
+    .Q(\u_m0_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9162_ (.D(_0199_),
+    .Q(\u_m0_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9163_ (.D(_0200_),
+    .Q(\u_m0_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9164_ (.D(_0201_),
+    .Q(\u_m0_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9165_ (.D(_0202_),
+    .Q(\u_m0_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9166_ (.D(_0203_),
+    .Q(\u_m0_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9167_ (.D(_0204_),
+    .Q(\u_m0_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9168_ (.D(_0205_),
+    .Q(\u_m0_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9169_ (.D(_0206_),
+    .Q(\u_m0_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9170_ (.D(_0207_),
+    .Q(\u_m0_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9171_ (.D(_0208_),
+    .Q(\u_m0_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9172_ (.D(_0209_),
+    .Q(\u_m0_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9173_ (.D(_0210_),
+    .Q(\u_m0_res_fifo.mem[1][21] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9174_ (.D(_0211_),
+    .Q(\u_m0_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9175_ (.D(_0212_),
+    .Q(\u_m0_res_fifo.mem[1][23] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9176_ (.D(_0213_),
+    .Q(\u_m0_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9177_ (.D(_0214_),
+    .Q(\u_m0_res_fifo.mem[1][25] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9178_ (.D(_0215_),
+    .Q(\u_m0_res_fifo.mem[1][26] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9179_ (.D(_0216_),
+    .Q(\u_m0_res_fifo.mem[1][27] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9180_ (.D(_0217_),
+    .Q(\u_m0_res_fifo.mem[1][28] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9181_ (.D(_0218_),
+    .Q(\u_m0_res_fifo.mem[1][29] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9182_ (.D(_0219_),
+    .Q(\u_m0_res_fifo.mem[1][30] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9183_ (.D(_0220_),
+    .Q(\u_m0_res_fifo.mem[1][31] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9184_ (.D(_0221_),
+    .Q(\u_m0_res_fifo.mem[0][0] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9185_ (.D(_0222_),
+    .Q(\u_m0_res_fifo.mem[0][1] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9186_ (.D(_0223_),
+    .Q(\u_m0_res_fifo.mem[0][2] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9187_ (.D(_0224_),
+    .Q(\u_m0_res_fifo.mem[0][3] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9188_ (.D(_0225_),
+    .Q(\u_m0_res_fifo.mem[0][4] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9189_ (.D(_0226_),
+    .Q(\u_m0_res_fifo.mem[0][5] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9190_ (.D(_0227_),
+    .Q(\u_m0_res_fifo.mem[0][6] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9191_ (.D(_0228_),
+    .Q(\u_m0_res_fifo.mem[0][7] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9192_ (.D(_0229_),
+    .Q(\u_m0_res_fifo.mem[0][8] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9193_ (.D(_0230_),
+    .Q(\u_m0_res_fifo.mem[0][9] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9194_ (.D(_0231_),
+    .Q(\u_m0_res_fifo.mem[0][10] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9195_ (.D(_0232_),
+    .Q(\u_m0_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9196_ (.D(_0233_),
+    .Q(\u_m0_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9197_ (.D(_0234_),
+    .Q(\u_m0_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9198_ (.D(_0235_),
+    .Q(\u_m0_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9199_ (.D(_0236_),
+    .Q(\u_m0_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9200_ (.D(_0237_),
+    .Q(\u_m0_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9201_ (.D(_0238_),
+    .Q(\u_m0_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9202_ (.D(_0239_),
+    .Q(\u_m0_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9203_ (.D(_0240_),
+    .Q(\u_m0_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9204_ (.D(_0241_),
+    .Q(\u_m0_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9205_ (.D(_0242_),
+    .Q(\u_m0_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9206_ (.D(_0243_),
+    .Q(\u_m0_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9207_ (.D(_0244_),
+    .Q(\u_m0_res_fifo.mem[0][23] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9208_ (.D(_0245_),
+    .Q(\u_m0_res_fifo.mem[0][24] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9209_ (.D(_0246_),
+    .Q(\u_m0_res_fifo.mem[0][25] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9210_ (.D(_0247_),
+    .Q(\u_m0_res_fifo.mem[0][26] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9211_ (.D(_0248_),
+    .Q(\u_m0_res_fifo.mem[0][27] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9212_ (.D(_0249_),
+    .Q(\u_m0_res_fifo.mem[0][28] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9213_ (.D(_0250_),
+    .Q(\u_m0_res_fifo.mem[0][29] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9214_ (.D(_0251_),
+    .Q(\u_m0_res_fifo.mem[0][30] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9215_ (.D(_0252_),
+    .Q(\u_m0_res_fifo.mem[0][31] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9216_ (.D(_0253_),
+    .Q(\u_m0_cmd_fifo.mem[0][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9217_ (.D(_0254_),
+    .Q(\u_m0_cmd_fifo.mem[0][1] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9218_ (.D(_0255_),
+    .Q(\u_m0_cmd_fifo.mem[0][2] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9219_ (.D(_0256_),
+    .Q(\u_m0_cmd_fifo.mem[0][3] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9220_ (.D(_0257_),
+    .Q(\u_m0_cmd_fifo.mem[0][4] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9221_ (.D(_0258_),
+    .Q(\u_m0_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9222_ (.D(_0259_),
+    .Q(\u_m0_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9223_ (.D(_0260_),
+    .Q(\u_m0_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9224_ (.D(_0261_),
+    .Q(\u_m0_cmd_fifo.mem[0][8] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9225_ (.D(_0262_),
+    .Q(\u_m0_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9226_ (.D(_0263_),
+    .Q(\u_m0_cmd_fifo.mem[0][10] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9227_ (.D(_0264_),
+    .Q(\u_m0_cmd_fifo.mem[0][11] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9228_ (.D(_0265_),
+    .Q(\u_m0_cmd_fifo.mem[0][12] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9229_ (.D(_0266_),
+    .Q(\u_m0_cmd_fifo.mem[0][13] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9230_ (.D(_0267_),
+    .Q(\u_m0_cmd_fifo.mem[0][14] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9231_ (.D(_0268_),
+    .Q(\u_m0_cmd_fifo.mem[0][15] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9232_ (.D(_0269_),
+    .Q(\u_m0_cmd_fifo.mem[0][16] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9233_ (.D(_0270_),
+    .Q(\u_m0_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9234_ (.D(_0271_),
+    .Q(\u_m0_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9235_ (.D(_0272_),
+    .Q(\u_m0_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9236_ (.D(_0273_),
+    .Q(\u_m0_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9237_ (.D(_0274_),
+    .Q(\u_m0_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9238_ (.D(_0275_),
+    .Q(\u_m0_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9239_ (.D(_0276_),
+    .Q(\u_m0_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9240_ (.D(_0277_),
+    .Q(\u_m0_cmd_fifo.mem[0][24] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9241_ (.D(_0278_),
+    .Q(\u_m0_cmd_fifo.mem[0][25] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9242_ (.D(_0279_),
+    .Q(\u_m0_cmd_fifo.mem[0][26] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9243_ (.D(_0280_),
+    .Q(\u_m0_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9244_ (.D(_0281_),
+    .Q(\u_m0_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9245_ (.D(_0282_),
+    .Q(\u_m0_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9246_ (.D(_0283_),
+    .Q(\u_m0_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9247_ (.D(_0284_),
+    .Q(\u_m0_cmd_fifo.mem[0][31] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9248_ (.D(_0285_),
+    .Q(\u_m1_cmd_fifo.mem[1][0] ),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9249_ (.D(_0286_),
+    .Q(\u_m1_cmd_fifo.mem[1][1] ),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9250_ (.D(_0287_),
+    .Q(\u_m1_cmd_fifo.mem[1][2] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9251_ (.D(_0288_),
+    .Q(\u_m1_cmd_fifo.mem[1][3] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9252_ (.D(_0289_),
+    .Q(\u_m1_cmd_fifo.mem[1][4] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9253_ (.D(_0290_),
+    .Q(\u_m1_cmd_fifo.mem[1][5] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9254_ (.D(_0291_),
+    .Q(\u_m1_cmd_fifo.mem[1][6] ),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9255_ (.D(_0292_),
+    .Q(\u_m1_cmd_fifo.mem[1][7] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9256_ (.D(_0293_),
+    .Q(\u_m1_cmd_fifo.mem[1][8] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9257_ (.D(_0294_),
+    .Q(\u_m1_cmd_fifo.mem[1][9] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9258_ (.D(_0295_),
+    .Q(\u_m1_cmd_fifo.mem[1][10] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9259_ (.D(_0296_),
+    .Q(\u_m1_cmd_fifo.mem[1][11] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9260_ (.D(_0297_),
+    .Q(\u_m1_cmd_fifo.mem[1][12] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9261_ (.D(_0298_),
+    .Q(\u_m1_cmd_fifo.mem[1][13] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9262_ (.D(_0299_),
+    .Q(\u_m1_cmd_fifo.mem[1][14] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9263_ (.D(_0300_),
+    .Q(\u_m1_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9264_ (.D(_0301_),
+    .Q(\u_m1_cmd_fifo.mem[1][16] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9265_ (.D(_0302_),
+    .Q(\u_m1_cmd_fifo.mem[1][17] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9266_ (.D(_0303_),
+    .Q(\u_m1_cmd_fifo.mem[1][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9267_ (.D(_0304_),
+    .Q(\u_m1_cmd_fifo.mem[1][19] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9268_ (.D(_0305_),
+    .Q(\u_m1_cmd_fifo.mem[1][20] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9269_ (.D(_0306_),
+    .Q(\u_m1_cmd_fifo.mem[1][21] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9270_ (.D(_0307_),
+    .Q(\u_m1_cmd_fifo.mem[1][22] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9271_ (.D(_0308_),
+    .Q(\u_m1_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9272_ (.D(_0309_),
+    .Q(\u_m1_cmd_fifo.mem[1][24] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9273_ (.D(_0310_),
+    .Q(\u_m1_cmd_fifo.mem[1][25] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9274_ (.D(_0311_),
+    .Q(\u_m1_cmd_fifo.mem[1][26] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9275_ (.D(_0312_),
+    .Q(\u_m1_cmd_fifo.mem[1][27] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9276_ (.D(_0313_),
+    .Q(\u_m1_cmd_fifo.mem[1][28] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9277_ (.D(_0314_),
+    .Q(\u_m1_cmd_fifo.mem[1][29] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9278_ (.D(_0315_),
+    .Q(\u_m1_cmd_fifo.mem[1][30] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9279_ (.D(_0316_),
+    .Q(\u_m1_cmd_fifo.mem[1][31] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9280_ (.D(_0317_),
+    .Q(\u_m0_cmd_fifo.mem[1][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9281_ (.D(_0318_),
+    .Q(\u_m0_cmd_fifo.mem[1][1] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9282_ (.D(_0319_),
+    .Q(\u_m0_cmd_fifo.mem[1][2] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9283_ (.D(_0320_),
+    .Q(\u_m0_cmd_fifo.mem[1][3] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9284_ (.D(_0321_),
+    .Q(\u_m0_cmd_fifo.mem[1][4] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9285_ (.D(_0322_),
+    .Q(\u_m0_cmd_fifo.mem[1][5] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9286_ (.D(_0323_),
+    .Q(\u_m0_cmd_fifo.mem[1][6] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9287_ (.D(_0324_),
+    .Q(\u_m0_cmd_fifo.mem[1][7] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9288_ (.D(_0325_),
+    .Q(\u_m0_cmd_fifo.mem[1][8] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9289_ (.D(_0326_),
+    .Q(\u_m0_cmd_fifo.mem[1][9] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9290_ (.D(_0327_),
+    .Q(\u_m0_cmd_fifo.mem[1][10] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9291_ (.D(_0328_),
+    .Q(\u_m0_cmd_fifo.mem[1][11] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9292_ (.D(_0329_),
+    .Q(\u_m0_cmd_fifo.mem[1][12] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9293_ (.D(_0330_),
+    .Q(\u_m0_cmd_fifo.mem[1][13] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9294_ (.D(_0331_),
+    .Q(\u_m0_cmd_fifo.mem[1][14] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9295_ (.D(_0332_),
+    .Q(\u_m0_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9296_ (.D(_0333_),
+    .Q(\u_m0_cmd_fifo.mem[1][16] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9297_ (.D(_0334_),
+    .Q(\u_m0_cmd_fifo.mem[1][17] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9298_ (.D(_0335_),
+    .Q(\u_m0_cmd_fifo.mem[1][18] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9299_ (.D(_0336_),
+    .Q(\u_m0_cmd_fifo.mem[1][19] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9300_ (.D(_0337_),
+    .Q(\u_m0_cmd_fifo.mem[1][20] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9301_ (.D(_0338_),
+    .Q(\u_m0_cmd_fifo.mem[1][21] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9302_ (.D(_0339_),
+    .Q(\u_m0_cmd_fifo.mem[1][22] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9303_ (.D(_0340_),
+    .Q(\u_m0_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9304_ (.D(_0341_),
+    .Q(\u_m0_cmd_fifo.mem[1][24] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9305_ (.D(_0342_),
+    .Q(\u_m0_cmd_fifo.mem[1][25] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9306_ (.D(_0343_),
+    .Q(\u_m0_cmd_fifo.mem[1][26] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9307_ (.D(_0344_),
+    .Q(\u_m0_cmd_fifo.mem[1][27] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9308_ (.D(_0345_),
+    .Q(\u_m0_cmd_fifo.mem[1][28] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9309_ (.D(_0346_),
+    .Q(\u_m0_cmd_fifo.mem[1][29] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9310_ (.D(_0347_),
+    .Q(\u_m0_cmd_fifo.mem[1][30] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9311_ (.D(_0348_),
+    .Q(\u_m0_cmd_fifo.mem[1][31] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9312_ (.D(_0349_),
+    .Q(\u_spim_regs.cfg_m1_addr[8] ),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9313_ (.D(_0350_),
+    .Q(\u_spim_regs.cfg_m1_addr[9] ),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9314_ (.D(_0351_),
+    .Q(\u_spim_regs.cfg_m1_addr[10] ),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9315_ (.D(_0352_),
+    .Q(\u_spim_regs.cfg_m1_addr[11] ),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9316_ (.D(_0353_),
+    .Q(\u_spim_regs.cfg_m1_addr[12] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9317_ (.D(_0354_),
+    .Q(\u_spim_regs.cfg_m1_addr[13] ),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9318_ (.D(_0355_),
+    .Q(\u_spim_regs.cfg_m1_addr[14] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9319_ (.D(_0356_),
+    .Q(\u_spim_regs.cfg_m1_addr[15] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9320_ (.D(_0357_),
+    .Q(\u_m1_cmd_fifo.mem[2][0] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9321_ (.D(_0358_),
+    .Q(\u_m1_cmd_fifo.mem[2][1] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9322_ (.D(_0359_),
+    .Q(\u_m1_cmd_fifo.mem[2][2] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9323_ (.D(_0360_),
+    .Q(\u_m1_cmd_fifo.mem[2][3] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9324_ (.D(_0361_),
+    .Q(\u_m1_cmd_fifo.mem[2][4] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9325_ (.D(_0362_),
+    .Q(\u_m1_cmd_fifo.mem[2][5] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9326_ (.D(_0363_),
+    .Q(\u_m1_cmd_fifo.mem[2][6] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9327_ (.D(_0364_),
+    .Q(\u_m1_cmd_fifo.mem[2][7] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9328_ (.D(_0365_),
+    .Q(\u_m1_cmd_fifo.mem[2][8] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9329_ (.D(_0366_),
+    .Q(\u_m1_cmd_fifo.mem[2][9] ),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9330_ (.D(_0367_),
+    .Q(\u_m1_cmd_fifo.mem[2][10] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9331_ (.D(_0368_),
+    .Q(\u_m1_cmd_fifo.mem[2][11] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9332_ (.D(_0369_),
+    .Q(\u_m1_cmd_fifo.mem[2][12] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9333_ (.D(_0370_),
+    .Q(\u_m1_cmd_fifo.mem[2][13] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9334_ (.D(_0371_),
+    .Q(\u_m1_cmd_fifo.mem[2][14] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9335_ (.D(_0372_),
+    .Q(\u_m1_cmd_fifo.mem[2][15] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9336_ (.D(_0373_),
+    .Q(\u_m1_cmd_fifo.mem[2][16] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9337_ (.D(_0374_),
+    .Q(\u_m1_cmd_fifo.mem[2][17] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9338_ (.D(_0375_),
+    .Q(\u_m1_cmd_fifo.mem[2][18] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9339_ (.D(_0376_),
+    .Q(\u_m1_cmd_fifo.mem[2][19] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9340_ (.D(_0377_),
+    .Q(\u_m1_cmd_fifo.mem[2][20] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9341_ (.D(_0378_),
+    .Q(\u_m1_cmd_fifo.mem[2][21] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9342_ (.D(_0379_),
+    .Q(\u_m1_cmd_fifo.mem[2][22] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9343_ (.D(_0380_),
+    .Q(\u_m1_cmd_fifo.mem[2][23] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9344_ (.D(_0381_),
+    .Q(\u_m1_cmd_fifo.mem[2][24] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9345_ (.D(_0382_),
+    .Q(\u_m1_cmd_fifo.mem[2][25] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9346_ (.D(_0383_),
+    .Q(\u_m1_cmd_fifo.mem[2][26] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9347_ (.D(_0384_),
+    .Q(\u_m1_cmd_fifo.mem[2][27] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9348_ (.D(_0385_),
+    .Q(\u_m1_cmd_fifo.mem[2][28] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9349_ (.D(_0386_),
+    .Q(\u_m1_cmd_fifo.mem[2][29] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9350_ (.D(_0387_),
+    .Q(\u_m1_cmd_fifo.mem[2][30] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9351_ (.D(_0388_),
+    .Q(\u_m1_cmd_fifo.mem[2][31] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9352_ (.D(_0389_),
+    .Q(\u_m1_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9353_ (.D(_0390_),
+    .Q(\u_m1_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9354_ (.D(_0391_),
+    .Q(\u_m1_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9355_ (.D(_0392_),
+    .Q(\u_m1_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9356_ (.D(_0393_),
+    .Q(\u_m1_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9357_ (.D(_0394_),
+    .Q(\u_m1_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9358_ (.D(_0395_),
+    .Q(\u_m1_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9359_ (.D(_0396_),
+    .Q(\u_m1_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9360_ (.D(_0397_),
+    .Q(\u_m1_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9361_ (.D(_0398_),
+    .Q(\u_m1_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9362_ (.D(_0399_),
+    .Q(\u_m1_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9363_ (.D(_0400_),
+    .Q(\u_m1_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9364_ (.D(_0401_),
+    .Q(\u_m1_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9365_ (.D(_0402_),
+    .Q(\u_m1_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9366_ (.D(_0403_),
+    .Q(\u_m1_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9367_ (.D(_0404_),
+    .Q(\u_m1_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9368_ (.D(_0405_),
+    .Q(\u_m1_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9369_ (.D(_0406_),
+    .Q(\u_m1_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9370_ (.D(_0407_),
+    .Q(\u_m1_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9371_ (.D(_0408_),
+    .Q(\u_m1_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9372_ (.D(_0409_),
+    .Q(\u_m1_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9373_ (.D(_0410_),
+    .Q(\u_m1_res_fifo.mem[1][21] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9374_ (.D(_0411_),
+    .Q(\u_m1_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9375_ (.D(_0412_),
+    .Q(\u_m1_res_fifo.mem[1][23] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9376_ (.D(_0413_),
+    .Q(\u_m1_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9377_ (.D(_0414_),
+    .Q(\u_m1_res_fifo.mem[1][25] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9378_ (.D(_0415_),
+    .Q(\u_m1_res_fifo.mem[1][26] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9379_ (.D(_0416_),
+    .Q(\u_m1_res_fifo.mem[1][27] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9380_ (.D(_0417_),
+    .Q(\u_m1_res_fifo.mem[1][28] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9381_ (.D(_0418_),
+    .Q(\u_m1_res_fifo.mem[1][29] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9382_ (.D(_0419_),
+    .Q(\u_m1_res_fifo.mem[1][30] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9383_ (.D(_0420_),
+    .Q(\u_m1_res_fifo.mem[1][31] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9384_ (.D(_0421_),
+    .Q(\u_m1_cmd_fifo.mem[0][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9385_ (.D(_0422_),
+    .Q(\u_m1_cmd_fifo.mem[0][1] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9386_ (.D(_0423_),
+    .Q(\u_m1_cmd_fifo.mem[0][2] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9387_ (.D(_0424_),
+    .Q(\u_m1_cmd_fifo.mem[0][3] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9388_ (.D(_0425_),
+    .Q(\u_m1_cmd_fifo.mem[0][4] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9389_ (.D(_0426_),
+    .Q(\u_m1_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9390_ (.D(_0427_),
+    .Q(\u_m1_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9391_ (.D(_0428_),
+    .Q(\u_m1_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9392_ (.D(_0429_),
+    .Q(\u_m1_cmd_fifo.mem[0][8] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9393_ (.D(_0430_),
+    .Q(\u_m1_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9394_ (.D(_0431_),
+    .Q(\u_m1_cmd_fifo.mem[0][10] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9395_ (.D(_0432_),
+    .Q(\u_m1_cmd_fifo.mem[0][11] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9396_ (.D(_0433_),
+    .Q(\u_m1_cmd_fifo.mem[0][12] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9397_ (.D(_0434_),
+    .Q(\u_m1_cmd_fifo.mem[0][13] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9398_ (.D(_0435_),
+    .Q(\u_m1_cmd_fifo.mem[0][14] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9399_ (.D(_0436_),
+    .Q(\u_m1_cmd_fifo.mem[0][15] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9400_ (.D(_0437_),
+    .Q(\u_m1_cmd_fifo.mem[0][16] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9401_ (.D(_0438_),
+    .Q(\u_m1_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9402_ (.D(_0439_),
+    .Q(\u_m1_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9403_ (.D(_0440_),
+    .Q(\u_m1_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9404_ (.D(_0441_),
+    .Q(\u_m1_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9405_ (.D(_0442_),
+    .Q(\u_m1_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9406_ (.D(_0443_),
+    .Q(\u_m1_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9407_ (.D(_0444_),
+    .Q(\u_m1_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9408_ (.D(_0445_),
+    .Q(\u_m1_cmd_fifo.mem[0][24] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9409_ (.D(_0446_),
+    .Q(\u_m1_cmd_fifo.mem[0][25] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9410_ (.D(_0447_),
+    .Q(\u_m1_cmd_fifo.mem[0][26] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9411_ (.D(_0448_),
+    .Q(\u_m1_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9412_ (.D(_0449_),
+    .Q(\u_m1_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9413_ (.D(_0450_),
+    .Q(\u_m1_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9414_ (.D(_0451_),
+    .Q(\u_m1_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9415_ (.D(_0452_),
+    .Q(\u_m1_cmd_fifo.mem[0][31] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9416_ (.D(_0060_),
     .Q(\u_spim_regs.spi_init_done ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5218_ (.D(_0000_),
+ sky130_fd_sc_hd__dfrtp_4 _9417_ (.D(_0000_),
+    .Q(\u_spictrl.gnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9418_ (.D(_0001_),
+    .Q(\u_spictrl.gnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9419_ (.D(_0006_),
     .Q(\u_spim_regs.spi_init_state[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5219_ (.D(_0011_),
+ sky130_fd_sc_hd__dfrtp_4 _9420_ (.D(_0007_),
     .Q(\u_spim_regs.spi_init_state[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5220_ (.D(_0012_),
+ sky130_fd_sc_hd__dfrtp_4 _9421_ (.D(_0008_),
     .Q(\u_spim_regs.spi_init_state[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5221_ (.D(_0013_),
+ sky130_fd_sc_hd__dfrtp_4 _9422_ (.D(_0009_),
     .Q(\u_spim_regs.spi_init_state[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5222_ (.D(_0014_),
+ sky130_fd_sc_hd__dfrtp_4 _9423_ (.D(_0010_),
     .Q(\u_spim_regs.spi_init_state[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5223_ (.D(_0015_),
+ sky130_fd_sc_hd__dfrtp_4 _9424_ (.D(_0011_),
     .Q(\u_spim_regs.spi_init_state[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5224_ (.D(_0009_),
+ sky130_fd_sc_hd__dfrtp_4 _9425_ (.D(_0012_),
+    .Q(\u_spim_regs.spi_init_state[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9426_ (.D(_0013_),
+    .Q(\u_spim_regs.spi_init_state[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9427_ (.D(_0002_),
     .Q(\u_spictrl.u_rxreg.rx_CS[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5225_ (.D(_0010_),
+ sky130_fd_sc_hd__dfrtp_4 _9428_ (.D(_0003_),
     .Q(\u_spictrl.u_rxreg.rx_CS[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
+    .CLK(clknet_7_109_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5226_ (.D(_0001_),
-    .Q(\u_spictrl.spi_status[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9429_ (.D(_0004_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9430_ (.D(_0005_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9431_ (.D(_0055_),
+    .Q(\u_spictrl.res_fifo_wr ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9432_ (.D(_0023_),
+    .Q(\u_spictrl.res_fifo_wdata[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9433_ (.D(_0034_),
+    .Q(\u_spictrl.res_fifo_wdata[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9434_ (.D(_0045_),
+    .Q(\u_spictrl.res_fifo_wdata[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9435_ (.D(_0048_),
+    .Q(\u_spictrl.res_fifo_wdata[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9436_ (.D(_0049_),
+    .Q(\u_spictrl.res_fifo_wdata[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9437_ (.D(_0050_),
+    .Q(\u_spictrl.res_fifo_wdata[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9438_ (.D(_0051_),
+    .Q(\u_spictrl.res_fifo_wdata[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9439_ (.D(_0052_),
+    .Q(\u_spictrl.res_fifo_wdata[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9440_ (.D(_0053_),
+    .Q(\u_spictrl.res_fifo_wdata[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9441_ (.D(_0054_),
+    .Q(\u_spictrl.res_fifo_wdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9442_ (.D(_0024_),
+    .Q(\u_spictrl.res_fifo_wdata[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9443_ (.D(_0025_),
+    .Q(\u_spictrl.res_fifo_wdata[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9444_ (.D(_0026_),
+    .Q(\u_spictrl.res_fifo_wdata[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9445_ (.D(_0027_),
+    .Q(\u_spictrl.res_fifo_wdata[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9446_ (.D(_0028_),
+    .Q(\u_spictrl.res_fifo_wdata[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9447_ (.D(_0029_),
+    .Q(\u_spictrl.res_fifo_wdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9448_ (.D(_0030_),
+    .Q(\u_spictrl.res_fifo_wdata[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9449_ (.D(_0031_),
+    .Q(\u_spictrl.res_fifo_wdata[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9450_ (.D(_0032_),
+    .Q(\u_spictrl.res_fifo_wdata[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9451_ (.D(_0033_),
+    .Q(\u_spictrl.res_fifo_wdata[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9452_ (.D(_0035_),
+    .Q(\u_spictrl.res_fifo_wdata[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9453_ (.D(_0036_),
+    .Q(\u_spictrl.res_fifo_wdata[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9454_ (.D(_0037_),
+    .Q(\u_spictrl.res_fifo_wdata[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9455_ (.D(_0038_),
+    .Q(\u_spictrl.res_fifo_wdata[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9456_ (.D(_0039_),
+    .Q(\u_spictrl.res_fifo_wdata[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9457_ (.D(_0040_),
+    .Q(\u_spictrl.res_fifo_wdata[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9458_ (.D(_0041_),
+    .Q(\u_spictrl.res_fifo_wdata[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9459_ (.D(_0042_),
+    .Q(\u_spictrl.res_fifo_wdata[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9460_ (.D(_0043_),
+    .Q(\u_spictrl.res_fifo_wdata[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9461_ (.D(_0044_),
+    .Q(\u_spictrl.res_fifo_wdata[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9462_ (.D(_0046_),
+    .Q(\u_spictrl.res_fifo_wdata[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9463_ (.D(_0047_),
+    .Q(\u_spictrl.res_fifo_wdata[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9464_ (.D(_0056_),
+    .Q(_4496_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9465_ (.D(_0057_),
+    .Q(_4497_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9466_ (.D(_0058_),
+    .Q(\u_spictrl.tx_data_ready ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9467_ (.D(_0059_),
+    .Q(\u_spictrl.u_txreg.data_ready_f ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9468_ (.D(_0022_),
+    .Q(\u_spictrl.spi_fall ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9469_ (.D(_0014_),
+    .Q(\u_spictrl.spi_rise ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9470_ (.D(_0016_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5227_ (.D(\u_spictrl.state_next[3] ),
-    .Q(\u_spictrl.spi_status[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9471_ (.D(_0017_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_54_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5228_ (.D(_0002_),
-    .Q(\u_spictrl.spi_status[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9472_ (.D(_0018_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_55_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5229_ (.D(_0003_),
-    .Q(\u_spictrl.spi_status[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9473_ (.D(_0019_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_55_0_mclk),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5230_ (.D(_0004_),
-    .Q(\u_spictrl.spi_status[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9474_ (.D(_0020_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_54_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5231_ (.D(_0005_),
-    .Q(\u_spictrl.spi_status[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9475_ (.D(_0021_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5232_ (.D(_0006_),
-    .Q(\u_spictrl.spi_status[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5233_ (.D(_0007_),
-    .Q(\u_spictrl.spi_status[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5234_ (.D(_0008_),
-    .Q(\u_spictrl.spi_status[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5235_ (.D(_0057_),
-    .Q(\u_spictrl.rx_done ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5236_ (.D(_0058_),
-    .Q(\u_spictrl.rx_clk_en ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_60_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5237_ (.D(psn_net_244),
-    .Q(\u_spictrl.u_rxreg.data_int[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5238_ (.D(psn_net_240),
-    .Q(\u_spictrl.u_rxreg.data_int[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5239_ (.D(psn_net_239),
-    .Q(\u_spictrl.u_rxreg.data_int[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5240_ (.D(psn_net_242),
-    .Q(\u_spictrl.u_rxreg.data_int[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5241_ (.D(psn_net_227),
-    .Q(\u_spictrl.u_rxreg.data_int[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5242_ (.D(psn_net_230),
-    .Q(\u_spictrl.u_rxreg.data_int[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5243_ (.D(psn_net_235),
-    .Q(\u_spictrl.u_rxreg.data_int[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5244_ (.D(psn_net_234),
-    .Q(\u_spictrl.u_rxreg.data_int[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5245_ (.D(psn_net_236),
-    .Q(\u_spictrl.u_rxreg.data_int[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5246_ (.D(psn_net_221),
-    .Q(\u_spictrl.u_rxreg.data_int[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5247_ (.D(psn_net_223),
-    .Q(\u_spictrl.u_rxreg.data_int[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5248_ (.D(psn_net_217),
-    .Q(\u_spictrl.u_rxreg.data_int[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5249_ (.D(psn_net_215),
-    .Q(\u_spictrl.u_rxreg.data_int[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5250_ (.D(psn_net_219),
-    .Q(\u_spictrl.u_rxreg.data_int[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5251_ (.D(psn_net_241),
-    .Q(\u_spictrl.u_rxreg.data_int[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5252_ (.D(psn_net_243),
-    .Q(\u_spictrl.u_rxreg.data_int[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5253_ (.D(psn_net_174),
-    .Q(\u_spictrl.u_rxreg.data_int[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5254_ (.D(psn_net_208),
-    .Q(\u_spictrl.u_rxreg.data_int[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5255_ (.D(psn_net_205),
-    .Q(\u_spictrl.u_rxreg.data_int[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5256_ (.D(psn_net_110),
-    .Q(\u_spictrl.u_rxreg.data_int[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5257_ (.D(psn_net_238),
-    .Q(\u_spictrl.u_rxreg.data_int[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5258_ (.D(psn_net_237),
-    .Q(\u_spictrl.u_rxreg.data_int[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5259_ (.D(psn_net_152),
-    .Q(\u_spictrl.u_rxreg.data_int[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5260_ (.D(psn_net_146),
-    .Q(\u_spictrl.u_rxreg.data_int[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5261_ (.D(psn_net_196),
-    .Q(\u_spictrl.u_rxreg.data_int[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5262_ (.D(psn_net_179),
-    .Q(\u_spictrl.u_rxreg.data_int[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_28_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5263_ (.D(psn_net_194),
-    .Q(\u_spictrl.u_rxreg.data_int[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5264_ (.D(psn_net_185),
-    .Q(\u_spictrl.u_rxreg.data_int[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5265_ (.D(psn_net_191),
-    .Q(\u_spictrl.u_rxreg.data_int[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5266_ (.D(psn_net_199),
-    .Q(\u_spictrl.u_rxreg.data_int[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5267_ (.D(psn_net_187),
-    .Q(\u_spictrl.u_rxreg.data_int[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_28_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5268_ (.D(psn_net_128),
-    .Q(\u_spictrl.u_rxreg.data_int[31] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5269_ (.D(_0091_),
-    .Q(\u_spictrl.u_rxreg.counter[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5270_ (.D(_0092_),
-    .Q(\u_spictrl.u_rxreg.counter[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5271_ (.D(_0093_),
-    .Q(\u_spictrl.u_rxreg.counter[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5272_ (.D(_0094_),
-    .Q(\u_spictrl.u_rxreg.counter[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5273_ (.D(_0095_),
-    .Q(\u_spictrl.u_rxreg.counter[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5274_ (.D(_0096_),
-    .Q(\u_spictrl.u_rxreg.counter[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5275_ (.D(_0097_),
-    .Q(\u_spictrl.u_rxreg.counter[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5276_ (.D(_0098_),
-    .Q(\u_spictrl.u_rxreg.counter[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5277_ (.D(_0099_),
-    .Q(\u_spictrl.u_rxreg.counter[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5278_ (.D(_0100_),
-    .Q(\u_spictrl.u_rxreg.counter[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5279_ (.D(_0101_),
-    .Q(\u_spictrl.u_rxreg.counter[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5280_ (.D(_0102_),
-    .Q(\u_spictrl.u_rxreg.counter[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5281_ (.D(_0103_),
-    .Q(\u_spictrl.u_rxreg.counter[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5282_ (.D(_0104_),
-    .Q(\u_spictrl.u_rxreg.counter[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5283_ (.D(_0105_),
-    .Q(\u_spictrl.u_rxreg.counter[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5284_ (.D(_0106_),
-    .Q(\u_spictrl.u_rxreg.counter[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_61_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5285_ (.D(_0107_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5286_ (.D(_0108_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5287_ (.D(_0109_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5288_ (.D(_0110_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
+ sky130_fd_sc_hd__dfstp_4 _9476_ (.D(_0015_),
+    .Q(io_out[1]),
     .SET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5289_ (.D(_0111_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9477_ (.D(_0453_),
+    .Q(\u_m0_res_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5290_ (.D(_0112_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9478_ (.D(_0454_),
+    .Q(\u_m0_res_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
+    .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5291_ (.D(_0113_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9479_ (.D(_0455_),
+    .Q(\u_m0_res_fifo.rd_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
+    .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5292_ (.D(_0114_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9480_ (.D(_0456_),
+    .Q(\u_m0_res_fifo.wr_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5293_ (.D(_0115_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9481_ (.D(_0457_),
+    .Q(\u_m0_res_fifo.wr_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5294_ (.D(_0116_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _9482_ (.D(_0458_),
+    .Q(\u_m0_res_fifo.wr_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5295_ (.D(_0117_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9483_ (.D(_0459_),
+    .Q(\u_m1_res_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5296_ (.D(_0118_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9484_ (.D(_0460_),
+    .Q(\u_m1_res_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_59_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5297_ (.D(_0119_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _9485_ (.D(_0461_),
+    .Q(\u_m1_res_fifo.wr_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_63_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5298_ (.D(_0120_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9486_ (.D(_0462_),
+    .Q(\u_m1_res_fifo.wr_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_60_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5299_ (.D(_0121_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9487_ (.D(_0463_),
+    .Q(\u_spictrl.s_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_60_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5300_ (.D(_0122_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9488_ (.D(_0464_),
+    .Q(\u_spictrl.s_spi_mode[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_60_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5301_ (.D(_0123_),
-    .Q(\u_spictrl.u_txreg.en_quad ),
+ sky130_fd_sc_hd__dfrtp_4 _9489_ (.D(_0465_),
+    .Q(\u_spictrl.cfg_addr_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_41_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5302_ (.D(_0124_),
-    .Q(\u_spictrl.tx_done ),
+ sky130_fd_sc_hd__dfrtp_4 _9490_ (.D(_0466_),
+    .Q(\u_spictrl.cfg_addr_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_47_0_mclk),
+    .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5303_ (.D(_0125_),
-    .Q(io_out[2]),
+ sky130_fd_sc_hd__dfrtp_4 _9491_ (.D(_0467_),
+    .Q(\u_spim_regs.spim_reg_be[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5304_ (.D(_0126_),
-    .Q(io_out[3]),
+ sky130_fd_sc_hd__dfrtp_4 _9492_ (.D(_0468_),
+    .Q(\u_spim_regs.spim_reg_be[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5305_ (.D(_0127_),
-    .Q(io_out[4]),
+ sky130_fd_sc_hd__dfrtp_4 _9493_ (.D(_0469_),
+    .Q(\u_spim_regs.spim_reg_be[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5306_ (.D(_0128_),
-    .Q(io_out[5]),
+ sky130_fd_sc_hd__dfrtp_4 _9494_ (.D(_0470_),
+    .Q(\u_spim_regs.spim_reg_be[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5307_ (.D(_0129_),
-    .Q(\u_spictrl.u_txreg.data_int[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9495_ (.D(_0471_),
+    .Q(\u_spim_regs.spim_reg_we ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_41_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5308_ (.D(_0130_),
-    .Q(\u_spictrl.u_txreg.data_int[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5309_ (.D(_0131_),
-    .Q(\u_spictrl.u_txreg.data_int[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5310_ (.D(_0132_),
-    .Q(\u_spictrl.u_txreg.data_int[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5311_ (.D(_0133_),
-    .Q(\u_spictrl.u_txreg.data_int[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5312_ (.D(_0134_),
-    .Q(\u_spictrl.u_txreg.data_int[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5313_ (.D(_0135_),
-    .Q(\u_spictrl.u_txreg.data_int[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5314_ (.D(_0136_),
-    .Q(\u_spictrl.u_txreg.data_int[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5315_ (.D(_0137_),
-    .Q(\u_spictrl.u_txreg.data_int[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5316_ (.D(_0138_),
-    .Q(\u_spictrl.u_txreg.data_int[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5317_ (.D(_0139_),
-    .Q(\u_spictrl.u_txreg.data_int[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5318_ (.D(_0140_),
-    .Q(\u_spictrl.u_txreg.data_int[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5319_ (.D(_0141_),
-    .Q(\u_spictrl.u_txreg.data_int[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5320_ (.D(_0142_),
-    .Q(\u_spictrl.u_txreg.data_int[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5321_ (.D(_0143_),
-    .Q(\u_spictrl.u_txreg.data_int[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5322_ (.D(_0144_),
-    .Q(\u_spictrl.u_txreg.data_int[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5323_ (.D(_0145_),
-    .Q(\u_spictrl.u_txreg.data_int[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5324_ (.D(_0146_),
-    .Q(\u_spictrl.u_txreg.data_int[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5325_ (.D(_0147_),
-    .Q(\u_spictrl.u_txreg.data_int[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5326_ (.D(_0148_),
-    .Q(\u_spictrl.u_txreg.data_int[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5327_ (.D(_0149_),
-    .Q(\u_spictrl.u_txreg.data_int[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5328_ (.D(_0150_),
-    .Q(\u_spictrl.u_txreg.data_int[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5329_ (.D(_0151_),
-    .Q(\u_spictrl.u_txreg.data_int[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5330_ (.D(_0152_),
-    .Q(\u_spictrl.u_txreg.data_int[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5331_ (.D(_0153_),
-    .Q(\u_spictrl.u_txreg.data_int[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5332_ (.D(_0154_),
-    .Q(\u_spictrl.u_txreg.data_int[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5333_ (.D(_0155_),
-    .Q(\u_spictrl.u_txreg.data_int[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5334_ (.D(_0156_),
-    .Q(\u_spictrl.u_txreg.data_int[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5335_ (.D(_0157_),
-    .Q(\u_spictrl.u_txreg.data_int[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5336_ (.D(_0158_),
-    .Q(\u_spictrl.u_txreg.data_int[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5337_ (.D(_0159_),
-    .Q(\u_spictrl.u_txreg.data_int[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5338_ (.D(_0160_),
-    .Q(\u_spictrl.u_txreg.counter[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5339_ (.D(_0161_),
-    .Q(\u_spictrl.u_txreg.counter[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5340_ (.D(_0162_),
-    .Q(\u_spictrl.u_txreg.counter[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5341_ (.D(_0163_),
-    .Q(\u_spictrl.u_txreg.counter[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5342_ (.D(_0164_),
-    .Q(\u_spictrl.u_txreg.counter[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5343_ (.D(_0165_),
-    .Q(\u_spictrl.u_txreg.counter[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5344_ (.D(_0166_),
-    .Q(\u_spictrl.u_txreg.counter[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5345_ (.D(_0167_),
-    .Q(\u_spictrl.u_txreg.counter[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5346_ (.D(_0168_),
-    .Q(\u_spictrl.u_txreg.counter[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5347_ (.D(_0169_),
-    .Q(\u_spictrl.u_txreg.counter[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5348_ (.D(_0170_),
-    .Q(\u_spictrl.u_txreg.counter[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5349_ (.D(_0171_),
-    .Q(\u_spictrl.u_txreg.counter[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5350_ (.D(_0172_),
-    .Q(\u_spictrl.u_txreg.counter[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5351_ (.D(_0173_),
-    .Q(\u_spictrl.u_txreg.counter[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5352_ (.D(_0174_),
-    .Q(\u_spictrl.u_txreg.counter[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5353_ (.D(_0175_),
-    .Q(\u_spictrl.u_txreg.counter[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5354_ (.D(_0176_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5355_ (.D(_0177_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5356_ (.D(_0178_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5357_ (.D(_0179_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5358_ (.D(_0180_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5359_ (.D(_0181_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5360_ (.D(_0182_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5361_ (.D(_0183_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5362_ (.D(_0184_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5363_ (.D(_0185_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5364_ (.D(_0186_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5365_ (.D(_0187_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5366_ (.D(_0188_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5367_ (.D(_0189_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5368_ (.D(_0190_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5369_ (.D(_0191_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5370_ (.D(_0192_),
-    .Q(\u_spim_regs.reg2spi_qwr ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5371_ (.D(_0193_),
-    .Q(\u_spim_regs.reg2spi_mode_enb ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5372_ (.D(_0194_),
-    .Q(\u_spim_regs.reg2spi_mode[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5373_ (.D(_0195_),
-    .Q(\u_spim_regs.reg2spi_mode[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5374_ (.D(_0196_),
-    .Q(\u_spim_regs.reg2spi_mode[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5375_ (.D(_0197_),
-    .Q(\u_spim_regs.reg2spi_mode[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5376_ (.D(_0198_),
-    .Q(\u_spim_regs.reg2spi_mode[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5377_ (.D(_0199_),
-    .Q(\u_spim_regs.reg2spi_mode[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5378_ (.D(_0200_),
-    .Q(\u_spim_regs.reg2spi_mode[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5379_ (.D(_0201_),
-    .Q(\u_spim_regs.reg2spi_mode[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5380_ (.D(_0202_),
-    .Q(\u_spim_regs.reg2spi_addr[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5381_ (.D(_0203_),
-    .Q(\u_spim_regs.reg2spi_addr[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5382_ (.D(_0204_),
-    .Q(\u_spim_regs.reg2spi_addr[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5383_ (.D(_0205_),
-    .Q(\u_spim_regs.reg2spi_addr[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5384_ (.D(_0206_),
-    .Q(\u_spim_regs.reg2spi_addr[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5385_ (.D(_0207_),
-    .Q(\u_spim_regs.reg2spi_addr[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5386_ (.D(_0208_),
-    .Q(\u_spim_regs.reg2spi_addr[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5387_ (.D(_0209_),
-    .Q(\u_spim_regs.reg2spi_addr[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5388_ (.D(_0210_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5389_ (.D(_0211_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5390_ (.D(_0212_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5391_ (.D(_0213_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5392_ (.D(_0214_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5393_ (.D(_0215_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5394_ (.D(_0216_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5395_ (.D(_0217_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5396_ (.D(_0218_),
-    .Q(\u_spim_regs.spim_wb_be[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5397_ (.D(_0219_),
-    .Q(\u_spim_regs.spim_wb_be[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5398_ (.D(_0220_),
-    .Q(\u_spim_regs.spim_wb_be[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5399_ (.D(_0221_),
-    .Q(\u_spim_regs.spim_wb_be[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5400_ (.D(_0222_),
-    .Q(\u_spim_regs.spim_wb_we ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5401_ (.D(_0223_),
+ sky130_fd_sc_hd__dfrtp_4 _9496_ (.D(_0472_),
     .Q(wbd_ack_o),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5402_ (.D(_0224_),
-    .Q(\u_spim_regs.spim_wb_addr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9497_ (.D(_0473_),
+    .Q(\u_wb_if.spim_wb_addr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5403_ (.D(_0225_),
-    .Q(\u_spim_regs.spim_wb_addr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9498_ (.D(_0474_),
+    .Q(\u_wb_if.spim_wb_addr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5404_ (.D(_0226_),
-    .Q(\u_spim_regs.reg_addr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9499_ (.D(_0475_),
+    .Q(\u_spim_regs.spim_reg_addr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5405_ (.D(_0227_),
-    .Q(\u_spim_regs.reg_addr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9500_ (.D(_0476_),
+    .Q(\u_spim_regs.spim_reg_addr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5406_ (.D(_0228_),
-    .Q(\u_spim_regs.reg_addr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9501_ (.D(_0477_),
+    .Q(\u_spim_regs.spim_reg_addr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5407_ (.D(_0229_),
-    .Q(\u_spim_regs.reg_addr[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9502_ (.D(_0478_),
+    .Q(\u_spim_regs.spim_reg_addr[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5408_ (.D(_0230_),
-    .Q(\u_spim_regs.spim_wb_addr[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9503_ (.D(_0479_),
+    .Q(\u_wb_if.spim_wb_addr[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5409_ (.D(_0231_),
-    .Q(\u_spim_regs.spim_wb_addr[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9504_ (.D(_0480_),
+    .Q(\u_wb_if.spim_wb_addr[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5410_ (.D(_0232_),
-    .Q(\u_spim_regs.spim_wb_addr[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9505_ (.D(_0481_),
+    .Q(\u_wb_if.spim_wb_addr[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5411_ (.D(_0233_),
-    .Q(\u_spim_regs.spim_wb_addr[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _9506_ (.D(_0482_),
+    .Q(\u_wb_if.spim_wb_addr[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5412_ (.D(_0234_),
-    .Q(\u_spim_regs.spim_wb_addr[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9507_ (.D(_0483_),
+    .Q(\u_wb_if.spim_wb_addr[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5413_ (.D(_0235_),
-    .Q(\u_spim_regs.spim_wb_addr[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9508_ (.D(_0484_),
+    .Q(\u_wb_if.spim_wb_addr[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5414_ (.D(_0236_),
-    .Q(\u_spim_regs.spim_wb_addr[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _9509_ (.D(_0485_),
+    .Q(\u_wb_if.spim_wb_addr[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5415_ (.D(_0237_),
-    .Q(\u_spim_regs.spim_wb_addr[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9510_ (.D(_0486_),
+    .Q(\u_wb_if.spim_wb_addr[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9511_ (.D(_0487_),
+    .Q(\u_wb_if.spim_wb_addr[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9512_ (.D(_0488_),
+    .Q(\u_wb_if.spim_wb_addr[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9513_ (.D(_0489_),
+    .Q(\u_wb_if.spim_wb_addr[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9514_ (.D(_0490_),
+    .Q(\u_wb_if.spim_wb_addr[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9515_ (.D(_0491_),
+    .Q(\u_wb_if.spim_wb_addr[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9516_ (.D(_0492_),
+    .Q(\u_wb_if.spim_wb_addr[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9517_ (.D(_0493_),
+    .Q(\u_wb_if.spim_wb_addr[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9518_ (.D(_0494_),
+    .Q(\u_wb_if.spim_wb_addr[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9519_ (.D(_0495_),
+    .Q(\u_wb_if.spim_wb_addr[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9520_ (.D(_0496_),
+    .Q(\u_wb_if.spim_wb_addr[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9521_ (.D(_0497_),
+    .Q(\u_wb_if.spim_wb_addr[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9522_ (.D(_0498_),
+    .Q(\u_wb_if.spim_wb_addr[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9523_ (.D(_0499_),
+    .Q(\u_wb_if.spim_wb_addr[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9524_ (.D(_0500_),
+    .Q(\u_wb_if.spim_wb_addr[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9525_ (.D(_0501_),
+    .Q(\u_wb_if.spim_wb_addr[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9526_ (.D(_0502_),
+    .Q(\u_wb_if.spim_wb_addr[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9527_ (.D(_0503_),
+    .Q(\u_wb_if.spim_wb_addr[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9528_ (.D(_0504_),
+    .Q(\u_wb_if.spim_wb_addr[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9529_ (.D(_0505_),
+    .Q(\u_spim_regs.spim_reg_wdata[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9530_ (.D(_0506_),
+    .Q(\u_spim_regs.spim_reg_wdata[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9531_ (.D(_0507_),
+    .Q(\u_spim_regs.spim_reg_wdata[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9532_ (.D(_0508_),
+    .Q(\u_spim_regs.spim_reg_wdata[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9533_ (.D(_0509_),
+    .Q(\u_spim_regs.spim_reg_wdata[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9534_ (.D(_0510_),
+    .Q(\u_spim_regs.spim_reg_wdata[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9535_ (.D(_0511_),
+    .Q(\u_spim_regs.spim_reg_wdata[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9536_ (.D(_0512_),
+    .Q(\u_spim_regs.spim_reg_wdata[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9537_ (.D(_0513_),
+    .Q(\u_spim_regs.spim_reg_wdata[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9538_ (.D(_0514_),
+    .Q(\u_spim_regs.spim_reg_wdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5416_ (.D(_0238_),
-    .Q(\u_spim_regs.spim_wb_addr[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9539_ (.D(_0515_),
+    .Q(\u_spim_regs.spim_reg_wdata[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5417_ (.D(_0239_),
-    .Q(\u_spim_regs.spim_wb_addr[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9540_ (.D(_0516_),
+    .Q(\u_spim_regs.spim_reg_wdata[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5418_ (.D(_0240_),
-    .Q(\u_spim_regs.spim_wb_addr[16] ),
+ sky130_fd_sc_hd__dfrtp_4 _9541_ (.D(_0517_),
+    .Q(\u_spim_regs.spim_reg_wdata[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5419_ (.D(_0241_),
-    .Q(\u_spim_regs.spim_wb_addr[17] ),
+ sky130_fd_sc_hd__dfrtp_4 _9542_ (.D(_0518_),
+    .Q(\u_spim_regs.spim_reg_wdata[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5420_ (.D(_0242_),
-    .Q(\u_spim_regs.spim_wb_addr[18] ),
+ sky130_fd_sc_hd__dfrtp_4 _9543_ (.D(_0519_),
+    .Q(\u_spim_regs.spim_reg_wdata[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5421_ (.D(_0243_),
-    .Q(\u_spim_regs.spim_wb_addr[19] ),
+ sky130_fd_sc_hd__dfrtp_4 _9544_ (.D(_0520_),
+    .Q(\u_spim_regs.spim_reg_wdata[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5422_ (.D(_0244_),
-    .Q(\u_spim_regs.spim_wb_addr[20] ),
+ sky130_fd_sc_hd__dfrtp_4 _9545_ (.D(_0521_),
+    .Q(\u_spim_regs.spim_reg_wdata[16] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5423_ (.D(_0245_),
-    .Q(\u_spim_regs.spim_wb_addr[21] ),
+ sky130_fd_sc_hd__dfrtp_4 _9546_ (.D(_0522_),
+    .Q(\u_spim_regs.spim_reg_wdata[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5424_ (.D(_0246_),
-    .Q(\u_spim_regs.spim_wb_addr[22] ),
+ sky130_fd_sc_hd__dfrtp_4 _9547_ (.D(_0523_),
+    .Q(\u_spim_regs.spim_reg_wdata[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5425_ (.D(_0247_),
-    .Q(\u_spim_regs.spim_wb_addr[23] ),
+ sky130_fd_sc_hd__dfrtp_4 _9548_ (.D(_0524_),
+    .Q(\u_spim_regs.spim_reg_wdata[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5426_ (.D(_0248_),
-    .Q(\u_spim_regs.spim_wb_addr[28] ),
+ sky130_fd_sc_hd__dfrtp_4 _9549_ (.D(_0525_),
+    .Q(\u_spim_regs.spim_reg_wdata[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5427_ (.D(_0249_),
-    .Q(\u_spim_regs.spim_wb_addr[29] ),
+ sky130_fd_sc_hd__dfrtp_4 _9550_ (.D(_0526_),
+    .Q(\u_spim_regs.spim_reg_wdata[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5428_ (.D(_0250_),
-    .Q(\u_spim_regs.spim_wb_addr[30] ),
+ sky130_fd_sc_hd__dfrtp_4 _9551_ (.D(_0527_),
+    .Q(\u_spim_regs.spim_reg_wdata[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5429_ (.D(_0251_),
-    .Q(\u_spim_regs.spim_wb_addr[31] ),
+ sky130_fd_sc_hd__dfrtp_4 _9552_ (.D(_0528_),
+    .Q(\u_spim_regs.spim_reg_wdata[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5430_ (.D(_0252_),
-    .Q(\u_spim_regs.spim_wb_wdata[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9553_ (.D(_0529_),
+    .Q(\u_spim_regs.spim_reg_wdata[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5431_ (.D(_0253_),
-    .Q(\u_spim_regs.spim_wb_wdata[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9554_ (.D(_0530_),
+    .Q(\u_spim_regs.spim_reg_wdata[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5432_ (.D(_0254_),
-    .Q(\u_spim_regs.spim_wb_wdata[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9555_ (.D(_0531_),
+    .Q(\u_spim_regs.spim_reg_wdata[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5433_ (.D(_0255_),
-    .Q(\u_spim_regs.spim_wb_wdata[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9556_ (.D(_0532_),
+    .Q(\u_spim_regs.spim_reg_wdata[27] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5434_ (.D(_0256_),
-    .Q(\u_spim_regs.spim_wb_wdata[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9557_ (.D(_0533_),
+    .Q(\u_spim_regs.spim_reg_wdata[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5435_ (.D(_0257_),
-    .Q(\u_spim_regs.spim_wb_wdata[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9558_ (.D(_0534_),
+    .Q(\u_spim_regs.spim_reg_wdata[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5436_ (.D(_0258_),
-    .Q(\u_spim_regs.spim_wb_wdata[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9559_ (.D(_0535_),
+    .Q(\u_spim_regs.spim_reg_wdata[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5437_ (.D(_0259_),
-    .Q(\u_spim_regs.spim_wb_wdata[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9560_ (.D(_0536_),
+    .Q(\u_spim_regs.spim_reg_wdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5438_ (.D(_0260_),
-    .Q(\u_spim_regs.spim_wb_wdata[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9561_ (.D(_0537_),
+    .Q(\u_wb_if.spim_wb_req ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5439_ (.D(_0261_),
-    .Q(\u_spim_regs.spim_wb_wdata[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _9562_ (.D(_0538_),
+    .Q(\u_spictrl.cfg_dummy_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5440_ (.D(_0262_),
-    .Q(\u_spim_regs.spim_wb_wdata[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9563_ (.D(_0539_),
+    .Q(\u_spictrl.cfg_dummy_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_12_0_mclk),
+    .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5441_ (.D(_0263_),
-    .Q(\u_spim_regs.spim_wb_wdata[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9564_ (.D(_0540_),
+    .Q(\u_spictrl.cfg_data_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_12_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5442_ (.D(_0264_),
-    .Q(\u_spim_regs.spim_wb_wdata[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _9565_ (.D(_0541_),
+    .Q(\u_spictrl.cfg_data_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_12_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5443_ (.D(_0265_),
-    .Q(\u_spim_regs.spim_wb_wdata[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9566_ (.D(_0542_),
+    .Q(\u_spictrl.cfg_data_cnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_12_0_mclk),
+    .CLK(clknet_7_109_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5444_ (.D(_0266_),
-    .Q(\u_spim_regs.spim_wb_wdata[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9567_ (.D(_0543_),
+    .Q(\u_spictrl.cfg_data_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_9_0_mclk),
+    .CLK(clknet_7_109_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5445_ (.D(_0267_),
-    .Q(\u_spim_regs.spim_wb_wdata[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9568_ (.D(_0544_),
+    .Q(\u_spictrl.cfg_data_cnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_9_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5446_ (.D(_0268_),
-    .Q(\u_spim_regs.spim_wb_wdata[16] ),
+ sky130_fd_sc_hd__dfrtp_4 _9569_ (.D(_0545_),
+    .Q(\u_spictrl.cfg_data_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_12_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5447_ (.D(_0269_),
-    .Q(\u_spim_regs.spim_wb_wdata[17] ),
+ sky130_fd_sc_hd__dfrtp_4 _9570_ (.D(_0546_),
+    .Q(\u_spictrl.cfg_data_cnt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_9_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5448_ (.D(_0270_),
-    .Q(\u_spim_regs.spim_wb_wdata[18] ),
+ sky130_fd_sc_hd__dfrtp_4 _9571_ (.D(_0547_),
+    .Q(\u_spictrl.cfg_data_cnt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
+    .CLK(clknet_7_120_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5449_ (.D(_0271_),
-    .Q(\u_spim_regs.spim_wb_wdata[19] ),
+ sky130_fd_sc_hd__dfrtp_4 _9572_ (.D(_0548_),
+    .Q(\u_spictrl.cfg_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5450_ (.D(_0272_),
-    .Q(\u_spim_regs.spim_wb_wdata[20] ),
+ sky130_fd_sc_hd__dfrtp_4 _9573_ (.D(_0549_),
+    .Q(\u_spictrl.cfg_spi_seq[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5451_ (.D(_0273_),
-    .Q(\u_spim_regs.spim_wb_wdata[21] ),
+ sky130_fd_sc_hd__dfrtp_4 _9574_ (.D(_0550_),
+    .Q(\u_spictrl.cfg_spi_seq[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5452_ (.D(_0274_),
-    .Q(\u_spim_regs.spim_wb_wdata[22] ),
+ sky130_fd_sc_hd__dfrtp_4 _9575_ (.D(_0551_),
+    .Q(\u_spictrl.cfg_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5453_ (.D(_0275_),
-    .Q(\u_spim_regs.spim_wb_wdata[23] ),
+ sky130_fd_sc_hd__dfrtp_4 _9576_ (.D(_0552_),
+    .Q(\u_spictrl.spi_mode_cmd[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5454_ (.D(_0276_),
-    .Q(\u_spim_regs.spim_wb_wdata[24] ),
+ sky130_fd_sc_hd__dfrtp_4 _9577_ (.D(_0553_),
+    .Q(\u_spictrl.spi_mode_cmd[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5455_ (.D(_0277_),
-    .Q(\u_spim_regs.spim_wb_wdata[25] ),
+ sky130_fd_sc_hd__dfrtp_4 _9578_ (.D(_0554_),
+    .Q(\u_spictrl.spi_mode_cmd[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_3_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5456_ (.D(_0278_),
-    .Q(\u_spim_regs.spim_wb_wdata[26] ),
+ sky130_fd_sc_hd__dfrtp_4 _9579_ (.D(_0555_),
+    .Q(\u_spictrl.spi_mode_cmd[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_2_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5457_ (.D(_0279_),
-    .Q(\u_spim_regs.spim_wb_wdata[27] ),
+ sky130_fd_sc_hd__dfrtp_4 _9580_ (.D(_0556_),
+    .Q(\u_spictrl.spi_mode_cmd[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5458_ (.D(_0280_),
-    .Q(\u_spim_regs.spim_wb_wdata[28] ),
+ sky130_fd_sc_hd__dfrtp_4 _9581_ (.D(_0557_),
+    .Q(\u_spictrl.spi_mode_cmd[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5459_ (.D(_0281_),
-    .Q(\u_spim_regs.spim_wb_wdata[29] ),
+ sky130_fd_sc_hd__dfrtp_4 _9582_ (.D(_0558_),
+    .Q(\u_spictrl.spi_mode_cmd[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5460_ (.D(_0282_),
-    .Q(\u_spim_regs.spim_wb_wdata[30] ),
+ sky130_fd_sc_hd__dfrtp_4 _9583_ (.D(_0559_),
+    .Q(\u_spictrl.spi_mode_cmd[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5461_ (.D(_0283_),
-    .Q(\u_spim_regs.spim_wb_wdata[31] ),
+ sky130_fd_sc_hd__dfrtp_4 _9584_ (.D(_0560_),
+    .Q(spi_debug[17]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5462_ (.D(_0284_),
-    .Q(\u_spim_regs.spim_wb_req_l ),
+ sky130_fd_sc_hd__dfrtp_4 _9585_ (.D(_0561_),
+    .Q(spi_debug[18]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_1_0_mclk),
+    .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5463_ (.D(_0285_),
-    .Q(\u_spim_regs.spim_wb_req ),
+ sky130_fd_sc_hd__dfrtp_4 _9586_ (.D(_0562_),
+    .Q(spi_debug[19]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_0_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5464_ (.D(_0286_),
-    .Q(\u_spictrl.u_txreg.tx_CS ),
+ sky130_fd_sc_hd__dfrtp_4 _9587_ (.D(_0563_),
+    .Q(spi_debug[20]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_43_0_mclk),
+    .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5465_ (.D(_0287_),
-    .Q(\u_spictrl.en_quad_int ),
+ sky130_fd_sc_hd__dfrtp_4 _9588_ (.D(_0564_),
+    .Q(\u_spictrl.cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5466_ (.D(_0288_),
-    .Q(\u_spictrl.do_rx ),
+ sky130_fd_sc_hd__dfrtp_4 _9589_ (.D(_0565_),
+    .Q(\u_spictrl.cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5467_ (.D(_0289_),
+ sky130_fd_sc_hd__dfstp_4 _9590_ (.D(_0566_),
     .Q(io_out[0]),
     .SET_B(rst_n),
-    .CLK(clknet_6_54_0_mclk),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5468_ (.D(_0290_),
+ sky130_fd_sc_hd__dfrtp_4 _9591_ (.D(_0567_),
+    .Q(\u_spictrl.rx_done ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9592_ (.D(_0568_),
+    .Q(\u_spictrl.rx_clk_en ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9593_ (.D(_0569_),
+    .Q(\u_spictrl.u_rxreg.data_int[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9594_ (.D(_0570_),
+    .Q(\u_spictrl.u_rxreg.data_int[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9595_ (.D(_0571_),
+    .Q(\u_spictrl.u_rxreg.data_int[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9596_ (.D(_0572_),
+    .Q(\u_spictrl.u_rxreg.data_int[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9597_ (.D(_0573_),
+    .Q(\u_spictrl.u_rxreg.data_int[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9598_ (.D(_0574_),
+    .Q(\u_spictrl.u_rxreg.data_int[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9599_ (.D(_0575_),
+    .Q(\u_spictrl.u_rxreg.data_int[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9600_ (.D(_0576_),
+    .Q(\u_spictrl.u_rxreg.data_int[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9601_ (.D(_0577_),
+    .Q(\u_spictrl.u_rxreg.data_int[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9602_ (.D(_0578_),
+    .Q(\u_spictrl.u_rxreg.data_int[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9603_ (.D(_0579_),
+    .Q(\u_spictrl.u_rxreg.data_int[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9604_ (.D(_0580_),
+    .Q(\u_spictrl.u_rxreg.data_int[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9605_ (.D(_0581_),
+    .Q(\u_spictrl.u_rxreg.data_int[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9606_ (.D(_0582_),
+    .Q(\u_spictrl.u_rxreg.data_int[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9607_ (.D(_0583_),
+    .Q(\u_spictrl.u_rxreg.data_int[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9608_ (.D(_0584_),
+    .Q(\u_spictrl.u_rxreg.data_int[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9609_ (.D(_0585_),
+    .Q(\u_spictrl.u_rxreg.data_int[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9610_ (.D(_0586_),
+    .Q(\u_spictrl.u_rxreg.data_int[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9611_ (.D(_0587_),
+    .Q(\u_spictrl.u_rxreg.data_int[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9612_ (.D(_0588_),
+    .Q(\u_spictrl.u_rxreg.data_int[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9613_ (.D(_0589_),
+    .Q(\u_spictrl.u_rxreg.data_int[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9614_ (.D(_0590_),
+    .Q(\u_spictrl.u_rxreg.data_int[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9615_ (.D(_0591_),
+    .Q(\u_spictrl.u_rxreg.data_int[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9616_ (.D(_0592_),
+    .Q(\u_spictrl.u_rxreg.data_int[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9617_ (.D(_0593_),
+    .Q(\u_spictrl.u_rxreg.data_int[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9618_ (.D(_0594_),
+    .Q(\u_spictrl.u_rxreg.data_int[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9619_ (.D(_0595_),
+    .Q(\u_spictrl.u_rxreg.data_int[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9620_ (.D(_0596_),
+    .Q(\u_spictrl.u_rxreg.data_int[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9621_ (.D(_0597_),
+    .Q(\u_spictrl.u_rxreg.data_int[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9622_ (.D(_0598_),
+    .Q(\u_spictrl.u_rxreg.data_int[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9623_ (.D(_0599_),
+    .Q(\u_spictrl.u_rxreg.data_int[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9624_ (.D(_0600_),
+    .Q(\u_spictrl.u_rxreg.data_int[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9625_ (.D(_0601_),
+    .Q(\u_spictrl.u_rxreg.counter[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9626_ (.D(_0602_),
+    .Q(\u_spictrl.u_rxreg.counter[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9627_ (.D(_0603_),
+    .Q(\u_spictrl.u_rxreg.counter[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9628_ (.D(_0604_),
+    .Q(\u_spictrl.u_rxreg.counter[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9629_ (.D(_0605_),
+    .Q(\u_spictrl.u_rxreg.counter[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9630_ (.D(_0606_),
+    .Q(\u_spictrl.u_rxreg.counter[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9631_ (.D(_0607_),
+    .Q(\u_spictrl.u_rxreg.counter[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9632_ (.D(_0608_),
+    .Q(\u_spictrl.u_rxreg.counter[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9633_ (.D(_0609_),
+    .Q(\u_spictrl.u_rxreg.counter[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9634_ (.D(_0610_),
+    .Q(\u_spictrl.u_rxreg.counter[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9635_ (.D(_0611_),
+    .Q(\u_spictrl.u_rxreg.counter[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9636_ (.D(_0612_),
+    .Q(\u_spictrl.u_rxreg.counter[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9637_ (.D(_0613_),
+    .Q(\u_spictrl.u_rxreg.counter[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9638_ (.D(_0614_),
+    .Q(\u_spictrl.u_rxreg.counter[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9639_ (.D(_0615_),
+    .Q(\u_spictrl.u_rxreg.counter[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9640_ (.D(_0616_),
+    .Q(\u_spictrl.u_rxreg.counter[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9641_ (.D(_0617_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9642_ (.D(_0618_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9643_ (.D(_0619_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9644_ (.D(_0620_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9645_ (.D(_0621_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9646_ (.D(_0622_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9647_ (.D(_0623_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9648_ (.D(_0624_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9649_ (.D(_0625_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9650_ (.D(_0626_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9651_ (.D(_0627_),
+    .Q(io_out[2]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9652_ (.D(_0628_),
+    .Q(io_out[3]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9653_ (.D(_0629_),
+    .Q(io_out[4]),
+    .SET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9654_ (.D(_0630_),
+    .Q(io_out[5]),
+    .SET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9655_ (.D(_0631_),
+    .Q(\u_spictrl.u_txreg.data_int[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9656_ (.D(_0632_),
+    .Q(\u_spictrl.u_txreg.data_int[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9657_ (.D(_0633_),
+    .Q(\u_spictrl.u_txreg.data_int[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9658_ (.D(_0634_),
+    .Q(\u_spictrl.u_txreg.data_int[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9659_ (.D(_0635_),
+    .Q(\u_spictrl.u_txreg.data_int[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9660_ (.D(_0636_),
+    .Q(\u_spictrl.u_txreg.data_int[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9661_ (.D(_0637_),
+    .Q(\u_spictrl.u_txreg.data_int[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9662_ (.D(_0638_),
+    .Q(\u_spictrl.u_txreg.data_int[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9663_ (.D(_0639_),
+    .Q(\u_spictrl.u_txreg.data_int[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9664_ (.D(_0640_),
+    .Q(\u_spictrl.u_txreg.data_int[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9665_ (.D(_0641_),
+    .Q(\u_spictrl.u_txreg.data_int[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9666_ (.D(_0642_),
+    .Q(\u_spictrl.u_txreg.data_int[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9667_ (.D(_0643_),
+    .Q(\u_spictrl.u_txreg.data_int[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9668_ (.D(_0644_),
+    .Q(\u_spictrl.u_txreg.data_int[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9669_ (.D(_0645_),
+    .Q(\u_spictrl.u_txreg.data_int[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9670_ (.D(_0646_),
+    .Q(\u_spictrl.u_txreg.data_int[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9671_ (.D(_0647_),
+    .Q(\u_spictrl.u_txreg.data_int[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9672_ (.D(_0648_),
+    .Q(\u_spictrl.u_txreg.data_int[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9673_ (.D(_0649_),
+    .Q(\u_spictrl.u_txreg.data_int[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9674_ (.D(_0650_),
+    .Q(\u_spictrl.u_txreg.data_int[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9675_ (.D(_0651_),
+    .Q(\u_spictrl.u_txreg.data_int[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9676_ (.D(_0652_),
+    .Q(\u_spictrl.u_txreg.data_int[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9677_ (.D(_0653_),
+    .Q(\u_spictrl.u_txreg.data_int[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9678_ (.D(_0654_),
+    .Q(\u_spictrl.u_txreg.data_int[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9679_ (.D(_0655_),
+    .Q(\u_spictrl.u_txreg.data_int[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9680_ (.D(_0656_),
+    .Q(\u_spictrl.u_txreg.data_int[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9681_ (.D(_0657_),
+    .Q(\u_spictrl.u_txreg.data_int[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9682_ (.D(_0658_),
+    .Q(\u_spictrl.u_txreg.data_int[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9683_ (.D(_0659_),
+    .Q(\u_spictrl.u_txreg.data_int[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9684_ (.D(_0660_),
+    .Q(\u_spictrl.u_txreg.data_int[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9685_ (.D(_0661_),
+    .Q(\u_spictrl.u_txreg.data_int[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9686_ (.D(_0662_),
+    .Q(\u_spictrl.u_txreg.data_int[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9687_ (.D(_0663_),
+    .Q(\u_spictrl.u_txreg.counter[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9688_ (.D(_0664_),
+    .Q(\u_spictrl.u_txreg.counter[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9689_ (.D(_0665_),
+    .Q(\u_spictrl.u_txreg.counter[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9690_ (.D(_0666_),
+    .Q(\u_spictrl.u_txreg.counter[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9691_ (.D(_0667_),
+    .Q(\u_spictrl.u_txreg.counter[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9692_ (.D(_0668_),
+    .Q(\u_spictrl.u_txreg.counter[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9693_ (.D(_0669_),
+    .Q(\u_spictrl.u_txreg.counter[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9694_ (.D(_0670_),
+    .Q(\u_spictrl.u_txreg.counter[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9695_ (.D(_0671_),
+    .Q(\u_spictrl.u_txreg.counter[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9696_ (.D(_0672_),
+    .Q(\u_spictrl.u_txreg.counter[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9697_ (.D(_0673_),
+    .Q(\u_spictrl.u_txreg.counter[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9698_ (.D(_0674_),
+    .Q(\u_spictrl.u_txreg.counter[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9699_ (.D(_0675_),
+    .Q(\u_spictrl.u_txreg.counter[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9700_ (.D(_0676_),
+    .Q(\u_spictrl.u_txreg.counter[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9701_ (.D(_0677_),
+    .Q(\u_spictrl.u_txreg.counter[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9702_ (.D(_0678_),
+    .Q(\u_spictrl.u_txreg.counter[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9703_ (.D(_0679_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9704_ (.D(_0680_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9705_ (.D(_0681_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9706_ (.D(_0682_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9707_ (.D(_0683_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9708_ (.D(_0684_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9709_ (.D(_0685_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9710_ (.D(_0686_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9711_ (.D(_0687_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9712_ (.D(_0688_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9713_ (.D(_0689_),
+    .Q(\u_spictrl.u_txreg.counter_trgt[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9714_ (.D(_0690_),
+    .Q(\u_spictrl.u_txreg.en_quad ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9715_ (.D(_0691_),
+    .Q(\u_spictrl.u_txreg.tx_CS ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9716_ (.D(_0692_),
+    .Q(spi_debug[9]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9717_ (.D(_0693_),
+    .Q(spi_debug[10]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9718_ (.D(_0694_),
+    .Q(spi_debug[11]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9719_ (.D(_0695_),
+    .Q(\u_spim_regs.cfg_m1_addr[24] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9720_ (.D(_0696_),
+    .Q(\u_spim_regs.cfg_m1_addr[25] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9721_ (.D(_0697_),
+    .Q(\u_spim_regs.cfg_m1_addr[26] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9722_ (.D(_0698_),
+    .Q(\u_spim_regs.cfg_m1_addr[27] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9723_ (.D(_0699_),
+    .Q(\u_spim_regs.cfg_m1_addr[28] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9724_ (.D(_0700_),
+    .Q(\u_spim_regs.cfg_m1_addr[29] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9725_ (.D(_0701_),
+    .Q(\u_spim_regs.cfg_m1_addr[30] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9726_ (.D(_0702_),
+    .Q(\u_spim_regs.cfg_m1_addr[31] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9727_ (.D(_0703_),
     .Q(\u_spictrl.spi_clk_div[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5469_ (.D(_0291_),
+ sky130_fd_sc_hd__dfstp_4 _9728_ (.D(_0704_),
     .Q(\u_spictrl.spi_clk_div[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5470_ (.D(_0292_),
+ sky130_fd_sc_hd__dfrtp_4 _9729_ (.D(_0705_),
     .Q(\u_spictrl.spi_clk_div[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5471_ (.D(_0293_),
+ sky130_fd_sc_hd__dfrtp_4 _9730_ (.D(_0706_),
     .Q(\u_spictrl.spi_clk_div[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5472_ (.D(_0294_),
+ sky130_fd_sc_hd__dfrtp_4 _9731_ (.D(_0707_),
     .Q(\u_spictrl.spi_clk_div[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5473_ (.D(_0295_),
+ sky130_fd_sc_hd__dfrtp_4 _9732_ (.D(_0708_),
     .Q(\u_spictrl.spi_clk_div[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_29_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5474_ (.D(_0296_),
-    .Q(\u_spim_regs.spi_clk_div[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9733_ (.D(_0709_),
+    .Q(\u_spictrl.spi_clk_div[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5475_ (.D(_0297_),
-    .Q(\u_spim_regs.spi_clk_div[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9734_ (.D(_0710_),
+    .Q(\u_spictrl.spi_clk_div[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5476_ (.D(_0298_),
-    .Q(\u_spim_regs.reg2spi_wdata[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9735_ (.D(_0711_),
+    .Q(\u_spim_regs.cfg_m0_fsm_reset ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5477_ (.D(_0299_),
-    .Q(\u_spim_regs.reg2spi_wdata[1] ),
+ sky130_fd_sc_hd__dfstp_4 _9736_ (.D(_0712_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9737_ (.D(_0713_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5478_ (.D(_0300_),
-    .Q(\u_spim_regs.reg2spi_wdata[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9738_ (.D(_0714_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5479_ (.D(_0301_),
-    .Q(\u_spim_regs.reg2spi_wdata[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9739_ (.D(_0715_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_30_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5480_ (.D(_0302_),
-    .Q(\u_spim_regs.reg2spi_wdata[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9740_ (.D(_0716_),
+    .Q(\u_spictrl.cfg_m0_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5481_ (.D(_0303_),
-    .Q(\u_spim_regs.reg2spi_wdata[5] ),
+ sky130_fd_sc_hd__dfstp_4 _9741_ (.D(_0717_),
+    .Q(\u_spictrl.cfg_m0_spi_mode[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9742_ (.D(_0718_),
+    .Q(\u_spictrl.cfg_m0_spi_switch[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9743_ (.D(_0719_),
+    .Q(\u_spictrl.cfg_m0_spi_switch[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5482_ (.D(_0304_),
-    .Q(\u_spim_regs.reg2spi_wdata[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9744_ (.D(_0720_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5483_ (.D(_0305_),
-    .Q(\u_spim_regs.reg2spi_wdata[7] ),
+ sky130_fd_sc_hd__dfstp_4 _9745_ (.D(_0721_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9746_ (.D(_0722_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9747_ (.D(_0723_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5484_ (.D(_0306_),
-    .Q(\u_spim_regs.reg2spi_wdata[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9748_ (.D(_0724_),
+    .Q(\u_spim_regs.cfg_m0_addr_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5485_ (.D(_0307_),
-    .Q(\u_spim_regs.reg2spi_wdata[9] ),
+ sky130_fd_sc_hd__dfstp_4 _9749_ (.D(_0725_),
+    .Q(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9750_ (.D(_0726_),
+    .Q(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9751_ (.D(_0727_),
+    .Q(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5486_ (.D(_0308_),
-    .Q(\u_spim_regs.reg2spi_wdata[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9752_ (.D(_0728_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5487_ (.D(_0309_),
-    .Q(\u_spim_regs.reg2spi_wdata[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9753_ (.D(_0729_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5488_ (.D(_0310_),
-    .Q(\u_spim_regs.reg2spi_wdata[12] ),
+ sky130_fd_sc_hd__dfstp_4 _9754_ (.D(_0730_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9755_ (.D(_0731_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5489_ (.D(_0311_),
-    .Q(\u_spim_regs.reg2spi_wdata[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9756_ (.D(_0732_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5490_ (.D(_0312_),
-    .Q(\u_spim_regs.reg2spi_wdata[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9757_ (.D(_0733_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5491_ (.D(_0313_),
-    .Q(\u_spim_regs.reg2spi_wdata[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9758_ (.D(_0734_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5492_ (.D(_0314_),
-    .Q(\u_spim_regs.reg2spi_wdata[16] ),
+ sky130_fd_sc_hd__dfrtp_4 _9759_ (.D(_0735_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5493_ (.D(_0315_),
-    .Q(\u_spim_regs.reg2spi_wdata[17] ),
+ sky130_fd_sc_hd__dfstp_4 _9760_ (.D(_0736_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9761_ (.D(_0737_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9762_ (.D(_0738_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5494_ (.D(_0316_),
-    .Q(\u_spim_regs.reg2spi_wdata[18] ),
+ sky130_fd_sc_hd__dfstp_4 _9763_ (.D(_0739_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9764_ (.D(_0740_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5495_ (.D(_0317_),
-    .Q(\u_spim_regs.reg2spi_wdata[19] ),
+ sky130_fd_sc_hd__dfstp_4 _9765_ (.D(_0741_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9766_ (.D(_0742_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9767_ (.D(_0743_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9768_ (.D(_0744_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5496_ (.D(_0318_),
-    .Q(\u_spim_regs.reg2spi_wdata[20] ),
+ sky130_fd_sc_hd__dfrtp_4 _9769_ (.D(_0745_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5497_ (.D(_0319_),
-    .Q(\u_spim_regs.reg2spi_wdata[21] ),
+ sky130_fd_sc_hd__dfrtp_4 _9770_ (.D(_0746_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5498_ (.D(_0320_),
-    .Q(\u_spim_regs.reg2spi_wdata[22] ),
+ sky130_fd_sc_hd__dfrtp_4 _9771_ (.D(_0747_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5499_ (.D(_0321_),
-    .Q(\u_spim_regs.reg2spi_wdata[23] ),
+ sky130_fd_sc_hd__dfrtp_4 _9772_ (.D(_0748_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5500_ (.D(_0322_),
-    .Q(\u_spim_regs.reg2spi_wdata[24] ),
+ sky130_fd_sc_hd__dfrtp_4 _9773_ (.D(_0749_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5501_ (.D(_0323_),
-    .Q(\u_spim_regs.reg2spi_wdata[25] ),
+ sky130_fd_sc_hd__dfrtp_4 _9774_ (.D(_0750_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5502_ (.D(_0324_),
-    .Q(\u_spim_regs.reg2spi_wdata[26] ),
+ sky130_fd_sc_hd__dfrtp_4 _9775_ (.D(_0751_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_32_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5503_ (.D(_0325_),
-    .Q(\u_spim_regs.reg2spi_wdata[27] ),
+ sky130_fd_sc_hd__dfstp_4 _9776_ (.D(_0752_),
+    .Q(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9777_ (.D(_0753_),
+    .Q(\u_spictrl.cfg_m1_cs_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5504_ (.D(_0326_),
-    .Q(\u_spim_regs.reg2spi_wdata[28] ),
+ sky130_fd_sc_hd__dfrtp_4 _9778_ (.D(_0754_),
+    .Q(\u_spictrl.cfg_m1_cs_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5505_ (.D(_0327_),
-    .Q(\u_spim_regs.reg2spi_wdata[29] ),
+ sky130_fd_sc_hd__dfrtp_4 _9779_ (.D(_0755_),
+    .Q(\u_spictrl.cfg_m1_cs_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5506_ (.D(_0328_),
-    .Q(\u_spim_regs.reg2spi_wdata[30] ),
+ sky130_fd_sc_hd__dfrtp_4 _9780_ (.D(_0756_),
+    .Q(\u_spictrl.cfg_m1_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5507_ (.D(_0329_),
-    .Q(\u_spim_regs.reg2spi_wdata[31] ),
+ sky130_fd_sc_hd__dfstp_4 _9781_ (.D(_0757_),
+    .Q(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9782_ (.D(_0758_),
+    .Q(\u_spictrl.cfg_m1_spi_switch[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5508_ (.D(_0330_),
-    .Q(\u_spim_regs.reg2spi_req ),
+ sky130_fd_sc_hd__dfstp_4 _9783_ (.D(_0759_),
+    .Q(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9784_ (.D(_0760_),
+    .Q(\u_spictrl.cfg_cs_early[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9785_ (.D(_0761_),
+    .Q(\u_spictrl.cfg_cs_early[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5509_ (.D(_0331_),
-    .Q(\u_spim_regs.reg2spi_addr[24] ),
+ sky130_fd_sc_hd__dfstp_4 _9786_ (.D(_0762_),
+    .Q(\u_spictrl.cfg_cs_late[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9787_ (.D(_0763_),
+    .Q(\u_spictrl.cfg_cs_late[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5510_ (.D(_0332_),
-    .Q(\u_spim_regs.reg2spi_addr[25] ),
+ sky130_fd_sc_hd__dfrtp_4 _9788_ (.D(_0764_),
+    .Q(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_33_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5511_ (.D(_0333_),
-    .Q(\u_spim_regs.reg2spi_addr[26] ),
+ sky130_fd_sc_hd__dfrtp_4 _9789_ (.D(_0765_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_34_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5512_ (.D(_0334_),
-    .Q(\u_spim_regs.reg2spi_addr[27] ),
+ sky130_fd_sc_hd__dfrtp_4 _9790_ (.D(_0766_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_35_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5513_ (.D(_0335_),
-    .Q(\u_spim_regs.reg2spi_addr[28] ),
+ sky130_fd_sc_hd__dfstp_4 _9791_ (.D(_0767_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9792_ (.D(_0768_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5514_ (.D(_0336_),
-    .Q(\u_spim_regs.reg2spi_addr[29] ),
+ sky130_fd_sc_hd__dfrtp_4 _9793_ (.D(_0769_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5515_ (.D(_0337_),
-    .Q(\u_spim_regs.reg2spi_addr[30] ),
+ sky130_fd_sc_hd__dfstp_4 _9794_ (.D(_0770_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9795_ (.D(_0771_),
+    .Q(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5516_ (.D(_0338_),
-    .Q(\u_spim_regs.reg2spi_addr[31] ),
+ sky130_fd_sc_hd__dfrtp_4 _9796_ (.D(_0772_),
+    .Q(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5517_ (.D(_0339_),
-    .Q(\u_spim_regs.reg2spi_addr[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9797_ (.D(_0773_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5518_ (.D(_0340_),
-    .Q(\u_spim_regs.reg2spi_addr[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _9798_ (.D(_0774_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5519_ (.D(_0341_),
-    .Q(\u_spim_regs.reg2spi_addr[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9799_ (.D(_0775_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5520_ (.D(_0342_),
-    .Q(\u_spim_regs.reg2spi_addr[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9800_ (.D(_0776_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5521_ (.D(_0343_),
-    .Q(\u_spim_regs.reg2spi_addr[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _9801_ (.D(_0777_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_11_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5522_ (.D(_0344_),
-    .Q(\u_spim_regs.reg2spi_addr[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9802_ (.D(_0778_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_10_0_mclk),
+    .CLK(clknet_7_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5523_ (.D(_0345_),
-    .Q(\u_spim_regs.reg2spi_addr[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9803_ (.D(_0779_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_9_0_mclk),
+    .CLK(clknet_7_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5524_ (.D(_0346_),
-    .Q(\u_spim_regs.reg2spi_addr[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9804_ (.D(_0780_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_8_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5525_ (.D(_0347_),
-    .Q(\u_spim_regs.reg2spi_addr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9805_ (.D(_0781_),
+    .Q(\u_spim_regs.cur_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_52_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5526_ (.D(_0348_),
-    .Q(\u_spim_regs.reg2spi_addr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9806_ (.D(_0782_),
+    .Q(\u_spim_regs.cur_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_49_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5527_ (.D(_0349_),
-    .Q(\u_spim_regs.reg2spi_addr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9807_ (.D(_0783_),
+    .Q(\u_spim_regs.cur_cnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_48_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5528_ (.D(_0350_),
-    .Q(\u_spim_regs.reg2spi_addr[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9808_ (.D(_0784_),
+    .Q(\u_spim_regs.cur_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_53_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5529_ (.D(_0351_),
-    .Q(\u_spim_regs.reg2spi_addr[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9809_ (.D(_0785_),
+    .Q(\u_spim_regs.cur_cnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_48_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5530_ (.D(_0352_),
-    .Q(\u_spim_regs.reg2spi_addr[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9810_ (.D(_0786_),
+    .Q(\u_spim_regs.cur_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_49_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5531_ (.D(_0353_),
-    .Q(\u_spim_regs.reg2spi_addr[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9811_ (.D(_0787_),
+    .Q(spi_debug[13]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_49_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5532_ (.D(_0354_),
-    .Q(\u_spim_regs.reg2spi_addr[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9812_ (.D(_0788_),
+    .Q(spi_debug[14]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5533_ (.D(_0355_),
+ sky130_fd_sc_hd__dfrtp_4 _9813_ (.D(_0789_),
+    .Q(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9814_ (.D(_0790_),
+    .Q(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9815_ (.D(_0791_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9816_ (.D(_0792_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9817_ (.D(_0793_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9818_ (.D(_0794_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9819_ (.D(_0795_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9820_ (.D(_0796_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9821_ (.D(_0797_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9822_ (.D(_0798_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9823_ (.D(_0799_),
+    .Q(\u_spim_regs.cfg_m1_req ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9824_ (.D(_0800_),
+    .Q(\u_spim_regs.cfg_m1_wdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9825_ (.D(_0801_),
+    .Q(\u_spim_regs.cfg_m1_addr[0] ),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9826_ (.D(_0802_),
+    .Q(\u_spim_regs.cfg_m1_addr[1] ),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9827_ (.D(_0803_),
+    .Q(\u_spim_regs.cfg_m1_addr[2] ),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9828_ (.D(_0804_),
+    .Q(\u_spim_regs.cfg_m1_addr[3] ),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9829_ (.D(_0805_),
+    .Q(\u_spim_regs.cfg_m1_addr[4] ),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9830_ (.D(_0806_),
+    .Q(\u_spim_regs.cfg_m1_addr[5] ),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9831_ (.D(_0807_),
+    .Q(\u_spim_regs.cfg_m1_addr[6] ),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9832_ (.D(_0808_),
+    .Q(\u_spim_regs.cfg_m1_addr[7] ),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9833_ (.D(_0809_),
+    .Q(\u_spim_regs.cfg_m1_addr[16] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9834_ (.D(_0810_),
+    .Q(\u_spim_regs.cfg_m1_addr[17] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9835_ (.D(_0811_),
+    .Q(\u_spim_regs.cfg_m1_addr[18] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9836_ (.D(_0812_),
+    .Q(\u_spim_regs.cfg_m1_addr[19] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9837_ (.D(_0813_),
+    .Q(\u_spim_regs.cfg_m1_addr[20] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9838_ (.D(_0814_),
+    .Q(\u_spim_regs.cfg_m1_addr[21] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9839_ (.D(_0815_),
+    .Q(\u_spim_regs.cfg_m1_addr[22] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _9840_ (.D(_0816_),
+    .Q(\u_spim_regs.cfg_m1_addr[23] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9841_ (.D(_0817_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9842_ (.D(_0818_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9843_ (.D(_0819_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9844_ (.D(_0820_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9845_ (.D(_0821_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9846_ (.D(_0822_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9847_ (.D(_0823_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9848_ (.D(_0824_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9849_ (.D(_0825_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9850_ (.D(_0826_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9851_ (.D(_0827_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9852_ (.D(_0828_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9853_ (.D(_0829_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9854_ (.D(_0830_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _9855_ (.D(_0831_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9856_ (.D(_0832_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9857_ (.D(_0833_),
+    .Q(\u_spim_regs.spi_delay_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9858_ (.D(_0834_),
+    .Q(\u_spim_regs.spi_delay_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9859_ (.D(_0835_),
+    .Q(\u_spim_regs.spi_delay_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9860_ (.D(_0836_),
+    .Q(\u_spim_regs.spi_delay_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9861_ (.D(_0837_),
+    .Q(\u_spim_regs.spi_delay_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9862_ (.D(_0838_),
+    .Q(\u_spim_regs.spi_delay_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9863_ (.D(_0839_),
+    .Q(\u_spim_regs.spi_delay_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9864_ (.D(_0840_),
+    .Q(\u_spim_regs.spi_delay_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9865_ (.D(_0841_),
+    .Q(\u_spim_regs.spi_delay_cnt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9866_ (.D(_0842_),
+    .Q(\u_spim_regs.spi_delay_cnt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9867_ (.D(_0843_),
+    .Q(\u_spim_regs.spim_reg_ack ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _9868_ (.D(_0844_),
     .Q(wbd_dat_o[0]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5534_ (.D(_0356_),
+ sky130_fd_sc_hd__dfrtp_4 _9869_ (.D(_0845_),
     .Q(wbd_dat_o[1]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5535_ (.D(_0357_),
+ sky130_fd_sc_hd__dfrtp_4 _9870_ (.D(_0846_),
     .Q(wbd_dat_o[2]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5536_ (.D(_0358_),
+ sky130_fd_sc_hd__dfrtp_4 _9871_ (.D(_0847_),
     .Q(wbd_dat_o[3]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5537_ (.D(_0359_),
+ sky130_fd_sc_hd__dfrtp_4 _9872_ (.D(_0848_),
     .Q(wbd_dat_o[4]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_21_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5538_ (.D(_0360_),
+ sky130_fd_sc_hd__dfrtp_4 _9873_ (.D(_0849_),
     .Q(wbd_dat_o[5]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5539_ (.D(_0361_),
+ sky130_fd_sc_hd__dfrtp_4 _9874_ (.D(_0850_),
     .Q(wbd_dat_o[6]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5540_ (.D(_0362_),
+ sky130_fd_sc_hd__dfrtp_4 _9875_ (.D(_0851_),
     .Q(wbd_dat_o[7]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5541_ (.D(_0363_),
+ sky130_fd_sc_hd__dfrtp_4 _9876_ (.D(_0852_),
     .Q(wbd_dat_o[8]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_20_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5542_ (.D(_0364_),
+ sky130_fd_sc_hd__dfrtp_4 _9877_ (.D(_0853_),
     .Q(wbd_dat_o[9]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5543_ (.D(_0365_),
+ sky130_fd_sc_hd__dfrtp_4 _9878_ (.D(_0854_),
     .Q(wbd_dat_o[10]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5544_ (.D(_0366_),
+ sky130_fd_sc_hd__dfrtp_4 _9879_ (.D(_0855_),
     .Q(wbd_dat_o[11]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_17_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5545_ (.D(_0367_),
+ sky130_fd_sc_hd__dfrtp_4 _9880_ (.D(_0856_),
     .Q(wbd_dat_o[12]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5546_ (.D(_0368_),
+ sky130_fd_sc_hd__dfrtp_4 _9881_ (.D(_0857_),
     .Q(wbd_dat_o[13]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5547_ (.D(_0369_),
+ sky130_fd_sc_hd__dfrtp_4 _9882_ (.D(_0858_),
     .Q(wbd_dat_o[14]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_19_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5548_ (.D(_0370_),
+ sky130_fd_sc_hd__dfrtp_4 _9883_ (.D(_0859_),
     .Q(wbd_dat_o[15]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_18_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5549_ (.D(_0371_),
+ sky130_fd_sc_hd__dfrtp_4 _9884_ (.D(_0860_),
     .Q(wbd_dat_o[16]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5550_ (.D(_0372_),
+ sky130_fd_sc_hd__dfrtp_4 _9885_ (.D(_0861_),
     .Q(wbd_dat_o[17]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5551_ (.D(_0373_),
+ sky130_fd_sc_hd__dfrtp_4 _9886_ (.D(_0862_),
     .Q(wbd_dat_o[18]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5552_ (.D(_0374_),
+ sky130_fd_sc_hd__dfrtp_4 _9887_ (.D(_0863_),
     .Q(wbd_dat_o[19]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5553_ (.D(_0375_),
+ sky130_fd_sc_hd__dfrtp_4 _9888_ (.D(_0864_),
     .Q(wbd_dat_o[20]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5554_ (.D(_0376_),
+ sky130_fd_sc_hd__dfrtp_4 _9889_ (.D(_0865_),
     .Q(wbd_dat_o[21]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5555_ (.D(_0377_),
+ sky130_fd_sc_hd__dfrtp_4 _9890_ (.D(_0866_),
     .Q(wbd_dat_o[22]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_4_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5556_ (.D(_0378_),
+ sky130_fd_sc_hd__dfrtp_4 _9891_ (.D(_0867_),
     .Q(wbd_dat_o[23]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5557_ (.D(_0379_),
+ sky130_fd_sc_hd__dfrtp_4 _9892_ (.D(_0868_),
     .Q(wbd_dat_o[24]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_6_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5558_ (.D(_0380_),
+ sky130_fd_sc_hd__dfrtp_4 _9893_ (.D(_0869_),
     .Q(wbd_dat_o[25]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5559_ (.D(_0381_),
+ sky130_fd_sc_hd__dfrtp_4 _9894_ (.D(_0870_),
     .Q(wbd_dat_o[26]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5560_ (.D(_0382_),
+ sky130_fd_sc_hd__dfrtp_4 _9895_ (.D(_0871_),
     .Q(wbd_dat_o[27]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5561_ (.D(_0383_),
+ sky130_fd_sc_hd__dfrtp_4 _9896_ (.D(_0872_),
     .Q(wbd_dat_o[28]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5562_ (.D(_0384_),
+ sky130_fd_sc_hd__dfrtp_4 _9897_ (.D(_0873_),
     .Q(wbd_dat_o[29]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_5_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5563_ (.D(_0385_),
+ sky130_fd_sc_hd__dfrtp_4 _9898_ (.D(_0874_),
     .Q(wbd_dat_o[30]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5564_ (.D(_0386_),
+ sky130_fd_sc_hd__dfrtp_4 _9899_ (.D(_0875_),
     .Q(wbd_dat_o[31]),
     .RESET_B(rst_n),
-    .CLK(clknet_6_16_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5565_ (.D(_0387_),
-    .Q(\u_spim_regs.reg2spi_cmd[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9900_ (.D(_0876_),
+    .Q(\u_spim_regs.spim_reg_rdata[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5566_ (.D(_0388_),
-    .Q(\u_spim_regs.reg2spi_cmd[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9901_ (.D(_0877_),
+    .Q(\u_spim_regs.spim_reg_rdata[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5567_ (.D(_0389_),
-    .Q(\u_spim_regs.reg2spi_cmd[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9902_ (.D(_0878_),
+    .Q(\u_spim_regs.spim_reg_rdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5568_ (.D(_0390_),
-    .Q(\u_spim_regs.reg2spi_cmd[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9903_ (.D(_0879_),
+    .Q(\u_spim_regs.spim_reg_rdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5569_ (.D(_0391_),
-    .Q(\u_spim_regs.reg2spi_cmd[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9904_ (.D(_0880_),
+    .Q(\u_spim_regs.spim_reg_rdata[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5570_ (.D(_0392_),
-    .Q(\u_spim_regs.reg2spi_cmd[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9905_ (.D(_0881_),
+    .Q(\u_spim_regs.spim_reg_rdata[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5571_ (.D(_0393_),
-    .Q(\u_spim_regs.reg2spi_cmd[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9906_ (.D(_0882_),
+    .Q(\u_spim_regs.spim_reg_rdata[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5572_ (.D(_0394_),
-    .Q(\u_spim_regs.reg2spi_cmd[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9907_ (.D(_0883_),
+    .Q(\u_spim_regs.spim_reg_rdata[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_14_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5573_ (.D(_0395_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _9908_ (.D(_0884_),
+    .Q(\u_spim_regs.spim_reg_rdata[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_38_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5574_ (.D(_0396_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _9909_ (.D(_0885_),
+    .Q(\u_spim_regs.spim_reg_rdata[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5575_ (.D(_0397_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _9910_ (.D(_0886_),
+    .Q(\u_spim_regs.spim_reg_rdata[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5576_ (.D(_0398_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _9911_ (.D(_0887_),
+    .Q(\u_spim_regs.spim_reg_rdata[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_38_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5577_ (.D(_0399_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _9912_ (.D(_0888_),
+    .Q(\u_spim_regs.spim_reg_rdata[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_45_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5578_ (.D(_0400_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _9913_ (.D(_0889_),
+    .Q(\u_spim_regs.spim_reg_rdata[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_44_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5579_ (.D(_0401_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _9914_ (.D(_0890_),
+    .Q(\u_spim_regs.spim_reg_rdata[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_38_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5580_ (.D(_0402_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _9915_ (.D(_0891_),
+    .Q(\u_spim_regs.spim_reg_rdata[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_38_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5581_ (.D(_0403_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9916_ (.D(_0892_),
+    .Q(\u_spim_regs.spim_reg_rdata[16] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5582_ (.D(_0404_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9917_ (.D(_0893_),
+    .Q(\u_spim_regs.spim_reg_rdata[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5583_ (.D(_0405_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9918_ (.D(_0894_),
+    .Q(\u_spim_regs.spim_reg_rdata[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5584_ (.D(_0406_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9919_ (.D(_0895_),
+    .Q(\u_spim_regs.spim_reg_rdata[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5585_ (.D(_0407_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9920_ (.D(_0896_),
+    .Q(\u_spim_regs.spim_reg_rdata[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5586_ (.D(_0408_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9921_ (.D(_0897_),
+    .Q(\u_spim_regs.spim_reg_rdata[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5587_ (.D(_0409_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _9922_ (.D(_0898_),
+    .Q(\u_spim_regs.spim_reg_rdata[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5588_ (.D(_0410_),
-    .Q(\u_spim_regs.reg2spi_dummy_wr_len[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _9923_ (.D(_0899_),
+    .Q(\u_spim_regs.spim_reg_rdata[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5589_ (.D(_0411_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9924_ (.D(_0900_),
+    .Q(\u_spim_regs.spim_reg_rdata[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5590_ (.D(_0412_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _9925_ (.D(_0901_),
+    .Q(\u_spim_regs.spim_reg_rdata[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5591_ (.D(_0413_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _9926_ (.D(_0902_),
+    .Q(\u_spim_regs.spim_reg_rdata[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_27_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5592_ (.D(_0414_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _9927_ (.D(_0903_),
+    .Q(\u_spim_regs.spim_reg_rdata[27] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_27_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5593_ (.D(_0415_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _9928_ (.D(_0904_),
+    .Q(\u_spim_regs.spim_reg_rdata[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_25_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5594_ (.D(_0416_),
-    .Q(\u_spim_regs.reg2spi_cmd_len[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _9929_ (.D(_0905_),
+    .Q(\u_spim_regs.spim_reg_rdata[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_31_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5595_ (.D(_0417_),
-    .Q(\u_spim_regs.reg2spi_swrst ),
+ sky130_fd_sc_hd__dfrtp_4 _9930_ (.D(_0906_),
+    .Q(\u_spim_regs.spim_reg_rdata[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_25_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5596_ (.D(_0418_),
-    .Q(\u_spim_regs.reg2spi_addr_len[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _9931_ (.D(_0907_),
+    .Q(\u_spim_regs.spim_reg_rdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5597_ (.D(_0419_),
-    .Q(\u_spim_regs.reg2spi_addr_len[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5598_ (.D(_0420_),
-    .Q(\u_spim_regs.reg2spi_addr_len[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5599_ (.D(_0421_),
-    .Q(\u_spim_regs.reg2spi_addr_len[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5600_ (.D(_0422_),
-    .Q(\u_spim_regs.reg2spi_addr_len[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5601_ (.D(_0423_),
-    .Q(\u_spim_regs.reg2spi_addr_len[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5602_ (.D(_0424_),
-    .Q(\u_spim_regs.reg2spi_data_len[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5603_ (.D(_0425_),
-    .Q(\u_spim_regs.reg2spi_data_len[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5604_ (.D(_0426_),
-    .Q(\u_spim_regs.reg2spi_data_len[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5605_ (.D(_0427_),
-    .Q(\u_spim_regs.reg2spi_data_len[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5606_ (.D(_0428_),
-    .Q(\u_spim_regs.reg2spi_data_len[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_38_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5607_ (.D(_0429_),
-    .Q(\u_spim_regs.reg2spi_data_len[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5608_ (.D(_0430_),
-    .Q(\u_spim_regs.reg2spi_data_len[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5609_ (.D(_0431_),
-    .Q(\u_spim_regs.reg2spi_data_len[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5610_ (.D(_0432_),
-    .Q(\u_spim_regs.reg2spi_wr ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5611_ (.D(_0433_),
-    .Q(\u_spim_regs.reg2spi_data_len[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5612_ (.D(_0434_),
-    .Q(\u_spim_regs.reg2spi_data_len[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5613_ (.D(_0435_),
-    .Q(\u_spim_regs.reg2spi_data_len[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5614_ (.D(_0436_),
-    .Q(\u_spim_regs.reg2spi_data_len[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5615_ (.D(_0437_),
-    .Q(\u_spim_regs.reg2spi_data_len[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5616_ (.D(_0438_),
-    .Q(\u_spim_regs.reg2spi_data_len[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5617_ (.D(_0439_),
-    .Q(\u_spim_regs.reg2spi_data_len[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5618_ (.D(_0440_),
-    .Q(\u_spim_regs.reg2spi_data_len[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5619_ (.D(_0441_),
-    .Q(\u_spim_regs.reg2spi_qrd ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5620_ (.D(_0442_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5621_ (.D(_0443_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5622_ (.D(_0444_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5623_ (.D(_0445_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5624_ (.D(_0446_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5625_ (.D(_0447_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5626_ (.D(_0448_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5627_ (.D(_0449_),
-    .Q(\u_spim_regs.reg2spi_dummy_rd_len[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5628_ (.D(_0450_),
-    .Q(\u_spim_regs.reg2spi_rd ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5629_ (.D(_0451_),
-    .Q(\u_spim_regs.reg2spi_csreg[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5630_ (.D(_0452_),
-    .Q(\u_spim_regs.reg2spi_csreg[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5631_ (.D(_0453_),
-    .Q(\u_spim_regs.reg2spi_csreg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5632_ (.D(_0454_),
-    .Q(\u_spim_regs.reg2spi_csreg[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_6_24_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30359,1644 +47613,390 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3312 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(_2208_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(io_oeb[3]),
     .X(psn_net_0),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(_1958_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(io_oeb[3]),
     .X(psn_net_1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(_1894_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(psn_net_1),
     .X(psn_net_2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(_1894_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(_2432_),
     .X(psn_net_3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(psn_net_25),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(psn_net_3),
     .X(psn_net_4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(_1522_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(_2431_),
     .X(psn_net_5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(psn_net_5),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(_2430_),
     .X(psn_net_6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(_1521_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(_2430_),
     .X(psn_net_7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(_1520_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(psn_net_7),
     .X(psn_net_8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(psn_net_8),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(_2227_),
     .X(psn_net_9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(_1519_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(_1884_),
     .X(psn_net_10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(_1467_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(spi_debug[18]),
     .X(psn_net_11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(_0744_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(spi_debug[18]),
     .X(psn_net_12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(_0744_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(spi_debug[18]),
     .X(psn_net_13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(_0743_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(spi_debug[0]),
     .X(psn_net_14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(_0734_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(_2217_),
     .X(psn_net_15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(psn_net_68),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(_2216_),
     .X(psn_net_16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(psn_net_136),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(_2216_),
     .X(psn_net_17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(psn_net_17),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(_2216_),
     .X(psn_net_18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(_0699_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(_2215_),
     .X(psn_net_19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(_0699_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(_2215_),
     .X(psn_net_20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_21 (.A(_0657_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_21 (.A(_2215_),
     .X(psn_net_21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_22 (.A(_0657_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_22 (.A(_2214_),
     .X(psn_net_22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_2581_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_2214_),
     .X(psn_net_23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(psn_net_52),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(_2214_),
     .X(psn_net_24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(psn_net_38),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(_2213_),
     .X(psn_net_25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(psn_net_86),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(_2213_),
     .X(psn_net_26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(_1534_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(_1409_),
     .X(psn_net_27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(psn_net_39),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(_1409_),
     .X(psn_net_28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(_1440_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(_1408_),
     .X(psn_net_29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(_1440_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(spi_debug[20]),
     .X(psn_net_30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(psn_net_49),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(_2301_),
     .X(psn_net_31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(_1433_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(_2301_),
     .X(psn_net_32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(psn_net_41),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(_2292_),
     .X(psn_net_33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(_0658_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(_2292_),
     .X(psn_net_34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(psn_net_42),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(psn_net_34),
     .X(psn_net_35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(\u_spim_regs.spim_wb_addr[29] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(_2230_),
     .X(psn_net_36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(psn_net_48),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(_1885_),
     .X(psn_net_37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(_1536_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(_1885_),
     .X(psn_net_38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(psn_net_44),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(_1884_),
     .X(psn_net_39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(_1437_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(_1150_),
     .X(psn_net_40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(psn_net_46),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(_1150_),
     .X(psn_net_41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(psn_net_47),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(spi_debug[19]),
     .X(psn_net_42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(\u_spim_regs.spim_wb_addr[30] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(psn_net_42),
     .X(psn_net_43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(psn_net_87),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(psn_net_43),
     .X(psn_net_44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(_1435_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(psn_net_1),
     .X(psn_net_45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(psn_net_50),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(_3533_),
     .X(psn_net_46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(psn_net_51),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(_3533_),
     .X(psn_net_47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(\u_spim_regs.spim_wb_addr[29] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(_1176_),
     .X(psn_net_48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(psn_net_53),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(_1176_),
     .X(psn_net_49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(psn_net_54),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(psn_net_49),
     .X(psn_net_50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(psn_net_55),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(_1175_),
     .X(psn_net_51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(psn_net_56),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(psn_net_51),
     .X(psn_net_52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(psn_net_57),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(_1174_),
     .X(psn_net_53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(psn_net_58),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(spi_debug[17]),
     .X(psn_net_54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(psn_net_59),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(_1985_),
     .X(psn_net_55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(\u_spim_regs.spim_wb_addr[31] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(_1984_),
     .X(psn_net_56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(psn_net_60),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(_1983_),
     .X(psn_net_57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(psn_net_61),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(_1982_),
     .X(psn_net_58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(_0658_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(_1979_),
     .X(psn_net_59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(psn_net_70),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(_1978_),
     .X(psn_net_60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(psn_net_156),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(_1978_),
     .X(psn_net_61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(_1518_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(_1978_),
     .X(psn_net_62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(_1517_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(_1943_),
     .X(psn_net_63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_64 (.A(psn_net_63),
-    .X(psn_net_64),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_65 (.A(_1482_),
-    .X(psn_net_65),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_66 (.A(psn_net_65),
-    .X(psn_net_66),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_67 (.A(psn_net_133),
-    .X(psn_net_67),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_68 (.A(psn_net_122),
-    .X(psn_net_68),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_69 (.A(psn_net_163),
-    .X(psn_net_69),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_70 (.A(psn_net_71),
-    .X(psn_net_70),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_71 (.A(psn_net_72),
-    .X(psn_net_71),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_72 (.A(psn_net_73),
-    .X(psn_net_72),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_73 (.A(psn_net_79),
-    .X(psn_net_73),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_74 (.A(_1978_),
-    .X(psn_net_74),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_75 (.A(_1978_),
-    .X(psn_net_75),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_76 (.A(_1944_),
-    .X(psn_net_76),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_77 (.A(_1944_),
-    .X(psn_net_77),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_78 (.A(_1906_),
-    .X(psn_net_78),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_79 (.A(psn_net_81),
-    .X(psn_net_79),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_80 (.A(_1534_),
-    .X(psn_net_80),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_81 (.A(psn_net_84),
-    .X(psn_net_81),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_82 (.A(_1911_),
-    .X(psn_net_82),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_83 (.A(_1911_),
-    .X(psn_net_83),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_84 (.A(psn_net_88),
-    .X(psn_net_84),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_85 (.A(_1911_),
-    .X(psn_net_85),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_86 (.A(_1534_),
-    .X(psn_net_86),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_87 (.A(_1472_),
-    .X(psn_net_87),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_88 (.A(psn_net_89),
-    .X(psn_net_88),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_89 (.A(psn_net_90),
-    .X(psn_net_89),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_90 (.A(psn_net_91),
-    .X(psn_net_90),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_91 (.A(psn_net_92),
-    .X(psn_net_91),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_92 (.A(psn_net_93),
-    .X(psn_net_92),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_93 (.A(psn_net_94),
-    .X(psn_net_93),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_94 (.A(psn_net_95),
-    .X(psn_net_94),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_95 (.A(psn_net_96),
-    .X(psn_net_95),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_96 (.A(psn_net_97),
-    .X(psn_net_96),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_97 (.A(psn_net_98),
-    .X(psn_net_97),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_98 (.A(psn_net_99),
-    .X(psn_net_98),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_99 (.A(psn_net_100),
-    .X(psn_net_99),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_100 (.A(psn_net_101),
-    .X(psn_net_100),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_101 (.A(psn_net_105),
-    .X(psn_net_101),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_102 (.A(_2188_),
-    .X(psn_net_102),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_103 (.A(psn_net_108),
-    .X(psn_net_103),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_104 (.A(_1900_),
-    .X(psn_net_104),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_105 (.A(psn_net_107),
-    .X(psn_net_105),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_106 (.A(_2190_),
-    .X(psn_net_106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_107 (.A(psn_net_109),
-    .X(psn_net_107),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_108 (.A(_1941_),
-    .X(psn_net_108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_109 (.A(psn_net_124),
-    .X(psn_net_109),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_110 (.A(_0078_),
-    .X(psn_net_110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_111 (.A(_0078_),
-    .X(psn_net_111),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_112 (.A(_1099_),
-    .X(psn_net_112),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_113 (.A(_1077_),
-    .X(psn_net_113),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_114 (.A(_1077_),
-    .X(psn_net_114),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_115 (.A(_0878_),
-    .X(psn_net_115),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_116 (.A(_0781_),
-    .X(psn_net_116),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_117 (.A(_0780_),
-    .X(psn_net_117),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_118 (.A(psn_net_117),
-    .X(psn_net_118),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_119 (.A(psn_net_118),
-    .X(psn_net_119),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_120 (.A(psn_net_15),
-    .X(psn_net_120),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_121 (.A(_0733_),
-    .X(psn_net_121),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_122 (.A(psn_net_135),
-    .X(psn_net_122),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_123 (.A(psn_net_145),
-    .X(psn_net_123),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_124 (.A(psn_net_126),
-    .X(psn_net_124),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_125 (.A(_1537_),
-    .X(psn_net_125),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_126 (.A(psn_net_127),
-    .X(psn_net_126),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_127 (.A(_1434_),
-    .X(psn_net_127),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_128 (.A(psn_net_245),
-    .X(psn_net_128),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_129 (.A(_0090_),
-    .X(psn_net_129),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_130 (.A(psn_net_137),
-    .X(psn_net_130),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_131 (.A(_0761_),
-    .X(psn_net_131),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_132 (.A(psn_net_138),
-    .X(psn_net_132),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_133 (.A(psn_net_139),
-    .X(psn_net_133),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_134 (.A(psn_net_140),
-    .X(psn_net_134),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_135 (.A(_0732_),
-    .X(psn_net_135),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_136 (.A(psn_net_141),
-    .X(psn_net_136),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_137 (.A(psn_net_143),
-    .X(psn_net_137),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_138 (.A(_0760_),
-    .X(psn_net_138),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_139 (.A(psn_net_144),
-    .X(psn_net_139),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_140 (.A(_0759_),
-    .X(psn_net_140),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_141 (.A(_0726_),
-    .X(psn_net_141),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_142 (.A(_1809_),
-    .X(psn_net_142),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_143 (.A(psn_net_149),
-    .X(psn_net_143),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_144 (.A(psn_net_150),
-    .X(psn_net_144),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_145 (.A(psn_net_151),
-    .X(psn_net_145),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_146 (.A(psn_net_256),
-    .X(psn_net_146),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_147 (.A(_0082_),
-    .X(psn_net_147),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_148 (.A(_0808_),
-    .X(psn_net_148),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_149 (.A(_0772_),
-    .X(psn_net_149),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_150 (.A(psn_net_154),
-    .X(psn_net_150),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_151 (.A(psn_net_155),
-    .X(psn_net_151),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_152 (.A(psn_net_257),
-    .X(psn_net_152),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_153 (.A(psn_net_258),
-    .X(psn_net_153),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_154 (.A(psn_net_162),
-    .X(psn_net_154),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_155 (.A(psn_net_165),
-    .X(psn_net_155),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_156 (.A(_0658_),
-    .X(psn_net_156),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_157 (.A(_1098_),
-    .X(psn_net_157),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_158 (.A(_1034_),
-    .X(psn_net_158),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_159 (.A(_1034_),
-    .X(psn_net_159),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_160 (.A(_1034_),
-    .X(psn_net_160),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_161 (.A(_0862_),
-    .X(psn_net_161),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_162 (.A(psn_net_164),
-    .X(psn_net_162),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_163 (.A(_0718_),
-    .X(psn_net_163),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_164 (.A(psn_net_166),
-    .X(psn_net_164),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_165 (.A(psn_net_167),
-    .X(psn_net_165),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_166 (.A(psn_net_168),
-    .X(psn_net_166),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_167 (.A(psn_net_169),
-    .X(psn_net_167),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_168 (.A(psn_net_172),
-    .X(psn_net_168),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_169 (.A(psn_net_173),
-    .X(psn_net_169),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_170 (.A(_2210_),
-    .X(psn_net_170),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_171 (.A(_2209_),
-    .X(psn_net_171),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_172 (.A(psn_net_176),
-    .X(psn_net_172),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_173 (.A(psn_net_177),
-    .X(psn_net_173),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_174 (.A(_0075_),
-    .X(psn_net_174),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_175 (.A(_0075_),
-    .X(psn_net_175),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_176 (.A(psn_net_180),
-    .X(psn_net_176),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_177 (.A(psn_net_181),
-    .X(psn_net_177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_178 (.A(_0084_),
-    .X(psn_net_178),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_179 (.A(psn_net_178),
-    .X(psn_net_179),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_180 (.A(psn_net_266),
-    .X(psn_net_180),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_181 (.A(psn_net_182),
-    .X(psn_net_181),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_182 (.A(psn_net_183),
-    .X(psn_net_182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_183 (.A(psn_net_186),
-    .X(psn_net_183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_184 (.A(_0086_),
-    .X(psn_net_184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_185 (.A(psn_net_184),
-    .X(psn_net_185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_186 (.A(psn_net_189),
-    .X(psn_net_186),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_187 (.A(psn_net_253),
-    .X(psn_net_187),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_188 (.A(_0089_),
-    .X(psn_net_188),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_189 (.A(psn_net_192),
-    .X(psn_net_189),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_190 (.A(_0087_),
-    .X(psn_net_190),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_191 (.A(psn_net_190),
-    .X(psn_net_191),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_192 (.A(psn_net_195),
-    .X(psn_net_192),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_193 (.A(_0085_),
-    .X(psn_net_193),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_194 (.A(psn_net_193),
-    .X(psn_net_194),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_195 (.A(psn_net_198),
-    .X(psn_net_195),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_196 (.A(psn_net_255),
-    .X(psn_net_196),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_197 (.A(_0083_),
-    .X(psn_net_197),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_198 (.A(psn_net_201),
-    .X(psn_net_198),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_199 (.A(psn_net_254),
-    .X(psn_net_199),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_200 (.A(_0088_),
-    .X(psn_net_200),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_201 (.A(psn_net_202),
-    .X(psn_net_201),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_202 (.A(psn_net_203),
-    .X(psn_net_202),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_203 (.A(psn_net_204),
-    .X(psn_net_203),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_204 (.A(psn_net_207),
-    .X(psn_net_204),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_205 (.A(_0077_),
-    .X(psn_net_205),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_206 (.A(_0077_),
-    .X(psn_net_206),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_207 (.A(psn_net_210),
-    .X(psn_net_207),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_208 (.A(_0076_),
-    .X(psn_net_208),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_209 (.A(_0076_),
-    .X(psn_net_209),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_210 (.A(psn_net_212),
-    .X(psn_net_210),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_211 (.A(_1649_),
-    .X(psn_net_211),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_212 (.A(psn_net_213),
-    .X(psn_net_212),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_213 (.A(psn_net_214),
-    .X(psn_net_213),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_214 (.A(psn_net_216),
-    .X(psn_net_214),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_215 (.A(_0071_),
-    .X(psn_net_215),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_216 (.A(psn_net_218),
-    .X(psn_net_216),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_217 (.A(_0070_),
-    .X(psn_net_217),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_218 (.A(psn_net_220),
-    .X(psn_net_218),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_219 (.A(psn_net_246),
-    .X(psn_net_219),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_220 (.A(psn_net_222),
-    .X(psn_net_220),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_221 (.A(_0068_),
-    .X(psn_net_221),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_222 (.A(psn_net_224),
-    .X(psn_net_222),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_223 (.A(_0069_),
-    .X(psn_net_223),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_224 (.A(_0725_),
-    .X(psn_net_224),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_225 (.A(_0698_),
-    .X(psn_net_225),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_226 (.A(_0698_),
-    .X(psn_net_226),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_227 (.A(_0063_),
-    .X(psn_net_227),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_228 (.A(_0728_),
-    .X(psn_net_228),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_229 (.A(psn_net_228),
-    .X(psn_net_229),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_230 (.A(_0064_),
-    .X(psn_net_230),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_231 (.A(_0727_),
-    .X(psn_net_231),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_232 (.A(psn_net_231),
-    .X(psn_net_232),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_233 (.A(psn_net_232),
-    .X(psn_net_233),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_234 (.A(_0066_),
-    .X(psn_net_234),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_235 (.A(_0065_),
-    .X(psn_net_235),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_236 (.A(psn_net_247),
-    .X(psn_net_236),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_237 (.A(psn_net_250),
-    .X(psn_net_237),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_238 (.A(psn_net_248),
-    .X(psn_net_238),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_239 (.A(_0061_),
-    .X(psn_net_239),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_240 (.A(_0060_),
-    .X(psn_net_240),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_241 (.A(psn_net_249),
-    .X(psn_net_241),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_242 (.A(_0062_),
-    .X(psn_net_242),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_243 (.A(psn_net_251),
-    .X(psn_net_243),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_244 (.A(psn_net_252),
-    .X(psn_net_244),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_245 (.A(psn_net_265),
-    .X(psn_net_245),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_246 (.A(_0072_),
-    .X(psn_net_246),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_247 (.A(_0067_),
-    .X(psn_net_247),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_248 (.A(_0079_),
-    .X(psn_net_248),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_249 (.A(_0073_),
-    .X(psn_net_249),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_250 (.A(_0080_),
-    .X(psn_net_250),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_251 (.A(_0074_),
-    .X(psn_net_251),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_252 (.A(_0059_),
-    .X(psn_net_252),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_253 (.A(psn_net_267),
-    .X(psn_net_253),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_254 (.A(psn_net_268),
-    .X(psn_net_254),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_255 (.A(psn_net_269),
-    .X(psn_net_255),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_256 (.A(psn_net_270),
-    .X(psn_net_256),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_257 (.A(psn_net_271),
-    .X(psn_net_257),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_258 (.A(_0081_),
-    .X(psn_net_258),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_259 (.A(_1498_),
-    .X(psn_net_259),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_260 (.A(_1478_),
-    .X(psn_net_260),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_261 (.A(_1477_),
-    .X(psn_net_261),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_262 (.A(_1426_),
-    .X(psn_net_262),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_263 (.A(_1426_),
-    .X(psn_net_263),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_264 (.A(psn_net_16),
-    .X(psn_net_264),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_265 (.A(_0090_),
-    .X(psn_net_265),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_266 (.A(_0759_),
-    .X(psn_net_266),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_267 (.A(_0089_),
-    .X(psn_net_267),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_268 (.A(_0088_),
-    .X(psn_net_268),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_269 (.A(_0083_),
-    .X(psn_net_269),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_270 (.A(_0082_),
-    .X(psn_net_270),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_271 (.A(_0081_),
-    .X(psn_net_271),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_272 (.A(_1491_),
-    .X(psn_net_272),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_0_mclk (.A(mclk),
     .X(clknet_0_mclk),
     .VGND(vssd1),
@@ -32771,63 +48771,834 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(io_in[2]),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_0_0_mclk (.A(clknet_6_0_0_mclk),
+    .X(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(io_in[4]),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_1_0_mclk (.A(clknet_6_0_0_mclk),
+    .X(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(io_in[5]),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_2_0_mclk (.A(clknet_6_1_0_mclk),
+    .X(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_3 (.DIODE(_0737_),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_3_0_mclk (.A(clknet_6_1_0_mclk),
+    .X(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_4_0_mclk (.A(clknet_6_2_0_mclk),
+    .X(clknet_7_4_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_5_0_mclk (.A(clknet_6_2_0_mclk),
+    .X(clknet_7_5_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_6_0_mclk (.A(clknet_6_3_0_mclk),
+    .X(clknet_7_6_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_7_0_mclk (.A(clknet_6_3_0_mclk),
+    .X(clknet_7_7_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_8_0_mclk (.A(clknet_6_4_0_mclk),
+    .X(clknet_7_8_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_9_0_mclk (.A(clknet_6_4_0_mclk),
+    .X(clknet_7_9_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_10_0_mclk (.A(clknet_6_5_0_mclk),
+    .X(clknet_7_10_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_11_0_mclk (.A(clknet_6_5_0_mclk),
+    .X(clknet_7_11_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_12_0_mclk (.A(clknet_6_6_0_mclk),
+    .X(clknet_7_12_0_mclk),
+    .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_13_0_mclk (.A(clknet_6_6_0_mclk),
+    .X(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_14_0_mclk (.A(clknet_6_7_0_mclk),
+    .X(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_15_0_mclk (.A(clknet_6_7_0_mclk),
+    .X(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_16_0_mclk (.A(clknet_6_8_0_mclk),
+    .X(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_17_0_mclk (.A(clknet_6_8_0_mclk),
+    .X(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_18_0_mclk (.A(clknet_6_9_0_mclk),
+    .X(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_19_0_mclk (.A(clknet_6_9_0_mclk),
+    .X(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_20_0_mclk (.A(clknet_6_10_0_mclk),
+    .X(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_21_0_mclk (.A(clknet_6_10_0_mclk),
+    .X(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_22_0_mclk (.A(clknet_6_11_0_mclk),
+    .X(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_23_0_mclk (.A(clknet_6_11_0_mclk),
+    .X(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_24_0_mclk (.A(clknet_6_12_0_mclk),
+    .X(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_25_0_mclk (.A(clknet_6_12_0_mclk),
+    .X(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_26_0_mclk (.A(clknet_6_13_0_mclk),
+    .X(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_27_0_mclk (.A(clknet_6_13_0_mclk),
+    .X(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_28_0_mclk (.A(clknet_6_14_0_mclk),
+    .X(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_29_0_mclk (.A(clknet_6_14_0_mclk),
+    .X(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_30_0_mclk (.A(clknet_6_15_0_mclk),
+    .X(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_31_0_mclk (.A(clknet_6_15_0_mclk),
+    .X(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_32_0_mclk (.A(clknet_6_16_0_mclk),
+    .X(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_33_0_mclk (.A(clknet_6_16_0_mclk),
+    .X(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_34_0_mclk (.A(clknet_6_17_0_mclk),
+    .X(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_35_0_mclk (.A(clknet_6_17_0_mclk),
+    .X(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_36_0_mclk (.A(clknet_6_18_0_mclk),
+    .X(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_37_0_mclk (.A(clknet_6_18_0_mclk),
+    .X(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_38_0_mclk (.A(clknet_6_19_0_mclk),
+    .X(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_39_0_mclk (.A(clknet_6_19_0_mclk),
+    .X(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_40_0_mclk (.A(clknet_6_20_0_mclk),
+    .X(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_41_0_mclk (.A(clknet_6_20_0_mclk),
+    .X(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_42_0_mclk (.A(clknet_6_21_0_mclk),
+    .X(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_43_0_mclk (.A(clknet_6_21_0_mclk),
+    .X(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_44_0_mclk (.A(clknet_6_22_0_mclk),
+    .X(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_45_0_mclk (.A(clknet_6_22_0_mclk),
+    .X(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_46_0_mclk (.A(clknet_6_23_0_mclk),
+    .X(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_47_0_mclk (.A(clknet_6_23_0_mclk),
+    .X(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_48_0_mclk (.A(clknet_6_24_0_mclk),
+    .X(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_49_0_mclk (.A(clknet_6_24_0_mclk),
+    .X(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_50_0_mclk (.A(clknet_6_25_0_mclk),
+    .X(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_51_0_mclk (.A(clknet_6_25_0_mclk),
+    .X(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_52_0_mclk (.A(clknet_6_26_0_mclk),
+    .X(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_53_0_mclk (.A(clknet_6_26_0_mclk),
+    .X(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_54_0_mclk (.A(clknet_6_27_0_mclk),
+    .X(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_55_0_mclk (.A(clknet_6_27_0_mclk),
+    .X(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_56_0_mclk (.A(clknet_6_28_0_mclk),
+    .X(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_57_0_mclk (.A(clknet_6_28_0_mclk),
+    .X(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_58_0_mclk (.A(clknet_6_29_0_mclk),
+    .X(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_59_0_mclk (.A(clknet_6_29_0_mclk),
+    .X(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_60_0_mclk (.A(clknet_6_30_0_mclk),
+    .X(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_61_0_mclk (.A(clknet_6_30_0_mclk),
+    .X(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_62_0_mclk (.A(clknet_6_31_0_mclk),
+    .X(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_63_0_mclk (.A(clknet_6_31_0_mclk),
+    .X(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_64_0_mclk (.A(clknet_6_32_0_mclk),
+    .X(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_65_0_mclk (.A(clknet_6_32_0_mclk),
+    .X(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_66_0_mclk (.A(clknet_6_33_0_mclk),
+    .X(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_67_0_mclk (.A(clknet_6_33_0_mclk),
+    .X(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_68_0_mclk (.A(clknet_6_34_0_mclk),
+    .X(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_69_0_mclk (.A(clknet_6_34_0_mclk),
+    .X(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_70_0_mclk (.A(clknet_6_35_0_mclk),
+    .X(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_71_0_mclk (.A(clknet_6_35_0_mclk),
+    .X(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_72_0_mclk (.A(clknet_6_36_0_mclk),
+    .X(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_73_0_mclk (.A(clknet_6_36_0_mclk),
+    .X(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_74_0_mclk (.A(clknet_6_37_0_mclk),
+    .X(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_75_0_mclk (.A(clknet_6_37_0_mclk),
+    .X(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_76_0_mclk (.A(clknet_6_38_0_mclk),
+    .X(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_77_0_mclk (.A(clknet_6_38_0_mclk),
+    .X(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_78_0_mclk (.A(clknet_6_39_0_mclk),
+    .X(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_79_0_mclk (.A(clknet_6_39_0_mclk),
+    .X(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_80_0_mclk (.A(clknet_6_40_0_mclk),
+    .X(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_81_0_mclk (.A(clknet_6_40_0_mclk),
+    .X(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_82_0_mclk (.A(clknet_6_41_0_mclk),
+    .X(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_83_0_mclk (.A(clknet_6_41_0_mclk),
+    .X(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_84_0_mclk (.A(clknet_6_42_0_mclk),
+    .X(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_85_0_mclk (.A(clknet_6_42_0_mclk),
+    .X(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_86_0_mclk (.A(clknet_6_43_0_mclk),
+    .X(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_87_0_mclk (.A(clknet_6_43_0_mclk),
+    .X(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_88_0_mclk (.A(clknet_6_44_0_mclk),
+    .X(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_89_0_mclk (.A(clknet_6_44_0_mclk),
+    .X(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_90_0_mclk (.A(clknet_6_45_0_mclk),
+    .X(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_91_0_mclk (.A(clknet_6_45_0_mclk),
+    .X(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_92_0_mclk (.A(clknet_6_46_0_mclk),
+    .X(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_93_0_mclk (.A(clknet_6_46_0_mclk),
+    .X(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_94_0_mclk (.A(clknet_6_47_0_mclk),
+    .X(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_95_0_mclk (.A(clknet_6_47_0_mclk),
+    .X(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_96_0_mclk (.A(clknet_6_48_0_mclk),
+    .X(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_97_0_mclk (.A(clknet_6_48_0_mclk),
+    .X(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_98_0_mclk (.A(clknet_6_49_0_mclk),
+    .X(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_99_0_mclk (.A(clknet_6_49_0_mclk),
+    .X(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_100_0_mclk (.A(clknet_6_50_0_mclk),
+    .X(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_101_0_mclk (.A(clknet_6_50_0_mclk),
+    .X(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_102_0_mclk (.A(clknet_6_51_0_mclk),
+    .X(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_103_0_mclk (.A(clknet_6_51_0_mclk),
+    .X(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_104_0_mclk (.A(clknet_6_52_0_mclk),
+    .X(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_105_0_mclk (.A(clknet_6_52_0_mclk),
+    .X(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_106_0_mclk (.A(clknet_6_53_0_mclk),
+    .X(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_107_0_mclk (.A(clknet_6_53_0_mclk),
+    .X(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_108_0_mclk (.A(clknet_6_54_0_mclk),
+    .X(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_109_0_mclk (.A(clknet_6_54_0_mclk),
+    .X(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_110_0_mclk (.A(clknet_6_55_0_mclk),
+    .X(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_111_0_mclk (.A(clknet_6_55_0_mclk),
+    .X(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_112_0_mclk (.A(clknet_6_56_0_mclk),
+    .X(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_113_0_mclk (.A(clknet_6_56_0_mclk),
+    .X(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_114_0_mclk (.A(clknet_6_57_0_mclk),
+    .X(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_115_0_mclk (.A(clknet_6_57_0_mclk),
+    .X(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_116_0_mclk (.A(clknet_6_58_0_mclk),
+    .X(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_117_0_mclk (.A(clknet_6_58_0_mclk),
+    .X(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_118_0_mclk (.A(clknet_6_59_0_mclk),
+    .X(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_119_0_mclk (.A(clknet_6_59_0_mclk),
+    .X(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_120_0_mclk (.A(clknet_6_60_0_mclk),
+    .X(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_121_0_mclk (.A(clknet_6_60_0_mclk),
+    .X(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_122_0_mclk (.A(clknet_6_61_0_mclk),
+    .X(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_123_0_mclk (.A(clknet_6_61_0_mclk),
+    .X(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_124_0_mclk (.A(clknet_6_62_0_mclk),
+    .X(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_125_0_mclk (.A(clknet_6_62_0_mclk),
+    .X(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_126_0_mclk (.A(clknet_6_63_0_mclk),
+    .X(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_7_127_0_mclk (.A(clknet_6_63_0_mclk),
+    .X(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(rst_n),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(io_oeb[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(_0014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32843,11 +49614,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32855,15 +49630,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32879,15 +49654,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32951,15 +49722,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33111,51 +49882,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33163,63 +49954,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33243,23 +50030,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33267,19 +50054,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33375,79 +50162,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_2_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_2_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_2_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_2_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33455,19 +50266,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33475,59 +50286,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33627,39 +50426,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33667,55 +50478,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33723,23 +50522,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33755,51 +50554,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33895,35 +50686,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33939,87 +50742,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34027,79 +50810,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34179,39 +50962,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34219,175 +50998,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34483,67 +51242,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34551,7 +51338,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34559,107 +51350,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34763,7 +51566,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34771,63 +51574,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34835,23 +51622,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34859,39 +51646,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_7_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34899,59 +51702,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35047,59 +51862,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35107,31 +51926,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35147,39 +51966,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35195,31 +52010,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35323,7 +52134,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35331,91 +52146,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35423,23 +52258,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35471,35 +52298,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35595,11 +52418,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35607,55 +52446,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35663,11 +52502,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35675,23 +52518,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35699,19 +52534,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35719,19 +52554,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35739,39 +52574,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35851,27 +52686,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35879,63 +52726,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35943,83 +52794,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_483 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36027,19 +52862,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36139,23 +52970,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36163,35 +52994,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36207,15 +53034,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_12_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36223,19 +53054,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36243,23 +53070,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36267,79 +53098,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36419,115 +53238,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36547,15 +53370,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36563,59 +53386,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36711,107 +53526,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36819,23 +53638,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36851,43 +53666,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36999,7 +53818,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37007,99 +53826,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37107,75 +53902,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_15_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37183,19 +53986,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37295,39 +54098,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37335,79 +54138,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37419,75 +54242,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37567,11 +54390,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37579,95 +54402,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_17_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37679,19 +54510,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37703,55 +54534,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37847,91 +54678,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37939,27 +54786,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37967,59 +54806,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38027,19 +54870,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38119,75 +54966,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38195,19 +55038,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38215,19 +55050,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38239,83 +55074,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38411,87 +55242,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38503,35 +55334,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38539,55 +55374,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38603,19 +55438,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38711,35 +55546,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38747,151 +55578,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_21_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38999,75 +55846,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39075,55 +55922,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39131,71 +55970,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39275,11 +56118,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39287,99 +56130,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39387,39 +56210,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39427,67 +56254,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39575,107 +56398,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39691,75 +56542,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39839,31 +56690,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_25_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39871,175 +56734,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40115,75 +56978,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40191,127 +57066,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40391,11 +57270,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40403,59 +57282,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40463,143 +57322,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40611,15 +57446,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_27_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40703,75 +57542,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40779,51 +57590,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40831,127 +57642,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41011,115 +57846,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_29_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41135,11 +58002,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41151,107 +58014,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41307,31 +58186,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41339,31 +58206,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_30_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41371,183 +58250,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41607,87 +58466,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_31_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41695,35 +58590,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_31_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41731,79 +58630,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41879,15 +58774,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41899,199 +58798,235 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42163,95 +59098,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42259,127 +59178,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42459,127 +59390,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42587,103 +59550,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42743,11 +59738,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42755,111 +59750,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42867,59 +59866,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42927,63 +59930,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43039,35 +60034,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43075,183 +60066,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_36_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43311,23 +60330,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43335,15 +60354,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43355,31 +60374,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43391,59 +60418,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43455,55 +60482,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43579,51 +60614,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43635,67 +60674,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43703,39 +60742,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43743,59 +60774,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43867,55 +60898,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43923,19 +60978,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43943,39 +60998,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43983,31 +61042,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44023,23 +61086,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44047,19 +61118,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44143,67 +61214,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_40_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44215,35 +61306,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44251,103 +61362,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44419,27 +61534,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44447,19 +61554,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44467,195 +61574,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44711,59 +61818,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_42_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44775,47 +61894,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44823,127 +61934,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45003,211 +62118,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45283,31 +62406,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45319,91 +62446,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45411,99 +62534,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45563,15 +62718,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_14 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45579,15 +62730,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45595,83 +62746,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45679,63 +62838,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45759,63 +62918,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45875,31 +63042,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45907,83 +63098,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45991,123 +63158,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46167,39 +63346,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46211,15 +63378,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46227,47 +63398,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_47_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46275,23 +63450,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46299,123 +63474,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_47_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46471,43 +63662,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46515,43 +63706,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_48_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46559,39 +63754,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_48_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46603,103 +63806,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46759,31 +63954,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46791,103 +63986,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_49_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_49_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_49_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46895,59 +64114,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47023,39 +64238,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47063,35 +64290,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47099,43 +64342,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_50_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47143,19 +64410,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47167,19 +64434,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47187,59 +64458,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47311,15 +64578,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47331,11 +64614,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47343,35 +64626,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47379,35 +64674,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_51_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47415,99 +64726,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47563,55 +64870,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47619,139 +64914,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_52_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47759,39 +65106,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47851,7 +65214,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47859,115 +65226,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_53_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47975,123 +65354,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_53_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48147,75 +65546,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48223,135 +65650,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48411,227 +65838,259 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48691,51 +66150,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48743,43 +66210,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_56_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48791,115 +66294,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_56_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_56_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48959,11 +66482,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48971,19 +66494,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48991,159 +66518,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49219,55 +66806,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49275,39 +66866,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49323,119 +66922,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49495,47 +67114,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49543,71 +67154,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_59_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49615,127 +67234,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49791,19 +67402,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49811,207 +67418,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_60_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_60_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50071,15 +67710,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50087,223 +67726,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50359,127 +68014,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50487,79 +68134,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50567,23 +68210,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_62_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50643,67 +68290,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50715,35 +68354,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50751,123 +68390,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50943,31 +68602,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50975,75 +68638,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51051,83 +68722,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_64_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_64_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51135,23 +68818,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_64_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51219,27 +68906,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51247,19 +68918,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51267,67 +68938,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51335,19 +69018,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51355,43 +69054,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51403,55 +69102,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_65_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_65_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_65_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51507,23 +69218,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51531,51 +69238,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_66_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51583,7 +69314,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51591,27 +69326,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51619,103 +69350,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_66_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51779,15 +69514,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51795,195 +69526,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52059,47 +69782,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52107,55 +69830,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52163,75 +69894,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52239,55 +69958,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52347,63 +70066,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52411,183 +70126,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52663,83 +70382,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52747,71 +70474,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52819,59 +70542,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52879,11 +70606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52955,14 +70678,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_71_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52971,63 +70686,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53035,19 +70750,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53055,83 +70774,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53143,59 +70862,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53251,23 +70970,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53275,47 +70994,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53323,123 +71022,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53451,43 +71138,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_72_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53547,19 +71242,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53567,91 +71258,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53659,139 +71342,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53847,23 +71522,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53871,31 +71542,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53903,99 +71574,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_74_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_74_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54003,59 +71686,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_74_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54115,67 +71802,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54183,19 +71846,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54211,19 +71882,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54231,23 +71906,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_75_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54255,103 +71934,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54427,15 +72102,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_76_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54443,43 +72130,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54487,167 +72166,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54707,39 +72394,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_77_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54747,15 +72438,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54763,131 +72454,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_77_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54895,39 +72590,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_77_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55003,35 +72706,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55039,43 +72722,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55083,163 +72750,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_78_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_78_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55299,19 +72974,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55323,31 +72986,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55355,43 +73026,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55399,139 +73070,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_79_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55587,207 +73270,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55847,251 +73550,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56151,31 +73834,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56183,79 +73858,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56263,119 +73934,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_82_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_82_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_82_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_82_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56435,119 +74130,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56559,63 +74238,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56627,39 +74306,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56735,91 +74418,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_84_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_84_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56827,71 +74518,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56899,51 +74590,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_84_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57003,91 +74702,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57095,19 +74790,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_85_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_85_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57115,99 +74822,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57287,31 +74994,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57319,15 +75022,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57335,171 +75034,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57571,15 +75254,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57587,115 +75266,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57703,79 +75386,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57851,23 +75530,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57875,47 +75554,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57923,67 +75594,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57991,99 +75650,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_88_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_88_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58143,11 +75830,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58155,75 +75842,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58231,47 +75902,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58279,107 +75934,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_89_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58455,111 +76114,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58567,19 +76246,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58587,59 +76270,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58723,15 +76410,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58739,207 +76422,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59027,143 +76698,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59171,67 +76846,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_92_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_92_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59323,107 +77006,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59431,15 +77114,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59447,39 +77138,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59487,43 +77178,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59603,59 +77294,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59663,163 +77350,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59883,63 +77554,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_95_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_95_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59947,79 +77610,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60027,59 +77682,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60087,19 +77738,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60175,135 +77826,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60319,71 +77962,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60463,143 +78106,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60611,19 +78226,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60631,75 +78250,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60779,99 +78406,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60879,91 +78494,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60979,11 +78602,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_98_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60995,35 +78630,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_98_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61083,11 +78722,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61095,43 +78734,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61139,155 +78774,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_99_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61295,15 +78926,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61311,19 +78942,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61399,67 +79034,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61467,79 +79102,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61547,59 +79166,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61607,39 +79222,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61703,11 +79318,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61715,163 +79326,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_101_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_101_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61879,59 +79498,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62007,67 +79610,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62075,19 +79686,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62095,31 +79706,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62127,15 +79730,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62147,103 +79754,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62303,31 +79910,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_103_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62335,23 +79946,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_103_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62359,187 +79962,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62615,51 +80194,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_104_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_104_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62667,15 +80274,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62683,95 +80286,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62795,43 +80378,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62891,91 +80478,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62983,59 +80546,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63051,43 +80618,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63095,39 +80662,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63203,123 +80762,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63331,47 +80882,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63379,79 +80938,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63511,55 +81058,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63567,71 +81110,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_308 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63639,103 +81174,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_107_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63815,163 +81390,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63987,51 +81546,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_108_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_108_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64111,31 +81686,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64143,35 +81718,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64179,123 +81734,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64303,35 +81858,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64411,19 +81970,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_110_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_110_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64431,147 +81998,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64579,59 +82150,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_110_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_110_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64691,39 +82274,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64731,135 +82306,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64867,63 +82422,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_111_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65003,47 +82562,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65051,123 +82602,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65175,59 +82714,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_112_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_112_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65299,23 +82850,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65323,87 +82870,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65411,67 +82930,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65483,55 +82990,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_113_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65607,47 +83118,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65655,15 +83174,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65671,35 +83198,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65707,151 +83222,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65911,207 +83446,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_115_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_115_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66187,39 +83734,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66231,111 +83790,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66347,47 +83886,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66399,23 +83938,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_116_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66475,207 +84022,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_117_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66755,59 +84314,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_118_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_118_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66815,55 +84386,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66875,39 +84458,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66915,75 +84486,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_118_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67055,39 +84630,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67095,119 +84666,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67219,75 +84790,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67343,43 +84914,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_84 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67387,51 +84954,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67439,59 +84994,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67499,71 +85054,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_120_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67623,11 +85190,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67635,43 +85202,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67679,103 +85254,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67787,83 +85338,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_121_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67939,15 +85506,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67959,71 +85530,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68031,155 +85594,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_122_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68239,7 +85850,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68247,15 +85862,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68263,7 +85882,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68271,23 +85894,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68303,35 +85930,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68343,23 +85986,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_123_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68367,79 +86018,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_123_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_123_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68515,119 +86206,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68635,111 +86314,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_124_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68819,239 +86506,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69131,51 +86790,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69183,19 +86830,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69203,183 +86850,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69439,87 +87086,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69527,43 +87162,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_127_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69571,103 +87222,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_127_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69743,31 +87398,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69775,11 +87434,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_128_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69787,11 +87454,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_128_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69799,163 +87474,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70015,27 +87686,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70043,71 +87718,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70119,115 +87794,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70239,7 +87906,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70335,59 +88002,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70395,7 +88054,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70403,31 +88066,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70439,107 +88090,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_130_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70551,15 +88214,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70639,51 +88306,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_131_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70691,143 +88374,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_131_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70839,23 +88530,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70931,207 +88622,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71139,39 +88806,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71251,15 +88918,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71267,203 +88934,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_133_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71539,35 +89210,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_134_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71575,187 +89254,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71835,19 +89514,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71855,47 +89530,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71903,71 +89562,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71991,83 +89646,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72147,11 +89802,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_136_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72159,63 +89818,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72223,99 +89882,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_136_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72323,19 +89994,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_136_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72427,19 +90106,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72447,47 +90130,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72495,107 +90166,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_137_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_137_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72603,19 +90302,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_137_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72711,23 +90418,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72735,35 +90442,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72771,11 +90494,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72783,31 +90506,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72815,131 +90534,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72999,15 +90734,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73019,91 +90754,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73111,51 +90830,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73163,79 +90874,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_139_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73311,83 +91030,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73395,147 +91122,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73595,7 +91326,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73603,79 +91338,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73683,139 +91406,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_141_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73891,31 +91634,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73923,31 +91686,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73959,39 +91714,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73999,63 +91746,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_142_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74063,27 +91818,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74091,31 +91850,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_142_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74175,179 +91942,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_143_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74355,39 +92126,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74463,243 +92242,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74759,7 +92526,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_145_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74767,15 +92538,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74783,67 +92550,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74851,143 +92610,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75071,87 +92830,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75163,147 +92906,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_146_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75375,147 +93138,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75523,47 +93306,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_147_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75643,51 +93458,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_148_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75695,39 +93518,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_148_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_148_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75735,27 +93566,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75763,99 +93590,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_148_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_148_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75927,7 +93778,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_149_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75935,19 +93790,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75955,7 +93802,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75963,19 +93814,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75983,43 +93830,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76027,63 +93874,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_149_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_149_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76095,55 +93962,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_149_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76207,35 +94082,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76243,35 +94122,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76279,79 +94162,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_150_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_150_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76359,59 +94266,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76483,43 +94406,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_151_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76527,51 +94470,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76579,119 +94514,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76751,79 +94706,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76831,135 +94786,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77031,55 +95006,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_153_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77095,139 +95078,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_153_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_153_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_153_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_153_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77311,131 +95318,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77443,59 +95418,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77503,59 +95486,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77631,115 +95626,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77751,31 +95746,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77787,67 +95786,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77911,43 +95918,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77955,75 +95966,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78031,63 +96046,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78099,55 +96114,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78199,39 +96222,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78239,139 +96266,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78379,43 +96418,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78475,55 +96522,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_158_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_158_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_158_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78531,23 +96594,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78555,7 +96614,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78563,55 +96622,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_158_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78627,71 +96698,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78763,35 +96846,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_159_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78803,23 +96894,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78827,83 +96910,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_159_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_159_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_159_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78911,79 +97018,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_159_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79055,55 +97174,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79119,43 +97230,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79163,27 +97274,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79191,35 +97302,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79227,43 +97342,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_160_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_160_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79271,39 +97398,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_723 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79355,243 +97486,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79659,147 +97786,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_162_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79807,99 +97958,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_162_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_162_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79951,75 +98114,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_163_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_163_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80027,67 +98222,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80095,67 +98282,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80231,179 +98426,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_164_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80411,39 +98618,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_164_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_164_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80519,71 +98734,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80591,43 +98822,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80639,99 +98874,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80811,19 +99050,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80831,15 +99070,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_166_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80851,99 +99102,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80951,59 +99210,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81079,15 +99334,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81095,59 +99354,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_167_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81155,19 +99418,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_167_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81179,111 +99454,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81367,7 +99646,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81375,11 +99662,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81387,39 +99670,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81427,59 +99718,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81487,19 +99790,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_168_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81507,59 +99818,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_168_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81639,7 +99958,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_169_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81647,79 +99970,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_169_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_169_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_169_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81727,43 +100070,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81771,59 +100110,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_169_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81923,87 +100266,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82011,23 +100350,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82035,103 +100374,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_170_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_170_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82211,23 +100558,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_84 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82239,167 +100582,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_171_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_171_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_171_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82499,175 +100870,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_172_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82675,11 +101078,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82771,163 +101170,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_173_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_173_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_173_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83055,35 +101474,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83103,31 +101522,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83135,87 +101546,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83227,15 +101634,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83347,111 +101758,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83459,83 +101854,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_175_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83639,115 +102062,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83763,15 +102162,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83779,59 +102182,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_176_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83935,87 +102350,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_177_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84023,99 +102446,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_177_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84215,91 +102658,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_178_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_178_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_178_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_178_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_178_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84307,87 +102778,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84483,203 +102954,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84783,43 +103262,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84827,39 +103302,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_180_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_180_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84867,27 +103350,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84899,95 +103382,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_180_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_180_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_180_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_180_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_180_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85079,179 +103590,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_181_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_181_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_181_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85259,19 +103802,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85379,15 +103922,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85395,19 +103938,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85415,67 +103958,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_182_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_182_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85483,79 +104030,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_182_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_182_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85667,99 +104222,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85767,91 +104326,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_183_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85975,19 +104546,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85995,39 +104566,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86035,19 +104598,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_184_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_184_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_184_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86059,75 +104634,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_184_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_184_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_184_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_184_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86279,95 +104870,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_185_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_185_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86379,27 +104994,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_185_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86559,151 +105182,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_186_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_186_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86835,159 +105466,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87147,63 +105774,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87211,19 +105826,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_188_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_188_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_188_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87231,15 +105858,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87247,43 +105870,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87427,55 +106054,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87483,79 +106110,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_189_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_189_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87715,39 +106354,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87755,103 +106394,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_190_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_190_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_190_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87995,15 +106646,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88015,111 +106674,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_191_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_191_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_191_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88283,11 +106966,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88299,123 +106986,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_192_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88563,27 +107258,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_193_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88591,99 +107294,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88851,75 +107558,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_194_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88935,31 +107646,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89123,11 +107838,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89135,59 +107850,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89211,23 +107930,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89407,19 +108122,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89427,15 +108138,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89443,87 +108146,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89687,11 +108406,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89699,55 +108422,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_197_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_197_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89755,43 +108498,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89979,15 +108726,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_198_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89995,99 +108746,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_198_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90259,103 +109014,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_199_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_199_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90523,95 +109290,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_200_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_200_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90619,39 +109394,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90823,103 +109598,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91099,63 +109866,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91171,11 +109934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_202_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91183,39 +109954,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_202_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91399,31 +110174,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91431,39 +110210,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91471,19 +110250,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91687,59 +110466,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91963,31 +110742,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_205_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_205_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91995,39 +110782,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_205_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92035,19 +110826,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92247,63 +111038,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92327,23 +111118,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92423,15 +111214,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_207_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92527,51 +111322,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92603,15 +111394,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92707,27 +111498,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92819,55 +111610,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92987,19 +111778,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93095,75 +111886,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93171,19 +111962,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93287,23 +112078,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93407,43 +112198,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_210_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_210_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index a0a372d..6bfcdaa 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -53,78 +53,78 @@
  output [31:0] wbs_dat_o;
  input [3:0] wbs_sel_i;
 
- glbl_cfg \u_core.u_glbl_cfg  (.cfg_sdr_en(\u_core.cfg_sdr_en ),
-    .cpu_clk(\u_core.cpu_clk ),
-    .mclk(\u_core.wbd_clk_glbl ),
-    .reg_ack(\u_core.wbd_glbl_ack_i ),
-    .reg_cs(\u_core.wbd_glbl_stb_o ),
-    .reg_wr(\u_core.wbd_glbl_we_o ),
-    .reset_n(\u_core.cfg_glb_ctrl[0] ),
-    .rtc_clk(\u_core.rtc_clk ),
-    .sdr_init_done(\u_core.sdr_init_done ),
-    .sdram_clk(\u_core.sdram_clk ),
-    .soft_irq(\u_core.soft_irq ),
+ glbl_cfg u_glbl_cfg (.cfg_sdr_en(cfg_sdr_en),
+    .cpu_clk(cpu_clk),
+    .mclk(wbd_clk_glbl),
+    .reg_ack(wbd_glbl_ack_i),
+    .reg_cs(wbd_glbl_stb_o),
+    .reg_wr(wbd_glbl_we_o),
+    .reset_n(\cfg_glb_ctrl[0] ),
+    .rtc_clk(rtc_clk),
+    .sdr_init_done(sdr_init_done),
+    .sdram_clk(sdram_clk),
+    .soft_irq(soft_irq),
     .user_clock1(wb_clk_i),
     .user_clock2(user_clock2),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .cfg_colbits({\u_core.cfg_colbits[1] ,
-    \u_core.cfg_colbits[0] }),
-    .cfg_req_depth({\u_core.cfg_req_depth[1] ,
-    \u_core.cfg_req_depth[0] }),
-    .cfg_sdr_cas({\u_core.cfg_sdr_cas[2] ,
-    \u_core.cfg_sdr_cas[1] ,
-    \u_core.cfg_sdr_cas[0] }),
-    .cfg_sdr_mode_reg({\u_core.cfg_sdr_mode_reg[12] ,
-    \u_core.cfg_sdr_mode_reg[11] ,
-    \u_core.cfg_sdr_mode_reg[10] ,
-    \u_core.cfg_sdr_mode_reg[9] ,
-    \u_core.cfg_sdr_mode_reg[8] ,
-    \u_core.cfg_sdr_mode_reg[7] ,
-    \u_core.cfg_sdr_mode_reg[6] ,
-    \u_core.cfg_sdr_mode_reg[5] ,
-    \u_core.cfg_sdr_mode_reg[4] ,
-    \u_core.cfg_sdr_mode_reg[3] ,
-    \u_core.cfg_sdr_mode_reg[2] ,
-    \u_core.cfg_sdr_mode_reg[1] ,
-    \u_core.cfg_sdr_mode_reg[0] }),
-    .cfg_sdr_rfmax({\u_core.cfg_sdr_rfmax[2] ,
-    \u_core.cfg_sdr_rfmax[1] ,
-    \u_core.cfg_sdr_rfmax[0] }),
-    .cfg_sdr_rfsh({\u_core.cfg_sdr_rfsh[11] ,
-    \u_core.cfg_sdr_rfsh[10] ,
-    \u_core.cfg_sdr_rfsh[9] ,
-    \u_core.cfg_sdr_rfsh[8] ,
-    \u_core.cfg_sdr_rfsh[7] ,
-    \u_core.cfg_sdr_rfsh[6] ,
-    \u_core.cfg_sdr_rfsh[5] ,
-    \u_core.cfg_sdr_rfsh[4] ,
-    \u_core.cfg_sdr_rfsh[3] ,
-    \u_core.cfg_sdr_rfsh[2] ,
-    \u_core.cfg_sdr_rfsh[1] ,
-    \u_core.cfg_sdr_rfsh[0] }),
-    .cfg_sdr_tras_d({\u_core.cfg_sdr_tras_d[3] ,
-    \u_core.cfg_sdr_tras_d[2] ,
-    \u_core.cfg_sdr_tras_d[1] ,
-    \u_core.cfg_sdr_tras_d[0] }),
-    .cfg_sdr_trcar_d({\u_core.cfg_sdr_trcar_d[3] ,
-    \u_core.cfg_sdr_trcar_d[2] ,
-    \u_core.cfg_sdr_trcar_d[1] ,
-    \u_core.cfg_sdr_trcar_d[0] }),
-    .cfg_sdr_trcd_d({\u_core.cfg_sdr_trcd_d[3] ,
-    \u_core.cfg_sdr_trcd_d[2] ,
-    \u_core.cfg_sdr_trcd_d[1] ,
-    \u_core.cfg_sdr_trcd_d[0] }),
-    .cfg_sdr_trp_d({\u_core.cfg_sdr_trp_d[3] ,
-    \u_core.cfg_sdr_trp_d[2] ,
-    \u_core.cfg_sdr_trp_d[1] ,
-    \u_core.cfg_sdr_trp_d[0] }),
-    .cfg_sdr_twr_d({\u_core.cfg_sdr_twr_d[3] ,
-    \u_core.cfg_sdr_twr_d[2] ,
-    \u_core.cfg_sdr_twr_d[1] ,
-    \u_core.cfg_sdr_twr_d[0] }),
-    .cfg_sdr_width({\u_core.cfg_sdr_width[1] ,
-    \u_core.cfg_sdr_width[0] }),
+    .cfg_colbits({\cfg_colbits[1] ,
+    \cfg_colbits[0] }),
+    .cfg_req_depth({\cfg_req_depth[1] ,
+    \cfg_req_depth[0] }),
+    .cfg_sdr_cas({\cfg_sdr_cas[2] ,
+    \cfg_sdr_cas[1] ,
+    \cfg_sdr_cas[0] }),
+    .cfg_sdr_mode_reg({\cfg_sdr_mode_reg[12] ,
+    \cfg_sdr_mode_reg[11] ,
+    \cfg_sdr_mode_reg[10] ,
+    \cfg_sdr_mode_reg[9] ,
+    \cfg_sdr_mode_reg[8] ,
+    \cfg_sdr_mode_reg[7] ,
+    \cfg_sdr_mode_reg[6] ,
+    \cfg_sdr_mode_reg[5] ,
+    \cfg_sdr_mode_reg[4] ,
+    \cfg_sdr_mode_reg[3] ,
+    \cfg_sdr_mode_reg[2] ,
+    \cfg_sdr_mode_reg[1] ,
+    \cfg_sdr_mode_reg[0] }),
+    .cfg_sdr_rfmax({\cfg_sdr_rfmax[2] ,
+    \cfg_sdr_rfmax[1] ,
+    \cfg_sdr_rfmax[0] }),
+    .cfg_sdr_rfsh({\cfg_sdr_rfsh[11] ,
+    \cfg_sdr_rfsh[10] ,
+    \cfg_sdr_rfsh[9] ,
+    \cfg_sdr_rfsh[8] ,
+    \cfg_sdr_rfsh[7] ,
+    \cfg_sdr_rfsh[6] ,
+    \cfg_sdr_rfsh[5] ,
+    \cfg_sdr_rfsh[4] ,
+    \cfg_sdr_rfsh[3] ,
+    \cfg_sdr_rfsh[2] ,
+    \cfg_sdr_rfsh[1] ,
+    \cfg_sdr_rfsh[0] }),
+    .cfg_sdr_tras_d({\cfg_sdr_tras_d[3] ,
+    \cfg_sdr_tras_d[2] ,
+    \cfg_sdr_tras_d[1] ,
+    \cfg_sdr_tras_d[0] }),
+    .cfg_sdr_trcar_d({\cfg_sdr_trcar_d[3] ,
+    \cfg_sdr_trcar_d[2] ,
+    \cfg_sdr_trcar_d[1] ,
+    \cfg_sdr_trcar_d[0] }),
+    .cfg_sdr_trcd_d({\cfg_sdr_trcd_d[3] ,
+    \cfg_sdr_trcd_d[2] ,
+    \cfg_sdr_trcd_d[1] ,
+    \cfg_sdr_trcd_d[0] }),
+    .cfg_sdr_trp_d({\cfg_sdr_trp_d[3] ,
+    \cfg_sdr_trp_d[2] ,
+    \cfg_sdr_trp_d[1] ,
+    \cfg_sdr_trp_d[0] }),
+    .cfg_sdr_twr_d({\cfg_sdr_twr_d[3] ,
+    \cfg_sdr_twr_d[2] ,
+    \cfg_sdr_twr_d[1] ,
+    \cfg_sdr_twr_d[0] }),
+    .cfg_sdr_width({\cfg_sdr_width[1] ,
+    \cfg_sdr_width[0] }),
     .device_idcode({_NC1,
     _NC2,
     _NC3,
@@ -157,1105 +157,1105 @@
     _NC30,
     _NC31,
     _NC32}),
-    .fuse_mhartid({\u_core.fuse_mhartid[31] ,
-    \u_core.fuse_mhartid[30] ,
-    \u_core.fuse_mhartid[29] ,
-    \u_core.fuse_mhartid[28] ,
-    \u_core.fuse_mhartid[27] ,
-    \u_core.fuse_mhartid[26] ,
-    \u_core.fuse_mhartid[25] ,
-    \u_core.fuse_mhartid[24] ,
-    \u_core.fuse_mhartid[23] ,
-    \u_core.fuse_mhartid[22] ,
-    \u_core.fuse_mhartid[21] ,
-    \u_core.fuse_mhartid[20] ,
-    \u_core.fuse_mhartid[19] ,
-    \u_core.fuse_mhartid[18] ,
-    \u_core.fuse_mhartid[17] ,
-    \u_core.fuse_mhartid[16] ,
-    \u_core.fuse_mhartid[15] ,
-    \u_core.fuse_mhartid[14] ,
-    \u_core.fuse_mhartid[13] ,
-    \u_core.fuse_mhartid[12] ,
-    \u_core.fuse_mhartid[11] ,
-    \u_core.fuse_mhartid[10] ,
-    \u_core.fuse_mhartid[9] ,
-    \u_core.fuse_mhartid[8] ,
-    \u_core.fuse_mhartid[7] ,
-    \u_core.fuse_mhartid[6] ,
-    \u_core.fuse_mhartid[5] ,
-    \u_core.fuse_mhartid[4] ,
-    \u_core.fuse_mhartid[3] ,
-    \u_core.fuse_mhartid[2] ,
-    \u_core.fuse_mhartid[1] ,
-    \u_core.fuse_mhartid[0] }),
-    .irq_lines({\u_core.irq_lines[15] ,
-    \u_core.irq_lines[14] ,
-    \u_core.irq_lines[13] ,
-    \u_core.irq_lines[12] ,
-    \u_core.irq_lines[11] ,
-    \u_core.irq_lines[10] ,
-    \u_core.irq_lines[9] ,
-    \u_core.irq_lines[8] ,
-    \u_core.irq_lines[7] ,
-    \u_core.irq_lines[6] ,
-    \u_core.irq_lines[5] ,
-    \u_core.irq_lines[4] ,
-    \u_core.irq_lines[3] ,
-    \u_core.irq_lines[2] ,
-    \u_core.irq_lines[1] ,
-    \u_core.irq_lines[0] }),
-    .reg_addr({\u_core.wbd_glbl_adr_o[7] ,
-    \u_core.wbd_glbl_adr_o[6] ,
-    \u_core.wbd_glbl_adr_o[5] ,
-    \u_core.wbd_glbl_adr_o[4] ,
-    \u_core.wbd_glbl_adr_o[3] ,
-    \u_core.wbd_glbl_adr_o[2] ,
-    \u_core.wbd_glbl_adr_o[1] ,
-    \u_core.wbd_glbl_adr_o[0] }),
-    .reg_be({\u_core.wbd_glbl_sel_o[3] ,
-    \u_core.wbd_glbl_sel_o[2] ,
-    \u_core.wbd_glbl_sel_o[1] ,
-    \u_core.wbd_glbl_sel_o[0] }),
-    .reg_rdata({\u_core.wbd_glbl_dat_i[31] ,
-    \u_core.wbd_glbl_dat_i[30] ,
-    \u_core.wbd_glbl_dat_i[29] ,
-    \u_core.wbd_glbl_dat_i[28] ,
-    \u_core.wbd_glbl_dat_i[27] ,
-    \u_core.wbd_glbl_dat_i[26] ,
-    \u_core.wbd_glbl_dat_i[25] ,
-    \u_core.wbd_glbl_dat_i[24] ,
-    \u_core.wbd_glbl_dat_i[23] ,
-    \u_core.wbd_glbl_dat_i[22] ,
-    \u_core.wbd_glbl_dat_i[21] ,
-    \u_core.wbd_glbl_dat_i[20] ,
-    \u_core.wbd_glbl_dat_i[19] ,
-    \u_core.wbd_glbl_dat_i[18] ,
-    \u_core.wbd_glbl_dat_i[17] ,
-    \u_core.wbd_glbl_dat_i[16] ,
-    \u_core.wbd_glbl_dat_i[15] ,
-    \u_core.wbd_glbl_dat_i[14] ,
-    \u_core.wbd_glbl_dat_i[13] ,
-    \u_core.wbd_glbl_dat_i[12] ,
-    \u_core.wbd_glbl_dat_i[11] ,
-    \u_core.wbd_glbl_dat_i[10] ,
-    \u_core.wbd_glbl_dat_i[9] ,
-    \u_core.wbd_glbl_dat_i[8] ,
-    \u_core.wbd_glbl_dat_i[7] ,
-    \u_core.wbd_glbl_dat_i[6] ,
-    \u_core.wbd_glbl_dat_i[5] ,
-    \u_core.wbd_glbl_dat_i[4] ,
-    \u_core.wbd_glbl_dat_i[3] ,
-    \u_core.wbd_glbl_dat_i[2] ,
-    \u_core.wbd_glbl_dat_i[1] ,
-    \u_core.wbd_glbl_dat_i[0] }),
-    .reg_wdata({\u_core.wbd_glbl_dat_o[31] ,
-    \u_core.wbd_glbl_dat_o[30] ,
-    \u_core.wbd_glbl_dat_o[29] ,
-    \u_core.wbd_glbl_dat_o[28] ,
-    \u_core.wbd_glbl_dat_o[27] ,
-    \u_core.wbd_glbl_dat_o[26] ,
-    \u_core.wbd_glbl_dat_o[25] ,
-    \u_core.wbd_glbl_dat_o[24] ,
-    \u_core.wbd_glbl_dat_o[23] ,
-    \u_core.wbd_glbl_dat_o[22] ,
-    \u_core.wbd_glbl_dat_o[21] ,
-    \u_core.wbd_glbl_dat_o[20] ,
-    \u_core.wbd_glbl_dat_o[19] ,
-    \u_core.wbd_glbl_dat_o[18] ,
-    \u_core.wbd_glbl_dat_o[17] ,
-    \u_core.wbd_glbl_dat_o[16] ,
-    \u_core.wbd_glbl_dat_o[15] ,
-    \u_core.wbd_glbl_dat_o[14] ,
-    \u_core.wbd_glbl_dat_o[13] ,
-    \u_core.wbd_glbl_dat_o[12] ,
-    \u_core.wbd_glbl_dat_o[11] ,
-    \u_core.wbd_glbl_dat_o[10] ,
-    \u_core.wbd_glbl_dat_o[9] ,
-    \u_core.wbd_glbl_dat_o[8] ,
-    \u_core.wbd_glbl_dat_o[7] ,
-    \u_core.wbd_glbl_dat_o[6] ,
-    \u_core.wbd_glbl_dat_o[5] ,
-    \u_core.wbd_glbl_dat_o[4] ,
-    \u_core.wbd_glbl_dat_o[3] ,
-    \u_core.wbd_glbl_dat_o[2] ,
-    \u_core.wbd_glbl_dat_o[1] ,
-    \u_core.wbd_glbl_dat_o[0] }),
+    .fuse_mhartid({\fuse_mhartid[31] ,
+    \fuse_mhartid[30] ,
+    \fuse_mhartid[29] ,
+    \fuse_mhartid[28] ,
+    \fuse_mhartid[27] ,
+    \fuse_mhartid[26] ,
+    \fuse_mhartid[25] ,
+    \fuse_mhartid[24] ,
+    \fuse_mhartid[23] ,
+    \fuse_mhartid[22] ,
+    \fuse_mhartid[21] ,
+    \fuse_mhartid[20] ,
+    \fuse_mhartid[19] ,
+    \fuse_mhartid[18] ,
+    \fuse_mhartid[17] ,
+    \fuse_mhartid[16] ,
+    \fuse_mhartid[15] ,
+    \fuse_mhartid[14] ,
+    \fuse_mhartid[13] ,
+    \fuse_mhartid[12] ,
+    \fuse_mhartid[11] ,
+    \fuse_mhartid[10] ,
+    \fuse_mhartid[9] ,
+    \fuse_mhartid[8] ,
+    \fuse_mhartid[7] ,
+    \fuse_mhartid[6] ,
+    \fuse_mhartid[5] ,
+    \fuse_mhartid[4] ,
+    \fuse_mhartid[3] ,
+    \fuse_mhartid[2] ,
+    \fuse_mhartid[1] ,
+    \fuse_mhartid[0] }),
+    .irq_lines({\irq_lines[15] ,
+    \irq_lines[14] ,
+    \irq_lines[13] ,
+    \irq_lines[12] ,
+    \irq_lines[11] ,
+    \irq_lines[10] ,
+    \irq_lines[9] ,
+    \irq_lines[8] ,
+    \irq_lines[7] ,
+    \irq_lines[6] ,
+    \irq_lines[5] ,
+    \irq_lines[4] ,
+    \irq_lines[3] ,
+    \irq_lines[2] ,
+    \irq_lines[1] ,
+    \irq_lines[0] }),
+    .reg_addr({\wbd_glbl_adr_o[7] ,
+    \wbd_glbl_adr_o[6] ,
+    \wbd_glbl_adr_o[5] ,
+    \wbd_glbl_adr_o[4] ,
+    \wbd_glbl_adr_o[3] ,
+    \wbd_glbl_adr_o[2] ,
+    \wbd_glbl_adr_o[1] ,
+    \wbd_glbl_adr_o[0] }),
+    .reg_be({\wbd_glbl_sel_o[3] ,
+    \wbd_glbl_sel_o[2] ,
+    \wbd_glbl_sel_o[1] ,
+    \wbd_glbl_sel_o[0] }),
+    .reg_rdata({\wbd_glbl_dat_i[31] ,
+    \wbd_glbl_dat_i[30] ,
+    \wbd_glbl_dat_i[29] ,
+    \wbd_glbl_dat_i[28] ,
+    \wbd_glbl_dat_i[27] ,
+    \wbd_glbl_dat_i[26] ,
+    \wbd_glbl_dat_i[25] ,
+    \wbd_glbl_dat_i[24] ,
+    \wbd_glbl_dat_i[23] ,
+    \wbd_glbl_dat_i[22] ,
+    \wbd_glbl_dat_i[21] ,
+    \wbd_glbl_dat_i[20] ,
+    \wbd_glbl_dat_i[19] ,
+    \wbd_glbl_dat_i[18] ,
+    \wbd_glbl_dat_i[17] ,
+    \wbd_glbl_dat_i[16] ,
+    \wbd_glbl_dat_i[15] ,
+    \wbd_glbl_dat_i[14] ,
+    \wbd_glbl_dat_i[13] ,
+    \wbd_glbl_dat_i[12] ,
+    \wbd_glbl_dat_i[11] ,
+    \wbd_glbl_dat_i[10] ,
+    \wbd_glbl_dat_i[9] ,
+    \wbd_glbl_dat_i[8] ,
+    \wbd_glbl_dat_i[7] ,
+    \wbd_glbl_dat_i[6] ,
+    \wbd_glbl_dat_i[5] ,
+    \wbd_glbl_dat_i[4] ,
+    \wbd_glbl_dat_i[3] ,
+    \wbd_glbl_dat_i[2] ,
+    \wbd_glbl_dat_i[1] ,
+    \wbd_glbl_dat_i[0] }),
+    .reg_wdata({\wbd_glbl_dat_o[31] ,
+    \wbd_glbl_dat_o[30] ,
+    \wbd_glbl_dat_o[29] ,
+    \wbd_glbl_dat_o[28] ,
+    \wbd_glbl_dat_o[27] ,
+    \wbd_glbl_dat_o[26] ,
+    \wbd_glbl_dat_o[25] ,
+    \wbd_glbl_dat_o[24] ,
+    \wbd_glbl_dat_o[23] ,
+    \wbd_glbl_dat_o[22] ,
+    \wbd_glbl_dat_o[21] ,
+    \wbd_glbl_dat_o[20] ,
+    \wbd_glbl_dat_o[19] ,
+    \wbd_glbl_dat_o[18] ,
+    \wbd_glbl_dat_o[17] ,
+    \wbd_glbl_dat_o[16] ,
+    \wbd_glbl_dat_o[15] ,
+    \wbd_glbl_dat_o[14] ,
+    \wbd_glbl_dat_o[13] ,
+    \wbd_glbl_dat_o[12] ,
+    \wbd_glbl_dat_o[11] ,
+    \wbd_glbl_dat_o[10] ,
+    \wbd_glbl_dat_o[9] ,
+    \wbd_glbl_dat_o[8] ,
+    \wbd_glbl_dat_o[7] ,
+    \wbd_glbl_dat_o[6] ,
+    \wbd_glbl_dat_o[5] ,
+    \wbd_glbl_dat_o[4] ,
+    \wbd_glbl_dat_o[3] ,
+    \wbd_glbl_dat_o[2] ,
+    \wbd_glbl_dat_o[1] ,
+    \wbd_glbl_dat_o[0] }),
     .user_irq({user_irq[2],
     user_irq[1],
     user_irq[0]}));
- wb_interconnect \u_core.u_intercon  (.clk_i(\u_core.wbd_clk_wi ),
-    .m0_wbd_ack_o(\u_core.wbd_int_ack_o ),
-    .m0_wbd_cyc_i(\u_core.wbd_int_cyc_i ),
-    .m0_wbd_err_o(\u_core.wbd_int_err_o ),
-    .m0_wbd_stb_i(\u_core.wbd_int_stb_i ),
-    .m0_wbd_we_i(\u_core.wbd_int_we_i ),
-    .m1_wbd_ack_o(\u_core.wbd_riscv_imem_ack_o ),
-    .m1_wbd_cyc_i(\u_core.wbd_riscv_imem_stb_i ),
-    .m1_wbd_err_o(\u_core.wbd_riscv_imem_err_o ),
-    .m1_wbd_stb_i(\u_core.wbd_riscv_imem_stb_i ),
-    .m1_wbd_we_i(\u_core.wbd_riscv_imem_we_i ),
-    .m2_wbd_ack_o(\u_core.wbd_riscv_dmem_ack_o ),
-    .m2_wbd_cyc_i(\u_core.wbd_riscv_dmem_stb_i ),
-    .m2_wbd_err_o(\u_core.wbd_riscv_dmem_err_o ),
-    .m2_wbd_stb_i(\u_core.wbd_riscv_dmem_stb_i ),
-    .m2_wbd_we_i(\u_core.wbd_riscv_dmem_we_i ),
-    .rst_n(\u_core.cfg_glb_ctrl[0] ),
-    .s0_wbd_ack_i(\u_core.wbd_spim_ack_i ),
-    .s0_wbd_cyc_o(\u_core.wbd_spim_cyc_o ),
-    .s0_wbd_stb_o(\u_core.wbd_spim_stb_o ),
-    .s0_wbd_we_o(\u_core.wbd_spim_we_o ),
-    .s1_wbd_ack_i(\u_core.wbd_sdram_ack_i ),
-    .s1_wbd_cyc_o(\u_core.wbd_sdram_cyc_o ),
-    .s1_wbd_stb_o(\u_core.wbd_sdram_stb_o ),
-    .s1_wbd_we_o(\u_core.wbd_sdram_we_o ),
-    .s2_wbd_ack_i(\u_core.wbd_glbl_ack_i ),
-    .s2_wbd_cyc_o(\u_core.wbd_glbl_cyc_o ),
-    .s2_wbd_stb_o(\u_core.wbd_glbl_stb_o ),
-    .s2_wbd_we_o(\u_core.wbd_glbl_we_o ),
-    .s3_wbd_ack_i(\u_core.wbd_uart_ack_i ),
-    .s3_wbd_cyc_o(\u_core.wbd_uart_cyc_o ),
-    .s3_wbd_sel_o(\u_core.wbd_uart_sel_o ),
-    .s3_wbd_stb_o(\u_core.wbd_uart_stb_o ),
-    .s3_wbd_we_o(\u_core.wbd_uart_we_o ),
+ wb_interconnect u_intercon (.clk_i(wbd_clk_wi),
+    .m0_wbd_ack_o(wbd_int_ack_o),
+    .m0_wbd_cyc_i(wbd_int_cyc_i),
+    .m0_wbd_err_o(wbd_int_err_o),
+    .m0_wbd_stb_i(wbd_int_stb_i),
+    .m0_wbd_we_i(wbd_int_we_i),
+    .m1_wbd_ack_o(wbd_riscv_imem_ack_o),
+    .m1_wbd_cyc_i(wbd_riscv_imem_stb_i),
+    .m1_wbd_err_o(wbd_riscv_imem_err_o),
+    .m1_wbd_stb_i(wbd_riscv_imem_stb_i),
+    .m1_wbd_we_i(wbd_riscv_imem_we_i),
+    .m2_wbd_ack_o(wbd_riscv_dmem_ack_o),
+    .m2_wbd_cyc_i(wbd_riscv_dmem_stb_i),
+    .m2_wbd_err_o(wbd_riscv_dmem_err_o),
+    .m2_wbd_stb_i(wbd_riscv_dmem_stb_i),
+    .m2_wbd_we_i(wbd_riscv_dmem_we_i),
+    .rst_n(\cfg_glb_ctrl[0] ),
+    .s0_wbd_ack_i(wbd_spim_ack_i),
+    .s0_wbd_cyc_o(wbd_spim_cyc_o),
+    .s0_wbd_stb_o(wbd_spim_stb_o),
+    .s0_wbd_we_o(wbd_spim_we_o),
+    .s1_wbd_ack_i(wbd_sdram_ack_i),
+    .s1_wbd_cyc_o(wbd_sdram_cyc_o),
+    .s1_wbd_stb_o(wbd_sdram_stb_o),
+    .s1_wbd_we_o(wbd_sdram_we_o),
+    .s2_wbd_ack_i(wbd_glbl_ack_i),
+    .s2_wbd_cyc_o(wbd_glbl_cyc_o),
+    .s2_wbd_stb_o(wbd_glbl_stb_o),
+    .s2_wbd_we_o(wbd_glbl_we_o),
+    .s3_wbd_ack_i(wbd_uart_ack_i),
+    .s3_wbd_cyc_o(wbd_uart_cyc_o),
+    .s3_wbd_sel_o(wbd_uart_sel_o),
+    .s3_wbd_stb_o(wbd_uart_stb_o),
+    .s3_wbd_we_o(wbd_uart_we_o),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .m0_wbd_adr_i({\u_core.wbd_int_adr_i[31] ,
-    \u_core.wbd_int_adr_i[30] ,
-    \u_core.wbd_int_adr_i[29] ,
-    \u_core.wbd_int_adr_i[28] ,
-    \u_core.wbd_int_adr_i[27] ,
-    \u_core.wbd_int_adr_i[26] ,
-    \u_core.wbd_int_adr_i[25] ,
-    \u_core.wbd_int_adr_i[24] ,
-    \u_core.wbd_int_adr_i[23] ,
-    \u_core.wbd_int_adr_i[22] ,
-    \u_core.wbd_int_adr_i[21] ,
-    \u_core.wbd_int_adr_i[20] ,
-    \u_core.wbd_int_adr_i[19] ,
-    \u_core.wbd_int_adr_i[18] ,
-    \u_core.wbd_int_adr_i[17] ,
-    \u_core.wbd_int_adr_i[16] ,
-    \u_core.wbd_int_adr_i[15] ,
-    \u_core.wbd_int_adr_i[14] ,
-    \u_core.wbd_int_adr_i[13] ,
-    \u_core.wbd_int_adr_i[12] ,
-    \u_core.wbd_int_adr_i[11] ,
-    \u_core.wbd_int_adr_i[10] ,
-    \u_core.wbd_int_adr_i[9] ,
-    \u_core.wbd_int_adr_i[8] ,
-    \u_core.wbd_int_adr_i[7] ,
-    \u_core.wbd_int_adr_i[6] ,
-    \u_core.wbd_int_adr_i[5] ,
-    \u_core.wbd_int_adr_i[4] ,
-    \u_core.wbd_int_adr_i[3] ,
-    \u_core.wbd_int_adr_i[2] ,
-    \u_core.wbd_int_adr_i[1] ,
-    \u_core.wbd_int_adr_i[0] }),
-    .m0_wbd_dat_i({\u_core.wbd_int_dat_i[31] ,
-    \u_core.wbd_int_dat_i[30] ,
-    \u_core.wbd_int_dat_i[29] ,
-    \u_core.wbd_int_dat_i[28] ,
-    \u_core.wbd_int_dat_i[27] ,
-    \u_core.wbd_int_dat_i[26] ,
-    \u_core.wbd_int_dat_i[25] ,
-    \u_core.wbd_int_dat_i[24] ,
-    \u_core.wbd_int_dat_i[23] ,
-    \u_core.wbd_int_dat_i[22] ,
-    \u_core.wbd_int_dat_i[21] ,
-    \u_core.wbd_int_dat_i[20] ,
-    \u_core.wbd_int_dat_i[19] ,
-    \u_core.wbd_int_dat_i[18] ,
-    \u_core.wbd_int_dat_i[17] ,
-    \u_core.wbd_int_dat_i[16] ,
-    \u_core.wbd_int_dat_i[15] ,
-    \u_core.wbd_int_dat_i[14] ,
-    \u_core.wbd_int_dat_i[13] ,
-    \u_core.wbd_int_dat_i[12] ,
-    \u_core.wbd_int_dat_i[11] ,
-    \u_core.wbd_int_dat_i[10] ,
-    \u_core.wbd_int_dat_i[9] ,
-    \u_core.wbd_int_dat_i[8] ,
-    \u_core.wbd_int_dat_i[7] ,
-    \u_core.wbd_int_dat_i[6] ,
-    \u_core.wbd_int_dat_i[5] ,
-    \u_core.wbd_int_dat_i[4] ,
-    \u_core.wbd_int_dat_i[3] ,
-    \u_core.wbd_int_dat_i[2] ,
-    \u_core.wbd_int_dat_i[1] ,
-    \u_core.wbd_int_dat_i[0] }),
-    .m0_wbd_dat_o({\u_core.wbd_int_dat_o[31] ,
-    \u_core.wbd_int_dat_o[30] ,
-    \u_core.wbd_int_dat_o[29] ,
-    \u_core.wbd_int_dat_o[28] ,
-    \u_core.wbd_int_dat_o[27] ,
-    \u_core.wbd_int_dat_o[26] ,
-    \u_core.wbd_int_dat_o[25] ,
-    \u_core.wbd_int_dat_o[24] ,
-    \u_core.wbd_int_dat_o[23] ,
-    \u_core.wbd_int_dat_o[22] ,
-    \u_core.wbd_int_dat_o[21] ,
-    \u_core.wbd_int_dat_o[20] ,
-    \u_core.wbd_int_dat_o[19] ,
-    \u_core.wbd_int_dat_o[18] ,
-    \u_core.wbd_int_dat_o[17] ,
-    \u_core.wbd_int_dat_o[16] ,
-    \u_core.wbd_int_dat_o[15] ,
-    \u_core.wbd_int_dat_o[14] ,
-    \u_core.wbd_int_dat_o[13] ,
-    \u_core.wbd_int_dat_o[12] ,
-    \u_core.wbd_int_dat_o[11] ,
-    \u_core.wbd_int_dat_o[10] ,
-    \u_core.wbd_int_dat_o[9] ,
-    \u_core.wbd_int_dat_o[8] ,
-    \u_core.wbd_int_dat_o[7] ,
-    \u_core.wbd_int_dat_o[6] ,
-    \u_core.wbd_int_dat_o[5] ,
-    \u_core.wbd_int_dat_o[4] ,
-    \u_core.wbd_int_dat_o[3] ,
-    \u_core.wbd_int_dat_o[2] ,
-    \u_core.wbd_int_dat_o[1] ,
-    \u_core.wbd_int_dat_o[0] }),
-    .m0_wbd_sel_i({\u_core.wbd_int_sel_i[3] ,
-    \u_core.wbd_int_sel_i[2] ,
-    \u_core.wbd_int_sel_i[1] ,
-    \u_core.wbd_int_sel_i[0] }),
-    .m1_wbd_adr_i({\u_core.wbd_riscv_imem_adr_i[31] ,
-    \u_core.wbd_riscv_imem_adr_i[30] ,
-    \u_core.wbd_riscv_imem_adr_i[29] ,
-    \u_core.wbd_riscv_imem_adr_i[28] ,
-    \u_core.wbd_riscv_imem_adr_i[27] ,
-    \u_core.wbd_riscv_imem_adr_i[26] ,
-    \u_core.wbd_riscv_imem_adr_i[25] ,
-    \u_core.wbd_riscv_imem_adr_i[24] ,
-    \u_core.wbd_riscv_imem_adr_i[23] ,
-    \u_core.wbd_riscv_imem_adr_i[22] ,
-    \u_core.wbd_riscv_imem_adr_i[21] ,
-    \u_core.wbd_riscv_imem_adr_i[20] ,
-    \u_core.wbd_riscv_imem_adr_i[19] ,
-    \u_core.wbd_riscv_imem_adr_i[18] ,
-    \u_core.wbd_riscv_imem_adr_i[17] ,
-    \u_core.wbd_riscv_imem_adr_i[16] ,
-    \u_core.wbd_riscv_imem_adr_i[15] ,
-    \u_core.wbd_riscv_imem_adr_i[14] ,
-    \u_core.wbd_riscv_imem_adr_i[13] ,
-    \u_core.wbd_riscv_imem_adr_i[12] ,
-    \u_core.wbd_riscv_imem_adr_i[11] ,
-    \u_core.wbd_riscv_imem_adr_i[10] ,
-    \u_core.wbd_riscv_imem_adr_i[9] ,
-    \u_core.wbd_riscv_imem_adr_i[8] ,
-    \u_core.wbd_riscv_imem_adr_i[7] ,
-    \u_core.wbd_riscv_imem_adr_i[6] ,
-    \u_core.wbd_riscv_imem_adr_i[5] ,
-    \u_core.wbd_riscv_imem_adr_i[4] ,
-    \u_core.wbd_riscv_imem_adr_i[3] ,
-    \u_core.wbd_riscv_imem_adr_i[2] ,
-    \u_core.wbd_riscv_imem_adr_i[1] ,
-    \u_core.wbd_riscv_imem_adr_i[0] }),
-    .m1_wbd_dat_i({\u_core.wbd_riscv_imem_dat_i[31] ,
-    \u_core.wbd_riscv_imem_dat_i[30] ,
-    \u_core.wbd_riscv_imem_dat_i[29] ,
-    \u_core.wbd_riscv_imem_dat_i[28] ,
-    \u_core.wbd_riscv_imem_dat_i[27] ,
-    \u_core.wbd_riscv_imem_dat_i[26] ,
-    \u_core.wbd_riscv_imem_dat_i[25] ,
-    \u_core.wbd_riscv_imem_dat_i[24] ,
-    \u_core.wbd_riscv_imem_dat_i[23] ,
-    \u_core.wbd_riscv_imem_dat_i[22] ,
-    \u_core.wbd_riscv_imem_dat_i[21] ,
-    \u_core.wbd_riscv_imem_dat_i[20] ,
-    \u_core.wbd_riscv_imem_dat_i[19] ,
-    \u_core.wbd_riscv_imem_dat_i[18] ,
-    \u_core.wbd_riscv_imem_dat_i[17] ,
-    \u_core.wbd_riscv_imem_dat_i[16] ,
-    \u_core.wbd_riscv_imem_dat_i[15] ,
-    \u_core.wbd_riscv_imem_dat_i[14] ,
-    \u_core.wbd_riscv_imem_dat_i[13] ,
-    \u_core.wbd_riscv_imem_dat_i[12] ,
-    \u_core.wbd_riscv_imem_dat_i[11] ,
-    \u_core.wbd_riscv_imem_dat_i[10] ,
-    \u_core.wbd_riscv_imem_dat_i[9] ,
-    \u_core.wbd_riscv_imem_dat_i[8] ,
-    \u_core.wbd_riscv_imem_dat_i[7] ,
-    \u_core.wbd_riscv_imem_dat_i[6] ,
-    \u_core.wbd_riscv_imem_dat_i[5] ,
-    \u_core.wbd_riscv_imem_dat_i[4] ,
-    \u_core.wbd_riscv_imem_dat_i[3] ,
-    \u_core.wbd_riscv_imem_dat_i[2] ,
-    \u_core.wbd_riscv_imem_dat_i[1] ,
-    \u_core.wbd_riscv_imem_dat_i[0] }),
-    .m1_wbd_dat_o({\u_core.wbd_riscv_imem_dat_o[31] ,
-    \u_core.wbd_riscv_imem_dat_o[30] ,
-    \u_core.wbd_riscv_imem_dat_o[29] ,
-    \u_core.wbd_riscv_imem_dat_o[28] ,
-    \u_core.wbd_riscv_imem_dat_o[27] ,
-    \u_core.wbd_riscv_imem_dat_o[26] ,
-    \u_core.wbd_riscv_imem_dat_o[25] ,
-    \u_core.wbd_riscv_imem_dat_o[24] ,
-    \u_core.wbd_riscv_imem_dat_o[23] ,
-    \u_core.wbd_riscv_imem_dat_o[22] ,
-    \u_core.wbd_riscv_imem_dat_o[21] ,
-    \u_core.wbd_riscv_imem_dat_o[20] ,
-    \u_core.wbd_riscv_imem_dat_o[19] ,
-    \u_core.wbd_riscv_imem_dat_o[18] ,
-    \u_core.wbd_riscv_imem_dat_o[17] ,
-    \u_core.wbd_riscv_imem_dat_o[16] ,
-    \u_core.wbd_riscv_imem_dat_o[15] ,
-    \u_core.wbd_riscv_imem_dat_o[14] ,
-    \u_core.wbd_riscv_imem_dat_o[13] ,
-    \u_core.wbd_riscv_imem_dat_o[12] ,
-    \u_core.wbd_riscv_imem_dat_o[11] ,
-    \u_core.wbd_riscv_imem_dat_o[10] ,
-    \u_core.wbd_riscv_imem_dat_o[9] ,
-    \u_core.wbd_riscv_imem_dat_o[8] ,
-    \u_core.wbd_riscv_imem_dat_o[7] ,
-    \u_core.wbd_riscv_imem_dat_o[6] ,
-    \u_core.wbd_riscv_imem_dat_o[5] ,
-    \u_core.wbd_riscv_imem_dat_o[4] ,
-    \u_core.wbd_riscv_imem_dat_o[3] ,
-    \u_core.wbd_riscv_imem_dat_o[2] ,
-    \u_core.wbd_riscv_imem_dat_o[1] ,
-    \u_core.wbd_riscv_imem_dat_o[0] }),
-    .m1_wbd_sel_i({\u_core.wbd_riscv_imem_sel_i[3] ,
-    \u_core.wbd_riscv_imem_sel_i[2] ,
-    \u_core.wbd_riscv_imem_sel_i[1] ,
-    \u_core.wbd_riscv_imem_sel_i[0] }),
-    .m2_wbd_adr_i({\u_core.wbd_riscv_dmem_adr_i[31] ,
-    \u_core.wbd_riscv_dmem_adr_i[30] ,
-    \u_core.wbd_riscv_dmem_adr_i[29] ,
-    \u_core.wbd_riscv_dmem_adr_i[28] ,
-    \u_core.wbd_riscv_dmem_adr_i[27] ,
-    \u_core.wbd_riscv_dmem_adr_i[26] ,
-    \u_core.wbd_riscv_dmem_adr_i[25] ,
-    \u_core.wbd_riscv_dmem_adr_i[24] ,
-    \u_core.wbd_riscv_dmem_adr_i[23] ,
-    \u_core.wbd_riscv_dmem_adr_i[22] ,
-    \u_core.wbd_riscv_dmem_adr_i[21] ,
-    \u_core.wbd_riscv_dmem_adr_i[20] ,
-    \u_core.wbd_riscv_dmem_adr_i[19] ,
-    \u_core.wbd_riscv_dmem_adr_i[18] ,
-    \u_core.wbd_riscv_dmem_adr_i[17] ,
-    \u_core.wbd_riscv_dmem_adr_i[16] ,
-    \u_core.wbd_riscv_dmem_adr_i[15] ,
-    \u_core.wbd_riscv_dmem_adr_i[14] ,
-    \u_core.wbd_riscv_dmem_adr_i[13] ,
-    \u_core.wbd_riscv_dmem_adr_i[12] ,
-    \u_core.wbd_riscv_dmem_adr_i[11] ,
-    \u_core.wbd_riscv_dmem_adr_i[10] ,
-    \u_core.wbd_riscv_dmem_adr_i[9] ,
-    \u_core.wbd_riscv_dmem_adr_i[8] ,
-    \u_core.wbd_riscv_dmem_adr_i[7] ,
-    \u_core.wbd_riscv_dmem_adr_i[6] ,
-    \u_core.wbd_riscv_dmem_adr_i[5] ,
-    \u_core.wbd_riscv_dmem_adr_i[4] ,
-    \u_core.wbd_riscv_dmem_adr_i[3] ,
-    \u_core.wbd_riscv_dmem_adr_i[2] ,
-    \u_core.wbd_riscv_dmem_adr_i[1] ,
-    \u_core.wbd_riscv_dmem_adr_i[0] }),
-    .m2_wbd_dat_i({\u_core.wbd_riscv_dmem_dat_i[31] ,
-    \u_core.wbd_riscv_dmem_dat_i[30] ,
-    \u_core.wbd_riscv_dmem_dat_i[29] ,
-    \u_core.wbd_riscv_dmem_dat_i[28] ,
-    \u_core.wbd_riscv_dmem_dat_i[27] ,
-    \u_core.wbd_riscv_dmem_dat_i[26] ,
-    \u_core.wbd_riscv_dmem_dat_i[25] ,
-    \u_core.wbd_riscv_dmem_dat_i[24] ,
-    \u_core.wbd_riscv_dmem_dat_i[23] ,
-    \u_core.wbd_riscv_dmem_dat_i[22] ,
-    \u_core.wbd_riscv_dmem_dat_i[21] ,
-    \u_core.wbd_riscv_dmem_dat_i[20] ,
-    \u_core.wbd_riscv_dmem_dat_i[19] ,
-    \u_core.wbd_riscv_dmem_dat_i[18] ,
-    \u_core.wbd_riscv_dmem_dat_i[17] ,
-    \u_core.wbd_riscv_dmem_dat_i[16] ,
-    \u_core.wbd_riscv_dmem_dat_i[15] ,
-    \u_core.wbd_riscv_dmem_dat_i[14] ,
-    \u_core.wbd_riscv_dmem_dat_i[13] ,
-    \u_core.wbd_riscv_dmem_dat_i[12] ,
-    \u_core.wbd_riscv_dmem_dat_i[11] ,
-    \u_core.wbd_riscv_dmem_dat_i[10] ,
-    \u_core.wbd_riscv_dmem_dat_i[9] ,
-    \u_core.wbd_riscv_dmem_dat_i[8] ,
-    \u_core.wbd_riscv_dmem_dat_i[7] ,
-    \u_core.wbd_riscv_dmem_dat_i[6] ,
-    \u_core.wbd_riscv_dmem_dat_i[5] ,
-    \u_core.wbd_riscv_dmem_dat_i[4] ,
-    \u_core.wbd_riscv_dmem_dat_i[3] ,
-    \u_core.wbd_riscv_dmem_dat_i[2] ,
-    \u_core.wbd_riscv_dmem_dat_i[1] ,
-    \u_core.wbd_riscv_dmem_dat_i[0] }),
-    .m2_wbd_dat_o({\u_core.wbd_riscv_dmem_dat_o[31] ,
-    \u_core.wbd_riscv_dmem_dat_o[30] ,
-    \u_core.wbd_riscv_dmem_dat_o[29] ,
-    \u_core.wbd_riscv_dmem_dat_o[28] ,
-    \u_core.wbd_riscv_dmem_dat_o[27] ,
-    \u_core.wbd_riscv_dmem_dat_o[26] ,
-    \u_core.wbd_riscv_dmem_dat_o[25] ,
-    \u_core.wbd_riscv_dmem_dat_o[24] ,
-    \u_core.wbd_riscv_dmem_dat_o[23] ,
-    \u_core.wbd_riscv_dmem_dat_o[22] ,
-    \u_core.wbd_riscv_dmem_dat_o[21] ,
-    \u_core.wbd_riscv_dmem_dat_o[20] ,
-    \u_core.wbd_riscv_dmem_dat_o[19] ,
-    \u_core.wbd_riscv_dmem_dat_o[18] ,
-    \u_core.wbd_riscv_dmem_dat_o[17] ,
-    \u_core.wbd_riscv_dmem_dat_o[16] ,
-    \u_core.wbd_riscv_dmem_dat_o[15] ,
-    \u_core.wbd_riscv_dmem_dat_o[14] ,
-    \u_core.wbd_riscv_dmem_dat_o[13] ,
-    \u_core.wbd_riscv_dmem_dat_o[12] ,
-    \u_core.wbd_riscv_dmem_dat_o[11] ,
-    \u_core.wbd_riscv_dmem_dat_o[10] ,
-    \u_core.wbd_riscv_dmem_dat_o[9] ,
-    \u_core.wbd_riscv_dmem_dat_o[8] ,
-    \u_core.wbd_riscv_dmem_dat_o[7] ,
-    \u_core.wbd_riscv_dmem_dat_o[6] ,
-    \u_core.wbd_riscv_dmem_dat_o[5] ,
-    \u_core.wbd_riscv_dmem_dat_o[4] ,
-    \u_core.wbd_riscv_dmem_dat_o[3] ,
-    \u_core.wbd_riscv_dmem_dat_o[2] ,
-    \u_core.wbd_riscv_dmem_dat_o[1] ,
-    \u_core.wbd_riscv_dmem_dat_o[0] }),
-    .m2_wbd_sel_i({\u_core.wbd_riscv_dmem_sel_i[3] ,
-    \u_core.wbd_riscv_dmem_sel_i[2] ,
-    \u_core.wbd_riscv_dmem_sel_i[1] ,
-    \u_core.wbd_riscv_dmem_sel_i[0] }),
-    .s0_wbd_adr_o({\u_core.wbd_spim_adr_o[31] ,
-    \u_core.wbd_spim_adr_o[30] ,
-    \u_core.wbd_spim_adr_o[29] ,
-    \u_core.wbd_spim_adr_o[28] ,
-    \u_core.wbd_spim_adr_o[27] ,
-    \u_core.wbd_spim_adr_o[26] ,
-    \u_core.wbd_spim_adr_o[25] ,
-    \u_core.wbd_spim_adr_o[24] ,
-    \u_core.wbd_spim_adr_o[23] ,
-    \u_core.wbd_spim_adr_o[22] ,
-    \u_core.wbd_spim_adr_o[21] ,
-    \u_core.wbd_spim_adr_o[20] ,
-    \u_core.wbd_spim_adr_o[19] ,
-    \u_core.wbd_spim_adr_o[18] ,
-    \u_core.wbd_spim_adr_o[17] ,
-    \u_core.wbd_spim_adr_o[16] ,
-    \u_core.wbd_spim_adr_o[15] ,
-    \u_core.wbd_spim_adr_o[14] ,
-    \u_core.wbd_spim_adr_o[13] ,
-    \u_core.wbd_spim_adr_o[12] ,
-    \u_core.wbd_spim_adr_o[11] ,
-    \u_core.wbd_spim_adr_o[10] ,
-    \u_core.wbd_spim_adr_o[9] ,
-    \u_core.wbd_spim_adr_o[8] ,
-    \u_core.wbd_spim_adr_o[7] ,
-    \u_core.wbd_spim_adr_o[6] ,
-    \u_core.wbd_spim_adr_o[5] ,
-    \u_core.wbd_spim_adr_o[4] ,
-    \u_core.wbd_spim_adr_o[3] ,
-    \u_core.wbd_spim_adr_o[2] ,
-    \u_core.wbd_spim_adr_o[1] ,
-    \u_core.wbd_spim_adr_o[0] }),
-    .s0_wbd_dat_i({\u_core.wbd_spim_dat_i[31] ,
-    \u_core.wbd_spim_dat_i[30] ,
-    \u_core.wbd_spim_dat_i[29] ,
-    \u_core.wbd_spim_dat_i[28] ,
-    \u_core.wbd_spim_dat_i[27] ,
-    \u_core.wbd_spim_dat_i[26] ,
-    \u_core.wbd_spim_dat_i[25] ,
-    \u_core.wbd_spim_dat_i[24] ,
-    \u_core.wbd_spim_dat_i[23] ,
-    \u_core.wbd_spim_dat_i[22] ,
-    \u_core.wbd_spim_dat_i[21] ,
-    \u_core.wbd_spim_dat_i[20] ,
-    \u_core.wbd_spim_dat_i[19] ,
-    \u_core.wbd_spim_dat_i[18] ,
-    \u_core.wbd_spim_dat_i[17] ,
-    \u_core.wbd_spim_dat_i[16] ,
-    \u_core.wbd_spim_dat_i[15] ,
-    \u_core.wbd_spim_dat_i[14] ,
-    \u_core.wbd_spim_dat_i[13] ,
-    \u_core.wbd_spim_dat_i[12] ,
-    \u_core.wbd_spim_dat_i[11] ,
-    \u_core.wbd_spim_dat_i[10] ,
-    \u_core.wbd_spim_dat_i[9] ,
-    \u_core.wbd_spim_dat_i[8] ,
-    \u_core.wbd_spim_dat_i[7] ,
-    \u_core.wbd_spim_dat_i[6] ,
-    \u_core.wbd_spim_dat_i[5] ,
-    \u_core.wbd_spim_dat_i[4] ,
-    \u_core.wbd_spim_dat_i[3] ,
-    \u_core.wbd_spim_dat_i[2] ,
-    \u_core.wbd_spim_dat_i[1] ,
-    \u_core.wbd_spim_dat_i[0] }),
-    .s0_wbd_dat_o({\u_core.wbd_spim_dat_o[31] ,
-    \u_core.wbd_spim_dat_o[30] ,
-    \u_core.wbd_spim_dat_o[29] ,
-    \u_core.wbd_spim_dat_o[28] ,
-    \u_core.wbd_spim_dat_o[27] ,
-    \u_core.wbd_spim_dat_o[26] ,
-    \u_core.wbd_spim_dat_o[25] ,
-    \u_core.wbd_spim_dat_o[24] ,
-    \u_core.wbd_spim_dat_o[23] ,
-    \u_core.wbd_spim_dat_o[22] ,
-    \u_core.wbd_spim_dat_o[21] ,
-    \u_core.wbd_spim_dat_o[20] ,
-    \u_core.wbd_spim_dat_o[19] ,
-    \u_core.wbd_spim_dat_o[18] ,
-    \u_core.wbd_spim_dat_o[17] ,
-    \u_core.wbd_spim_dat_o[16] ,
-    \u_core.wbd_spim_dat_o[15] ,
-    \u_core.wbd_spim_dat_o[14] ,
-    \u_core.wbd_spim_dat_o[13] ,
-    \u_core.wbd_spim_dat_o[12] ,
-    \u_core.wbd_spim_dat_o[11] ,
-    \u_core.wbd_spim_dat_o[10] ,
-    \u_core.wbd_spim_dat_o[9] ,
-    \u_core.wbd_spim_dat_o[8] ,
-    \u_core.wbd_spim_dat_o[7] ,
-    \u_core.wbd_spim_dat_o[6] ,
-    \u_core.wbd_spim_dat_o[5] ,
-    \u_core.wbd_spim_dat_o[4] ,
-    \u_core.wbd_spim_dat_o[3] ,
-    \u_core.wbd_spim_dat_o[2] ,
-    \u_core.wbd_spim_dat_o[1] ,
-    \u_core.wbd_spim_dat_o[0] }),
-    .s0_wbd_sel_o({\u_core.wbd_spim_sel_o[3] ,
-    \u_core.wbd_spim_sel_o[2] ,
-    \u_core.wbd_spim_sel_o[1] ,
-    \u_core.wbd_spim_sel_o[0] }),
-    .s1_wbd_adr_o({\u_core.wbd_sdram_adr_o[31] ,
-    \u_core.wbd_sdram_adr_o[30] ,
-    \u_core.wbd_sdram_adr_o[29] ,
-    \u_core.wbd_sdram_adr_o[28] ,
-    \u_core.wbd_sdram_adr_o[27] ,
-    \u_core.wbd_sdram_adr_o[26] ,
-    \u_core.wbd_sdram_adr_o[25] ,
-    \u_core.wbd_sdram_adr_o[24] ,
-    \u_core.wbd_sdram_adr_o[23] ,
-    \u_core.wbd_sdram_adr_o[22] ,
-    \u_core.wbd_sdram_adr_o[21] ,
-    \u_core.wbd_sdram_adr_o[20] ,
-    \u_core.wbd_sdram_adr_o[19] ,
-    \u_core.wbd_sdram_adr_o[18] ,
-    \u_core.wbd_sdram_adr_o[17] ,
-    \u_core.wbd_sdram_adr_o[16] ,
-    \u_core.wbd_sdram_adr_o[15] ,
-    \u_core.wbd_sdram_adr_o[14] ,
-    \u_core.wbd_sdram_adr_o[13] ,
-    \u_core.wbd_sdram_adr_o[12] ,
-    \u_core.wbd_sdram_adr_o[11] ,
-    \u_core.wbd_sdram_adr_o[10] ,
-    \u_core.wbd_sdram_adr_o[9] ,
-    \u_core.wbd_sdram_adr_o[8] ,
-    \u_core.wbd_sdram_adr_o[7] ,
-    \u_core.wbd_sdram_adr_o[6] ,
-    \u_core.wbd_sdram_adr_o[5] ,
-    \u_core.wbd_sdram_adr_o[4] ,
-    \u_core.wbd_sdram_adr_o[3] ,
-    \u_core.wbd_sdram_adr_o[2] ,
-    \u_core.wbd_sdram_adr_o[1] ,
-    \u_core.wbd_sdram_adr_o[0] }),
-    .s1_wbd_dat_i({\u_core.wbd_sdram_dat_i[31] ,
-    \u_core.wbd_sdram_dat_i[30] ,
-    \u_core.wbd_sdram_dat_i[29] ,
-    \u_core.wbd_sdram_dat_i[28] ,
-    \u_core.wbd_sdram_dat_i[27] ,
-    \u_core.wbd_sdram_dat_i[26] ,
-    \u_core.wbd_sdram_dat_i[25] ,
-    \u_core.wbd_sdram_dat_i[24] ,
-    \u_core.wbd_sdram_dat_i[23] ,
-    \u_core.wbd_sdram_dat_i[22] ,
-    \u_core.wbd_sdram_dat_i[21] ,
-    \u_core.wbd_sdram_dat_i[20] ,
-    \u_core.wbd_sdram_dat_i[19] ,
-    \u_core.wbd_sdram_dat_i[18] ,
-    \u_core.wbd_sdram_dat_i[17] ,
-    \u_core.wbd_sdram_dat_i[16] ,
-    \u_core.wbd_sdram_dat_i[15] ,
-    \u_core.wbd_sdram_dat_i[14] ,
-    \u_core.wbd_sdram_dat_i[13] ,
-    \u_core.wbd_sdram_dat_i[12] ,
-    \u_core.wbd_sdram_dat_i[11] ,
-    \u_core.wbd_sdram_dat_i[10] ,
-    \u_core.wbd_sdram_dat_i[9] ,
-    \u_core.wbd_sdram_dat_i[8] ,
-    \u_core.wbd_sdram_dat_i[7] ,
-    \u_core.wbd_sdram_dat_i[6] ,
-    \u_core.wbd_sdram_dat_i[5] ,
-    \u_core.wbd_sdram_dat_i[4] ,
-    \u_core.wbd_sdram_dat_i[3] ,
-    \u_core.wbd_sdram_dat_i[2] ,
-    \u_core.wbd_sdram_dat_i[1] ,
-    \u_core.wbd_sdram_dat_i[0] }),
-    .s1_wbd_dat_o({\u_core.wbd_sdram_dat_o[31] ,
-    \u_core.wbd_sdram_dat_o[30] ,
-    \u_core.wbd_sdram_dat_o[29] ,
-    \u_core.wbd_sdram_dat_o[28] ,
-    \u_core.wbd_sdram_dat_o[27] ,
-    \u_core.wbd_sdram_dat_o[26] ,
-    \u_core.wbd_sdram_dat_o[25] ,
-    \u_core.wbd_sdram_dat_o[24] ,
-    \u_core.wbd_sdram_dat_o[23] ,
-    \u_core.wbd_sdram_dat_o[22] ,
-    \u_core.wbd_sdram_dat_o[21] ,
-    \u_core.wbd_sdram_dat_o[20] ,
-    \u_core.wbd_sdram_dat_o[19] ,
-    \u_core.wbd_sdram_dat_o[18] ,
-    \u_core.wbd_sdram_dat_o[17] ,
-    \u_core.wbd_sdram_dat_o[16] ,
-    \u_core.wbd_sdram_dat_o[15] ,
-    \u_core.wbd_sdram_dat_o[14] ,
-    \u_core.wbd_sdram_dat_o[13] ,
-    \u_core.wbd_sdram_dat_o[12] ,
-    \u_core.wbd_sdram_dat_o[11] ,
-    \u_core.wbd_sdram_dat_o[10] ,
-    \u_core.wbd_sdram_dat_o[9] ,
-    \u_core.wbd_sdram_dat_o[8] ,
-    \u_core.wbd_sdram_dat_o[7] ,
-    \u_core.wbd_sdram_dat_o[6] ,
-    \u_core.wbd_sdram_dat_o[5] ,
-    \u_core.wbd_sdram_dat_o[4] ,
-    \u_core.wbd_sdram_dat_o[3] ,
-    \u_core.wbd_sdram_dat_o[2] ,
-    \u_core.wbd_sdram_dat_o[1] ,
-    \u_core.wbd_sdram_dat_o[0] }),
-    .s1_wbd_sel_o({\u_core.wbd_sdram_sel_o[3] ,
-    \u_core.wbd_sdram_sel_o[2] ,
-    \u_core.wbd_sdram_sel_o[1] ,
-    \u_core.wbd_sdram_sel_o[0] }),
-    .s2_wbd_adr_o({\u_core.wbd_glbl_adr_o[7] ,
-    \u_core.wbd_glbl_adr_o[6] ,
-    \u_core.wbd_glbl_adr_o[5] ,
-    \u_core.wbd_glbl_adr_o[4] ,
-    \u_core.wbd_glbl_adr_o[3] ,
-    \u_core.wbd_glbl_adr_o[2] ,
-    \u_core.wbd_glbl_adr_o[1] ,
-    \u_core.wbd_glbl_adr_o[0] }),
-    .s2_wbd_dat_i({\u_core.wbd_glbl_dat_i[31] ,
-    \u_core.wbd_glbl_dat_i[30] ,
-    \u_core.wbd_glbl_dat_i[29] ,
-    \u_core.wbd_glbl_dat_i[28] ,
-    \u_core.wbd_glbl_dat_i[27] ,
-    \u_core.wbd_glbl_dat_i[26] ,
-    \u_core.wbd_glbl_dat_i[25] ,
-    \u_core.wbd_glbl_dat_i[24] ,
-    \u_core.wbd_glbl_dat_i[23] ,
-    \u_core.wbd_glbl_dat_i[22] ,
-    \u_core.wbd_glbl_dat_i[21] ,
-    \u_core.wbd_glbl_dat_i[20] ,
-    \u_core.wbd_glbl_dat_i[19] ,
-    \u_core.wbd_glbl_dat_i[18] ,
-    \u_core.wbd_glbl_dat_i[17] ,
-    \u_core.wbd_glbl_dat_i[16] ,
-    \u_core.wbd_glbl_dat_i[15] ,
-    \u_core.wbd_glbl_dat_i[14] ,
-    \u_core.wbd_glbl_dat_i[13] ,
-    \u_core.wbd_glbl_dat_i[12] ,
-    \u_core.wbd_glbl_dat_i[11] ,
-    \u_core.wbd_glbl_dat_i[10] ,
-    \u_core.wbd_glbl_dat_i[9] ,
-    \u_core.wbd_glbl_dat_i[8] ,
-    \u_core.wbd_glbl_dat_i[7] ,
-    \u_core.wbd_glbl_dat_i[6] ,
-    \u_core.wbd_glbl_dat_i[5] ,
-    \u_core.wbd_glbl_dat_i[4] ,
-    \u_core.wbd_glbl_dat_i[3] ,
-    \u_core.wbd_glbl_dat_i[2] ,
-    \u_core.wbd_glbl_dat_i[1] ,
-    \u_core.wbd_glbl_dat_i[0] }),
-    .s2_wbd_dat_o({\u_core.wbd_glbl_dat_o[31] ,
-    \u_core.wbd_glbl_dat_o[30] ,
-    \u_core.wbd_glbl_dat_o[29] ,
-    \u_core.wbd_glbl_dat_o[28] ,
-    \u_core.wbd_glbl_dat_o[27] ,
-    \u_core.wbd_glbl_dat_o[26] ,
-    \u_core.wbd_glbl_dat_o[25] ,
-    \u_core.wbd_glbl_dat_o[24] ,
-    \u_core.wbd_glbl_dat_o[23] ,
-    \u_core.wbd_glbl_dat_o[22] ,
-    \u_core.wbd_glbl_dat_o[21] ,
-    \u_core.wbd_glbl_dat_o[20] ,
-    \u_core.wbd_glbl_dat_o[19] ,
-    \u_core.wbd_glbl_dat_o[18] ,
-    \u_core.wbd_glbl_dat_o[17] ,
-    \u_core.wbd_glbl_dat_o[16] ,
-    \u_core.wbd_glbl_dat_o[15] ,
-    \u_core.wbd_glbl_dat_o[14] ,
-    \u_core.wbd_glbl_dat_o[13] ,
-    \u_core.wbd_glbl_dat_o[12] ,
-    \u_core.wbd_glbl_dat_o[11] ,
-    \u_core.wbd_glbl_dat_o[10] ,
-    \u_core.wbd_glbl_dat_o[9] ,
-    \u_core.wbd_glbl_dat_o[8] ,
-    \u_core.wbd_glbl_dat_o[7] ,
-    \u_core.wbd_glbl_dat_o[6] ,
-    \u_core.wbd_glbl_dat_o[5] ,
-    \u_core.wbd_glbl_dat_o[4] ,
-    \u_core.wbd_glbl_dat_o[3] ,
-    \u_core.wbd_glbl_dat_o[2] ,
-    \u_core.wbd_glbl_dat_o[1] ,
-    \u_core.wbd_glbl_dat_o[0] }),
-    .s2_wbd_sel_o({\u_core.wbd_glbl_sel_o[3] ,
-    \u_core.wbd_glbl_sel_o[2] ,
-    \u_core.wbd_glbl_sel_o[1] ,
-    \u_core.wbd_glbl_sel_o[0] }),
-    .s3_wbd_adr_o({\u_core.wbd_uart_adr_o[7] ,
-    \u_core.wbd_uart_adr_o[6] ,
-    \u_core.wbd_uart_adr_o[5] ,
-    \u_core.wbd_uart_adr_o[4] ,
-    \u_core.wbd_uart_adr_o[3] ,
-    \u_core.wbd_uart_adr_o[2] ,
-    \u_core.wbd_uart_adr_o[1] ,
-    \u_core.wbd_uart_adr_o[0] }),
-    .s3_wbd_dat_i({\u_core.wbd_uart_dat_i[7] ,
-    \u_core.wbd_uart_dat_i[6] ,
-    \u_core.wbd_uart_dat_i[5] ,
-    \u_core.wbd_uart_dat_i[4] ,
-    \u_core.wbd_uart_dat_i[3] ,
-    \u_core.wbd_uart_dat_i[2] ,
-    \u_core.wbd_uart_dat_i[1] ,
-    \u_core.wbd_uart_dat_i[0] }),
-    .s3_wbd_dat_o({\u_core.wbd_uart_dat_o[7] ,
-    \u_core.wbd_uart_dat_o[6] ,
-    \u_core.wbd_uart_dat_o[5] ,
-    \u_core.wbd_uart_dat_o[4] ,
-    \u_core.wbd_uart_dat_o[3] ,
-    \u_core.wbd_uart_dat_o[2] ,
-    \u_core.wbd_uart_dat_o[1] ,
-    \u_core.wbd_uart_dat_o[0] }));
- scr1_top_wb \u_core.u_riscv_top  (.core_clk(\u_core.cpu_clk ),
-    .cpu_rst_n(\u_core.cfg_glb_ctrl[1] ),
-    .pwrup_rst_n(\u_core.cfg_glb_ctrl[0] ),
-    .rst_n(\u_core.cfg_glb_ctrl[0] ),
-    .rtc_clk(\u_core.rtc_clk ),
-    .soft_irq(\u_core.soft_irq ),
-    .wb_clk(\u_core.wbd_clk_riscv ),
-    .wb_rst_n(\u_core.cfg_glb_ctrl[0] ),
-    .wbd_dmem_ack_i(\u_core.wbd_riscv_dmem_ack_o ),
-    .wbd_dmem_err_i(\u_core.wbd_riscv_dmem_err_o ),
-    .wbd_dmem_stb_o(\u_core.wbd_riscv_dmem_stb_i ),
-    .wbd_dmem_we_o(\u_core.wbd_riscv_dmem_we_i ),
-    .wbd_imem_ack_i(\u_core.wbd_riscv_imem_ack_o ),
-    .wbd_imem_err_i(\u_core.wbd_riscv_imem_err_o ),
-    .wbd_imem_stb_o(\u_core.wbd_riscv_imem_stb_i ),
-    .wbd_imem_we_o(\u_core.wbd_riscv_imem_we_i ),
+    .m0_wbd_adr_i({\wbd_int_adr_i[31] ,
+    \wbd_int_adr_i[30] ,
+    \wbd_int_adr_i[29] ,
+    \wbd_int_adr_i[28] ,
+    \wbd_int_adr_i[27] ,
+    \wbd_int_adr_i[26] ,
+    \wbd_int_adr_i[25] ,
+    \wbd_int_adr_i[24] ,
+    \wbd_int_adr_i[23] ,
+    \wbd_int_adr_i[22] ,
+    \wbd_int_adr_i[21] ,
+    \wbd_int_adr_i[20] ,
+    \wbd_int_adr_i[19] ,
+    \wbd_int_adr_i[18] ,
+    \wbd_int_adr_i[17] ,
+    \wbd_int_adr_i[16] ,
+    \wbd_int_adr_i[15] ,
+    \wbd_int_adr_i[14] ,
+    \wbd_int_adr_i[13] ,
+    \wbd_int_adr_i[12] ,
+    \wbd_int_adr_i[11] ,
+    \wbd_int_adr_i[10] ,
+    \wbd_int_adr_i[9] ,
+    \wbd_int_adr_i[8] ,
+    \wbd_int_adr_i[7] ,
+    \wbd_int_adr_i[6] ,
+    \wbd_int_adr_i[5] ,
+    \wbd_int_adr_i[4] ,
+    \wbd_int_adr_i[3] ,
+    \wbd_int_adr_i[2] ,
+    \wbd_int_adr_i[1] ,
+    \wbd_int_adr_i[0] }),
+    .m0_wbd_dat_i({\wbd_int_dat_i[31] ,
+    \wbd_int_dat_i[30] ,
+    \wbd_int_dat_i[29] ,
+    \wbd_int_dat_i[28] ,
+    \wbd_int_dat_i[27] ,
+    \wbd_int_dat_i[26] ,
+    \wbd_int_dat_i[25] ,
+    \wbd_int_dat_i[24] ,
+    \wbd_int_dat_i[23] ,
+    \wbd_int_dat_i[22] ,
+    \wbd_int_dat_i[21] ,
+    \wbd_int_dat_i[20] ,
+    \wbd_int_dat_i[19] ,
+    \wbd_int_dat_i[18] ,
+    \wbd_int_dat_i[17] ,
+    \wbd_int_dat_i[16] ,
+    \wbd_int_dat_i[15] ,
+    \wbd_int_dat_i[14] ,
+    \wbd_int_dat_i[13] ,
+    \wbd_int_dat_i[12] ,
+    \wbd_int_dat_i[11] ,
+    \wbd_int_dat_i[10] ,
+    \wbd_int_dat_i[9] ,
+    \wbd_int_dat_i[8] ,
+    \wbd_int_dat_i[7] ,
+    \wbd_int_dat_i[6] ,
+    \wbd_int_dat_i[5] ,
+    \wbd_int_dat_i[4] ,
+    \wbd_int_dat_i[3] ,
+    \wbd_int_dat_i[2] ,
+    \wbd_int_dat_i[1] ,
+    \wbd_int_dat_i[0] }),
+    .m0_wbd_dat_o({\wbd_int_dat_o[31] ,
+    \wbd_int_dat_o[30] ,
+    \wbd_int_dat_o[29] ,
+    \wbd_int_dat_o[28] ,
+    \wbd_int_dat_o[27] ,
+    \wbd_int_dat_o[26] ,
+    \wbd_int_dat_o[25] ,
+    \wbd_int_dat_o[24] ,
+    \wbd_int_dat_o[23] ,
+    \wbd_int_dat_o[22] ,
+    \wbd_int_dat_o[21] ,
+    \wbd_int_dat_o[20] ,
+    \wbd_int_dat_o[19] ,
+    \wbd_int_dat_o[18] ,
+    \wbd_int_dat_o[17] ,
+    \wbd_int_dat_o[16] ,
+    \wbd_int_dat_o[15] ,
+    \wbd_int_dat_o[14] ,
+    \wbd_int_dat_o[13] ,
+    \wbd_int_dat_o[12] ,
+    \wbd_int_dat_o[11] ,
+    \wbd_int_dat_o[10] ,
+    \wbd_int_dat_o[9] ,
+    \wbd_int_dat_o[8] ,
+    \wbd_int_dat_o[7] ,
+    \wbd_int_dat_o[6] ,
+    \wbd_int_dat_o[5] ,
+    \wbd_int_dat_o[4] ,
+    \wbd_int_dat_o[3] ,
+    \wbd_int_dat_o[2] ,
+    \wbd_int_dat_o[1] ,
+    \wbd_int_dat_o[0] }),
+    .m0_wbd_sel_i({\wbd_int_sel_i[3] ,
+    \wbd_int_sel_i[2] ,
+    \wbd_int_sel_i[1] ,
+    \wbd_int_sel_i[0] }),
+    .m1_wbd_adr_i({\wbd_riscv_imem_adr_i[31] ,
+    \wbd_riscv_imem_adr_i[30] ,
+    \wbd_riscv_imem_adr_i[29] ,
+    \wbd_riscv_imem_adr_i[28] ,
+    \wbd_riscv_imem_adr_i[27] ,
+    \wbd_riscv_imem_adr_i[26] ,
+    \wbd_riscv_imem_adr_i[25] ,
+    \wbd_riscv_imem_adr_i[24] ,
+    \wbd_riscv_imem_adr_i[23] ,
+    \wbd_riscv_imem_adr_i[22] ,
+    \wbd_riscv_imem_adr_i[21] ,
+    \wbd_riscv_imem_adr_i[20] ,
+    \wbd_riscv_imem_adr_i[19] ,
+    \wbd_riscv_imem_adr_i[18] ,
+    \wbd_riscv_imem_adr_i[17] ,
+    \wbd_riscv_imem_adr_i[16] ,
+    \wbd_riscv_imem_adr_i[15] ,
+    \wbd_riscv_imem_adr_i[14] ,
+    \wbd_riscv_imem_adr_i[13] ,
+    \wbd_riscv_imem_adr_i[12] ,
+    \wbd_riscv_imem_adr_i[11] ,
+    \wbd_riscv_imem_adr_i[10] ,
+    \wbd_riscv_imem_adr_i[9] ,
+    \wbd_riscv_imem_adr_i[8] ,
+    \wbd_riscv_imem_adr_i[7] ,
+    \wbd_riscv_imem_adr_i[6] ,
+    \wbd_riscv_imem_adr_i[5] ,
+    \wbd_riscv_imem_adr_i[4] ,
+    \wbd_riscv_imem_adr_i[3] ,
+    \wbd_riscv_imem_adr_i[2] ,
+    \wbd_riscv_imem_adr_i[1] ,
+    \wbd_riscv_imem_adr_i[0] }),
+    .m1_wbd_dat_i({\wbd_riscv_imem_dat_i[31] ,
+    \wbd_riscv_imem_dat_i[30] ,
+    \wbd_riscv_imem_dat_i[29] ,
+    \wbd_riscv_imem_dat_i[28] ,
+    \wbd_riscv_imem_dat_i[27] ,
+    \wbd_riscv_imem_dat_i[26] ,
+    \wbd_riscv_imem_dat_i[25] ,
+    \wbd_riscv_imem_dat_i[24] ,
+    \wbd_riscv_imem_dat_i[23] ,
+    \wbd_riscv_imem_dat_i[22] ,
+    \wbd_riscv_imem_dat_i[21] ,
+    \wbd_riscv_imem_dat_i[20] ,
+    \wbd_riscv_imem_dat_i[19] ,
+    \wbd_riscv_imem_dat_i[18] ,
+    \wbd_riscv_imem_dat_i[17] ,
+    \wbd_riscv_imem_dat_i[16] ,
+    \wbd_riscv_imem_dat_i[15] ,
+    \wbd_riscv_imem_dat_i[14] ,
+    \wbd_riscv_imem_dat_i[13] ,
+    \wbd_riscv_imem_dat_i[12] ,
+    \wbd_riscv_imem_dat_i[11] ,
+    \wbd_riscv_imem_dat_i[10] ,
+    \wbd_riscv_imem_dat_i[9] ,
+    \wbd_riscv_imem_dat_i[8] ,
+    \wbd_riscv_imem_dat_i[7] ,
+    \wbd_riscv_imem_dat_i[6] ,
+    \wbd_riscv_imem_dat_i[5] ,
+    \wbd_riscv_imem_dat_i[4] ,
+    \wbd_riscv_imem_dat_i[3] ,
+    \wbd_riscv_imem_dat_i[2] ,
+    \wbd_riscv_imem_dat_i[1] ,
+    \wbd_riscv_imem_dat_i[0] }),
+    .m1_wbd_dat_o({\wbd_riscv_imem_dat_o[31] ,
+    \wbd_riscv_imem_dat_o[30] ,
+    \wbd_riscv_imem_dat_o[29] ,
+    \wbd_riscv_imem_dat_o[28] ,
+    \wbd_riscv_imem_dat_o[27] ,
+    \wbd_riscv_imem_dat_o[26] ,
+    \wbd_riscv_imem_dat_o[25] ,
+    \wbd_riscv_imem_dat_o[24] ,
+    \wbd_riscv_imem_dat_o[23] ,
+    \wbd_riscv_imem_dat_o[22] ,
+    \wbd_riscv_imem_dat_o[21] ,
+    \wbd_riscv_imem_dat_o[20] ,
+    \wbd_riscv_imem_dat_o[19] ,
+    \wbd_riscv_imem_dat_o[18] ,
+    \wbd_riscv_imem_dat_o[17] ,
+    \wbd_riscv_imem_dat_o[16] ,
+    \wbd_riscv_imem_dat_o[15] ,
+    \wbd_riscv_imem_dat_o[14] ,
+    \wbd_riscv_imem_dat_o[13] ,
+    \wbd_riscv_imem_dat_o[12] ,
+    \wbd_riscv_imem_dat_o[11] ,
+    \wbd_riscv_imem_dat_o[10] ,
+    \wbd_riscv_imem_dat_o[9] ,
+    \wbd_riscv_imem_dat_o[8] ,
+    \wbd_riscv_imem_dat_o[7] ,
+    \wbd_riscv_imem_dat_o[6] ,
+    \wbd_riscv_imem_dat_o[5] ,
+    \wbd_riscv_imem_dat_o[4] ,
+    \wbd_riscv_imem_dat_o[3] ,
+    \wbd_riscv_imem_dat_o[2] ,
+    \wbd_riscv_imem_dat_o[1] ,
+    \wbd_riscv_imem_dat_o[0] }),
+    .m1_wbd_sel_i({\wbd_riscv_imem_sel_i[3] ,
+    \wbd_riscv_imem_sel_i[2] ,
+    \wbd_riscv_imem_sel_i[1] ,
+    \wbd_riscv_imem_sel_i[0] }),
+    .m2_wbd_adr_i({\wbd_riscv_dmem_adr_i[31] ,
+    \wbd_riscv_dmem_adr_i[30] ,
+    \wbd_riscv_dmem_adr_i[29] ,
+    \wbd_riscv_dmem_adr_i[28] ,
+    \wbd_riscv_dmem_adr_i[27] ,
+    \wbd_riscv_dmem_adr_i[26] ,
+    \wbd_riscv_dmem_adr_i[25] ,
+    \wbd_riscv_dmem_adr_i[24] ,
+    \wbd_riscv_dmem_adr_i[23] ,
+    \wbd_riscv_dmem_adr_i[22] ,
+    \wbd_riscv_dmem_adr_i[21] ,
+    \wbd_riscv_dmem_adr_i[20] ,
+    \wbd_riscv_dmem_adr_i[19] ,
+    \wbd_riscv_dmem_adr_i[18] ,
+    \wbd_riscv_dmem_adr_i[17] ,
+    \wbd_riscv_dmem_adr_i[16] ,
+    \wbd_riscv_dmem_adr_i[15] ,
+    \wbd_riscv_dmem_adr_i[14] ,
+    \wbd_riscv_dmem_adr_i[13] ,
+    \wbd_riscv_dmem_adr_i[12] ,
+    \wbd_riscv_dmem_adr_i[11] ,
+    \wbd_riscv_dmem_adr_i[10] ,
+    \wbd_riscv_dmem_adr_i[9] ,
+    \wbd_riscv_dmem_adr_i[8] ,
+    \wbd_riscv_dmem_adr_i[7] ,
+    \wbd_riscv_dmem_adr_i[6] ,
+    \wbd_riscv_dmem_adr_i[5] ,
+    \wbd_riscv_dmem_adr_i[4] ,
+    \wbd_riscv_dmem_adr_i[3] ,
+    \wbd_riscv_dmem_adr_i[2] ,
+    \wbd_riscv_dmem_adr_i[1] ,
+    \wbd_riscv_dmem_adr_i[0] }),
+    .m2_wbd_dat_i({\wbd_riscv_dmem_dat_i[31] ,
+    \wbd_riscv_dmem_dat_i[30] ,
+    \wbd_riscv_dmem_dat_i[29] ,
+    \wbd_riscv_dmem_dat_i[28] ,
+    \wbd_riscv_dmem_dat_i[27] ,
+    \wbd_riscv_dmem_dat_i[26] ,
+    \wbd_riscv_dmem_dat_i[25] ,
+    \wbd_riscv_dmem_dat_i[24] ,
+    \wbd_riscv_dmem_dat_i[23] ,
+    \wbd_riscv_dmem_dat_i[22] ,
+    \wbd_riscv_dmem_dat_i[21] ,
+    \wbd_riscv_dmem_dat_i[20] ,
+    \wbd_riscv_dmem_dat_i[19] ,
+    \wbd_riscv_dmem_dat_i[18] ,
+    \wbd_riscv_dmem_dat_i[17] ,
+    \wbd_riscv_dmem_dat_i[16] ,
+    \wbd_riscv_dmem_dat_i[15] ,
+    \wbd_riscv_dmem_dat_i[14] ,
+    \wbd_riscv_dmem_dat_i[13] ,
+    \wbd_riscv_dmem_dat_i[12] ,
+    \wbd_riscv_dmem_dat_i[11] ,
+    \wbd_riscv_dmem_dat_i[10] ,
+    \wbd_riscv_dmem_dat_i[9] ,
+    \wbd_riscv_dmem_dat_i[8] ,
+    \wbd_riscv_dmem_dat_i[7] ,
+    \wbd_riscv_dmem_dat_i[6] ,
+    \wbd_riscv_dmem_dat_i[5] ,
+    \wbd_riscv_dmem_dat_i[4] ,
+    \wbd_riscv_dmem_dat_i[3] ,
+    \wbd_riscv_dmem_dat_i[2] ,
+    \wbd_riscv_dmem_dat_i[1] ,
+    \wbd_riscv_dmem_dat_i[0] }),
+    .m2_wbd_dat_o({\wbd_riscv_dmem_dat_o[31] ,
+    \wbd_riscv_dmem_dat_o[30] ,
+    \wbd_riscv_dmem_dat_o[29] ,
+    \wbd_riscv_dmem_dat_o[28] ,
+    \wbd_riscv_dmem_dat_o[27] ,
+    \wbd_riscv_dmem_dat_o[26] ,
+    \wbd_riscv_dmem_dat_o[25] ,
+    \wbd_riscv_dmem_dat_o[24] ,
+    \wbd_riscv_dmem_dat_o[23] ,
+    \wbd_riscv_dmem_dat_o[22] ,
+    \wbd_riscv_dmem_dat_o[21] ,
+    \wbd_riscv_dmem_dat_o[20] ,
+    \wbd_riscv_dmem_dat_o[19] ,
+    \wbd_riscv_dmem_dat_o[18] ,
+    \wbd_riscv_dmem_dat_o[17] ,
+    \wbd_riscv_dmem_dat_o[16] ,
+    \wbd_riscv_dmem_dat_o[15] ,
+    \wbd_riscv_dmem_dat_o[14] ,
+    \wbd_riscv_dmem_dat_o[13] ,
+    \wbd_riscv_dmem_dat_o[12] ,
+    \wbd_riscv_dmem_dat_o[11] ,
+    \wbd_riscv_dmem_dat_o[10] ,
+    \wbd_riscv_dmem_dat_o[9] ,
+    \wbd_riscv_dmem_dat_o[8] ,
+    \wbd_riscv_dmem_dat_o[7] ,
+    \wbd_riscv_dmem_dat_o[6] ,
+    \wbd_riscv_dmem_dat_o[5] ,
+    \wbd_riscv_dmem_dat_o[4] ,
+    \wbd_riscv_dmem_dat_o[3] ,
+    \wbd_riscv_dmem_dat_o[2] ,
+    \wbd_riscv_dmem_dat_o[1] ,
+    \wbd_riscv_dmem_dat_o[0] }),
+    .m2_wbd_sel_i({\wbd_riscv_dmem_sel_i[3] ,
+    \wbd_riscv_dmem_sel_i[2] ,
+    \wbd_riscv_dmem_sel_i[1] ,
+    \wbd_riscv_dmem_sel_i[0] }),
+    .s0_wbd_adr_o({\wbd_spim_adr_o[31] ,
+    \wbd_spim_adr_o[30] ,
+    \wbd_spim_adr_o[29] ,
+    \wbd_spim_adr_o[28] ,
+    \wbd_spim_adr_o[27] ,
+    \wbd_spim_adr_o[26] ,
+    \wbd_spim_adr_o[25] ,
+    \wbd_spim_adr_o[24] ,
+    \wbd_spim_adr_o[23] ,
+    \wbd_spim_adr_o[22] ,
+    \wbd_spim_adr_o[21] ,
+    \wbd_spim_adr_o[20] ,
+    \wbd_spim_adr_o[19] ,
+    \wbd_spim_adr_o[18] ,
+    \wbd_spim_adr_o[17] ,
+    \wbd_spim_adr_o[16] ,
+    \wbd_spim_adr_o[15] ,
+    \wbd_spim_adr_o[14] ,
+    \wbd_spim_adr_o[13] ,
+    \wbd_spim_adr_o[12] ,
+    \wbd_spim_adr_o[11] ,
+    \wbd_spim_adr_o[10] ,
+    \wbd_spim_adr_o[9] ,
+    \wbd_spim_adr_o[8] ,
+    \wbd_spim_adr_o[7] ,
+    \wbd_spim_adr_o[6] ,
+    \wbd_spim_adr_o[5] ,
+    \wbd_spim_adr_o[4] ,
+    \wbd_spim_adr_o[3] ,
+    \wbd_spim_adr_o[2] ,
+    \wbd_spim_adr_o[1] ,
+    \wbd_spim_adr_o[0] }),
+    .s0_wbd_dat_i({\wbd_spim_dat_i[31] ,
+    \wbd_spim_dat_i[30] ,
+    \wbd_spim_dat_i[29] ,
+    \wbd_spim_dat_i[28] ,
+    \wbd_spim_dat_i[27] ,
+    \wbd_spim_dat_i[26] ,
+    \wbd_spim_dat_i[25] ,
+    \wbd_spim_dat_i[24] ,
+    \wbd_spim_dat_i[23] ,
+    \wbd_spim_dat_i[22] ,
+    \wbd_spim_dat_i[21] ,
+    \wbd_spim_dat_i[20] ,
+    \wbd_spim_dat_i[19] ,
+    \wbd_spim_dat_i[18] ,
+    \wbd_spim_dat_i[17] ,
+    \wbd_spim_dat_i[16] ,
+    \wbd_spim_dat_i[15] ,
+    \wbd_spim_dat_i[14] ,
+    \wbd_spim_dat_i[13] ,
+    \wbd_spim_dat_i[12] ,
+    \wbd_spim_dat_i[11] ,
+    \wbd_spim_dat_i[10] ,
+    \wbd_spim_dat_i[9] ,
+    \wbd_spim_dat_i[8] ,
+    \wbd_spim_dat_i[7] ,
+    \wbd_spim_dat_i[6] ,
+    \wbd_spim_dat_i[5] ,
+    \wbd_spim_dat_i[4] ,
+    \wbd_spim_dat_i[3] ,
+    \wbd_spim_dat_i[2] ,
+    \wbd_spim_dat_i[1] ,
+    \wbd_spim_dat_i[0] }),
+    .s0_wbd_dat_o({\wbd_spim_dat_o[31] ,
+    \wbd_spim_dat_o[30] ,
+    \wbd_spim_dat_o[29] ,
+    \wbd_spim_dat_o[28] ,
+    \wbd_spim_dat_o[27] ,
+    \wbd_spim_dat_o[26] ,
+    \wbd_spim_dat_o[25] ,
+    \wbd_spim_dat_o[24] ,
+    \wbd_spim_dat_o[23] ,
+    \wbd_spim_dat_o[22] ,
+    \wbd_spim_dat_o[21] ,
+    \wbd_spim_dat_o[20] ,
+    \wbd_spim_dat_o[19] ,
+    \wbd_spim_dat_o[18] ,
+    \wbd_spim_dat_o[17] ,
+    \wbd_spim_dat_o[16] ,
+    \wbd_spim_dat_o[15] ,
+    \wbd_spim_dat_o[14] ,
+    \wbd_spim_dat_o[13] ,
+    \wbd_spim_dat_o[12] ,
+    \wbd_spim_dat_o[11] ,
+    \wbd_spim_dat_o[10] ,
+    \wbd_spim_dat_o[9] ,
+    \wbd_spim_dat_o[8] ,
+    \wbd_spim_dat_o[7] ,
+    \wbd_spim_dat_o[6] ,
+    \wbd_spim_dat_o[5] ,
+    \wbd_spim_dat_o[4] ,
+    \wbd_spim_dat_o[3] ,
+    \wbd_spim_dat_o[2] ,
+    \wbd_spim_dat_o[1] ,
+    \wbd_spim_dat_o[0] }),
+    .s0_wbd_sel_o({\wbd_spim_sel_o[3] ,
+    \wbd_spim_sel_o[2] ,
+    \wbd_spim_sel_o[1] ,
+    \wbd_spim_sel_o[0] }),
+    .s1_wbd_adr_o({\wbd_sdram_adr_o[31] ,
+    \wbd_sdram_adr_o[30] ,
+    \wbd_sdram_adr_o[29] ,
+    \wbd_sdram_adr_o[28] ,
+    \wbd_sdram_adr_o[27] ,
+    \wbd_sdram_adr_o[26] ,
+    \wbd_sdram_adr_o[25] ,
+    \wbd_sdram_adr_o[24] ,
+    \wbd_sdram_adr_o[23] ,
+    \wbd_sdram_adr_o[22] ,
+    \wbd_sdram_adr_o[21] ,
+    \wbd_sdram_adr_o[20] ,
+    \wbd_sdram_adr_o[19] ,
+    \wbd_sdram_adr_o[18] ,
+    \wbd_sdram_adr_o[17] ,
+    \wbd_sdram_adr_o[16] ,
+    \wbd_sdram_adr_o[15] ,
+    \wbd_sdram_adr_o[14] ,
+    \wbd_sdram_adr_o[13] ,
+    \wbd_sdram_adr_o[12] ,
+    \wbd_sdram_adr_o[11] ,
+    \wbd_sdram_adr_o[10] ,
+    \wbd_sdram_adr_o[9] ,
+    \wbd_sdram_adr_o[8] ,
+    \wbd_sdram_adr_o[7] ,
+    \wbd_sdram_adr_o[6] ,
+    \wbd_sdram_adr_o[5] ,
+    \wbd_sdram_adr_o[4] ,
+    \wbd_sdram_adr_o[3] ,
+    \wbd_sdram_adr_o[2] ,
+    \wbd_sdram_adr_o[1] ,
+    \wbd_sdram_adr_o[0] }),
+    .s1_wbd_dat_i({\wbd_sdram_dat_i[31] ,
+    \wbd_sdram_dat_i[30] ,
+    \wbd_sdram_dat_i[29] ,
+    \wbd_sdram_dat_i[28] ,
+    \wbd_sdram_dat_i[27] ,
+    \wbd_sdram_dat_i[26] ,
+    \wbd_sdram_dat_i[25] ,
+    \wbd_sdram_dat_i[24] ,
+    \wbd_sdram_dat_i[23] ,
+    \wbd_sdram_dat_i[22] ,
+    \wbd_sdram_dat_i[21] ,
+    \wbd_sdram_dat_i[20] ,
+    \wbd_sdram_dat_i[19] ,
+    \wbd_sdram_dat_i[18] ,
+    \wbd_sdram_dat_i[17] ,
+    \wbd_sdram_dat_i[16] ,
+    \wbd_sdram_dat_i[15] ,
+    \wbd_sdram_dat_i[14] ,
+    \wbd_sdram_dat_i[13] ,
+    \wbd_sdram_dat_i[12] ,
+    \wbd_sdram_dat_i[11] ,
+    \wbd_sdram_dat_i[10] ,
+    \wbd_sdram_dat_i[9] ,
+    \wbd_sdram_dat_i[8] ,
+    \wbd_sdram_dat_i[7] ,
+    \wbd_sdram_dat_i[6] ,
+    \wbd_sdram_dat_i[5] ,
+    \wbd_sdram_dat_i[4] ,
+    \wbd_sdram_dat_i[3] ,
+    \wbd_sdram_dat_i[2] ,
+    \wbd_sdram_dat_i[1] ,
+    \wbd_sdram_dat_i[0] }),
+    .s1_wbd_dat_o({\wbd_sdram_dat_o[31] ,
+    \wbd_sdram_dat_o[30] ,
+    \wbd_sdram_dat_o[29] ,
+    \wbd_sdram_dat_o[28] ,
+    \wbd_sdram_dat_o[27] ,
+    \wbd_sdram_dat_o[26] ,
+    \wbd_sdram_dat_o[25] ,
+    \wbd_sdram_dat_o[24] ,
+    \wbd_sdram_dat_o[23] ,
+    \wbd_sdram_dat_o[22] ,
+    \wbd_sdram_dat_o[21] ,
+    \wbd_sdram_dat_o[20] ,
+    \wbd_sdram_dat_o[19] ,
+    \wbd_sdram_dat_o[18] ,
+    \wbd_sdram_dat_o[17] ,
+    \wbd_sdram_dat_o[16] ,
+    \wbd_sdram_dat_o[15] ,
+    \wbd_sdram_dat_o[14] ,
+    \wbd_sdram_dat_o[13] ,
+    \wbd_sdram_dat_o[12] ,
+    \wbd_sdram_dat_o[11] ,
+    \wbd_sdram_dat_o[10] ,
+    \wbd_sdram_dat_o[9] ,
+    \wbd_sdram_dat_o[8] ,
+    \wbd_sdram_dat_o[7] ,
+    \wbd_sdram_dat_o[6] ,
+    \wbd_sdram_dat_o[5] ,
+    \wbd_sdram_dat_o[4] ,
+    \wbd_sdram_dat_o[3] ,
+    \wbd_sdram_dat_o[2] ,
+    \wbd_sdram_dat_o[1] ,
+    \wbd_sdram_dat_o[0] }),
+    .s1_wbd_sel_o({\wbd_sdram_sel_o[3] ,
+    \wbd_sdram_sel_o[2] ,
+    \wbd_sdram_sel_o[1] ,
+    \wbd_sdram_sel_o[0] }),
+    .s2_wbd_adr_o({\wbd_glbl_adr_o[7] ,
+    \wbd_glbl_adr_o[6] ,
+    \wbd_glbl_adr_o[5] ,
+    \wbd_glbl_adr_o[4] ,
+    \wbd_glbl_adr_o[3] ,
+    \wbd_glbl_adr_o[2] ,
+    \wbd_glbl_adr_o[1] ,
+    \wbd_glbl_adr_o[0] }),
+    .s2_wbd_dat_i({\wbd_glbl_dat_i[31] ,
+    \wbd_glbl_dat_i[30] ,
+    \wbd_glbl_dat_i[29] ,
+    \wbd_glbl_dat_i[28] ,
+    \wbd_glbl_dat_i[27] ,
+    \wbd_glbl_dat_i[26] ,
+    \wbd_glbl_dat_i[25] ,
+    \wbd_glbl_dat_i[24] ,
+    \wbd_glbl_dat_i[23] ,
+    \wbd_glbl_dat_i[22] ,
+    \wbd_glbl_dat_i[21] ,
+    \wbd_glbl_dat_i[20] ,
+    \wbd_glbl_dat_i[19] ,
+    \wbd_glbl_dat_i[18] ,
+    \wbd_glbl_dat_i[17] ,
+    \wbd_glbl_dat_i[16] ,
+    \wbd_glbl_dat_i[15] ,
+    \wbd_glbl_dat_i[14] ,
+    \wbd_glbl_dat_i[13] ,
+    \wbd_glbl_dat_i[12] ,
+    \wbd_glbl_dat_i[11] ,
+    \wbd_glbl_dat_i[10] ,
+    \wbd_glbl_dat_i[9] ,
+    \wbd_glbl_dat_i[8] ,
+    \wbd_glbl_dat_i[7] ,
+    \wbd_glbl_dat_i[6] ,
+    \wbd_glbl_dat_i[5] ,
+    \wbd_glbl_dat_i[4] ,
+    \wbd_glbl_dat_i[3] ,
+    \wbd_glbl_dat_i[2] ,
+    \wbd_glbl_dat_i[1] ,
+    \wbd_glbl_dat_i[0] }),
+    .s2_wbd_dat_o({\wbd_glbl_dat_o[31] ,
+    \wbd_glbl_dat_o[30] ,
+    \wbd_glbl_dat_o[29] ,
+    \wbd_glbl_dat_o[28] ,
+    \wbd_glbl_dat_o[27] ,
+    \wbd_glbl_dat_o[26] ,
+    \wbd_glbl_dat_o[25] ,
+    \wbd_glbl_dat_o[24] ,
+    \wbd_glbl_dat_o[23] ,
+    \wbd_glbl_dat_o[22] ,
+    \wbd_glbl_dat_o[21] ,
+    \wbd_glbl_dat_o[20] ,
+    \wbd_glbl_dat_o[19] ,
+    \wbd_glbl_dat_o[18] ,
+    \wbd_glbl_dat_o[17] ,
+    \wbd_glbl_dat_o[16] ,
+    \wbd_glbl_dat_o[15] ,
+    \wbd_glbl_dat_o[14] ,
+    \wbd_glbl_dat_o[13] ,
+    \wbd_glbl_dat_o[12] ,
+    \wbd_glbl_dat_o[11] ,
+    \wbd_glbl_dat_o[10] ,
+    \wbd_glbl_dat_o[9] ,
+    \wbd_glbl_dat_o[8] ,
+    \wbd_glbl_dat_o[7] ,
+    \wbd_glbl_dat_o[6] ,
+    \wbd_glbl_dat_o[5] ,
+    \wbd_glbl_dat_o[4] ,
+    \wbd_glbl_dat_o[3] ,
+    \wbd_glbl_dat_o[2] ,
+    \wbd_glbl_dat_o[1] ,
+    \wbd_glbl_dat_o[0] }),
+    .s2_wbd_sel_o({\wbd_glbl_sel_o[3] ,
+    \wbd_glbl_sel_o[2] ,
+    \wbd_glbl_sel_o[1] ,
+    \wbd_glbl_sel_o[0] }),
+    .s3_wbd_adr_o({\wbd_uart_adr_o[7] ,
+    \wbd_uart_adr_o[6] ,
+    \wbd_uart_adr_o[5] ,
+    \wbd_uart_adr_o[4] ,
+    \wbd_uart_adr_o[3] ,
+    \wbd_uart_adr_o[2] ,
+    \wbd_uart_adr_o[1] ,
+    \wbd_uart_adr_o[0] }),
+    .s3_wbd_dat_i({\wbd_uart_dat_i[7] ,
+    \wbd_uart_dat_i[6] ,
+    \wbd_uart_dat_i[5] ,
+    \wbd_uart_dat_i[4] ,
+    \wbd_uart_dat_i[3] ,
+    \wbd_uart_dat_i[2] ,
+    \wbd_uart_dat_i[1] ,
+    \wbd_uart_dat_i[0] }),
+    .s3_wbd_dat_o({\wbd_uart_dat_o[7] ,
+    \wbd_uart_dat_o[6] ,
+    \wbd_uart_dat_o[5] ,
+    \wbd_uart_dat_o[4] ,
+    \wbd_uart_dat_o[3] ,
+    \wbd_uart_dat_o[2] ,
+    \wbd_uart_dat_o[1] ,
+    \wbd_uart_dat_o[0] }));
+ scr1_top_wb u_riscv_top (.core_clk(cpu_clk),
+    .cpu_rst_n(\cfg_glb_ctrl[1] ),
+    .pwrup_rst_n(\cfg_glb_ctrl[0] ),
+    .rst_n(\cfg_glb_ctrl[0] ),
+    .rtc_clk(rtc_clk),
+    .soft_irq(soft_irq),
+    .wb_clk(wbd_clk_riscv),
+    .wb_rst_n(\cfg_glb_ctrl[0] ),
+    .wbd_dmem_ack_i(wbd_riscv_dmem_ack_o),
+    .wbd_dmem_err_i(wbd_riscv_dmem_err_o),
+    .wbd_dmem_stb_o(wbd_riscv_dmem_stb_i),
+    .wbd_dmem_we_o(wbd_riscv_dmem_we_i),
+    .wbd_imem_ack_i(wbd_riscv_imem_ack_o),
+    .wbd_imem_err_i(wbd_riscv_imem_err_o),
+    .wbd_imem_stb_o(wbd_riscv_imem_stb_i),
+    .wbd_imem_we_o(wbd_riscv_imem_we_i),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .fuse_mhartid({\u_core.fuse_mhartid[31] ,
-    \u_core.fuse_mhartid[30] ,
-    \u_core.fuse_mhartid[29] ,
-    \u_core.fuse_mhartid[28] ,
-    \u_core.fuse_mhartid[27] ,
-    \u_core.fuse_mhartid[26] ,
-    \u_core.fuse_mhartid[25] ,
-    \u_core.fuse_mhartid[24] ,
-    \u_core.fuse_mhartid[23] ,
-    \u_core.fuse_mhartid[22] ,
-    \u_core.fuse_mhartid[21] ,
-    \u_core.fuse_mhartid[20] ,
-    \u_core.fuse_mhartid[19] ,
-    \u_core.fuse_mhartid[18] ,
-    \u_core.fuse_mhartid[17] ,
-    \u_core.fuse_mhartid[16] ,
-    \u_core.fuse_mhartid[15] ,
-    \u_core.fuse_mhartid[14] ,
-    \u_core.fuse_mhartid[13] ,
-    \u_core.fuse_mhartid[12] ,
-    \u_core.fuse_mhartid[11] ,
-    \u_core.fuse_mhartid[10] ,
-    \u_core.fuse_mhartid[9] ,
-    \u_core.fuse_mhartid[8] ,
-    \u_core.fuse_mhartid[7] ,
-    \u_core.fuse_mhartid[6] ,
-    \u_core.fuse_mhartid[5] ,
-    \u_core.fuse_mhartid[4] ,
-    \u_core.fuse_mhartid[3] ,
-    \u_core.fuse_mhartid[2] ,
-    \u_core.fuse_mhartid[1] ,
-    \u_core.fuse_mhartid[0] }),
-    .irq_lines({\u_core.irq_lines[15] ,
-    \u_core.irq_lines[14] ,
-    \u_core.irq_lines[13] ,
-    \u_core.irq_lines[12] ,
-    \u_core.irq_lines[11] ,
-    \u_core.irq_lines[10] ,
-    \u_core.irq_lines[9] ,
-    \u_core.irq_lines[8] ,
-    \u_core.irq_lines[7] ,
-    \u_core.irq_lines[6] ,
-    \u_core.irq_lines[5] ,
-    \u_core.irq_lines[4] ,
-    \u_core.irq_lines[3] ,
-    \u_core.irq_lines[2] ,
-    \u_core.irq_lines[1] ,
-    \u_core.irq_lines[0] }),
-    .wbd_dmem_adr_o({\u_core.wbd_riscv_dmem_adr_i[31] ,
-    \u_core.wbd_riscv_dmem_adr_i[30] ,
-    \u_core.wbd_riscv_dmem_adr_i[29] ,
-    \u_core.wbd_riscv_dmem_adr_i[28] ,
-    \u_core.wbd_riscv_dmem_adr_i[27] ,
-    \u_core.wbd_riscv_dmem_adr_i[26] ,
-    \u_core.wbd_riscv_dmem_adr_i[25] ,
-    \u_core.wbd_riscv_dmem_adr_i[24] ,
-    \u_core.wbd_riscv_dmem_adr_i[23] ,
-    \u_core.wbd_riscv_dmem_adr_i[22] ,
-    \u_core.wbd_riscv_dmem_adr_i[21] ,
-    \u_core.wbd_riscv_dmem_adr_i[20] ,
-    \u_core.wbd_riscv_dmem_adr_i[19] ,
-    \u_core.wbd_riscv_dmem_adr_i[18] ,
-    \u_core.wbd_riscv_dmem_adr_i[17] ,
-    \u_core.wbd_riscv_dmem_adr_i[16] ,
-    \u_core.wbd_riscv_dmem_adr_i[15] ,
-    \u_core.wbd_riscv_dmem_adr_i[14] ,
-    \u_core.wbd_riscv_dmem_adr_i[13] ,
-    \u_core.wbd_riscv_dmem_adr_i[12] ,
-    \u_core.wbd_riscv_dmem_adr_i[11] ,
-    \u_core.wbd_riscv_dmem_adr_i[10] ,
-    \u_core.wbd_riscv_dmem_adr_i[9] ,
-    \u_core.wbd_riscv_dmem_adr_i[8] ,
-    \u_core.wbd_riscv_dmem_adr_i[7] ,
-    \u_core.wbd_riscv_dmem_adr_i[6] ,
-    \u_core.wbd_riscv_dmem_adr_i[5] ,
-    \u_core.wbd_riscv_dmem_adr_i[4] ,
-    \u_core.wbd_riscv_dmem_adr_i[3] ,
-    \u_core.wbd_riscv_dmem_adr_i[2] ,
-    \u_core.wbd_riscv_dmem_adr_i[1] ,
-    \u_core.wbd_riscv_dmem_adr_i[0] }),
-    .wbd_dmem_dat_i({\u_core.wbd_riscv_dmem_dat_o[31] ,
-    \u_core.wbd_riscv_dmem_dat_o[30] ,
-    \u_core.wbd_riscv_dmem_dat_o[29] ,
-    \u_core.wbd_riscv_dmem_dat_o[28] ,
-    \u_core.wbd_riscv_dmem_dat_o[27] ,
-    \u_core.wbd_riscv_dmem_dat_o[26] ,
-    \u_core.wbd_riscv_dmem_dat_o[25] ,
-    \u_core.wbd_riscv_dmem_dat_o[24] ,
-    \u_core.wbd_riscv_dmem_dat_o[23] ,
-    \u_core.wbd_riscv_dmem_dat_o[22] ,
-    \u_core.wbd_riscv_dmem_dat_o[21] ,
-    \u_core.wbd_riscv_dmem_dat_o[20] ,
-    \u_core.wbd_riscv_dmem_dat_o[19] ,
-    \u_core.wbd_riscv_dmem_dat_o[18] ,
-    \u_core.wbd_riscv_dmem_dat_o[17] ,
-    \u_core.wbd_riscv_dmem_dat_o[16] ,
-    \u_core.wbd_riscv_dmem_dat_o[15] ,
-    \u_core.wbd_riscv_dmem_dat_o[14] ,
-    \u_core.wbd_riscv_dmem_dat_o[13] ,
-    \u_core.wbd_riscv_dmem_dat_o[12] ,
-    \u_core.wbd_riscv_dmem_dat_o[11] ,
-    \u_core.wbd_riscv_dmem_dat_o[10] ,
-    \u_core.wbd_riscv_dmem_dat_o[9] ,
-    \u_core.wbd_riscv_dmem_dat_o[8] ,
-    \u_core.wbd_riscv_dmem_dat_o[7] ,
-    \u_core.wbd_riscv_dmem_dat_o[6] ,
-    \u_core.wbd_riscv_dmem_dat_o[5] ,
-    \u_core.wbd_riscv_dmem_dat_o[4] ,
-    \u_core.wbd_riscv_dmem_dat_o[3] ,
-    \u_core.wbd_riscv_dmem_dat_o[2] ,
-    \u_core.wbd_riscv_dmem_dat_o[1] ,
-    \u_core.wbd_riscv_dmem_dat_o[0] }),
-    .wbd_dmem_dat_o({\u_core.wbd_riscv_dmem_dat_i[31] ,
-    \u_core.wbd_riscv_dmem_dat_i[30] ,
-    \u_core.wbd_riscv_dmem_dat_i[29] ,
-    \u_core.wbd_riscv_dmem_dat_i[28] ,
-    \u_core.wbd_riscv_dmem_dat_i[27] ,
-    \u_core.wbd_riscv_dmem_dat_i[26] ,
-    \u_core.wbd_riscv_dmem_dat_i[25] ,
-    \u_core.wbd_riscv_dmem_dat_i[24] ,
-    \u_core.wbd_riscv_dmem_dat_i[23] ,
-    \u_core.wbd_riscv_dmem_dat_i[22] ,
-    \u_core.wbd_riscv_dmem_dat_i[21] ,
-    \u_core.wbd_riscv_dmem_dat_i[20] ,
-    \u_core.wbd_riscv_dmem_dat_i[19] ,
-    \u_core.wbd_riscv_dmem_dat_i[18] ,
-    \u_core.wbd_riscv_dmem_dat_i[17] ,
-    \u_core.wbd_riscv_dmem_dat_i[16] ,
-    \u_core.wbd_riscv_dmem_dat_i[15] ,
-    \u_core.wbd_riscv_dmem_dat_i[14] ,
-    \u_core.wbd_riscv_dmem_dat_i[13] ,
-    \u_core.wbd_riscv_dmem_dat_i[12] ,
-    \u_core.wbd_riscv_dmem_dat_i[11] ,
-    \u_core.wbd_riscv_dmem_dat_i[10] ,
-    \u_core.wbd_riscv_dmem_dat_i[9] ,
-    \u_core.wbd_riscv_dmem_dat_i[8] ,
-    \u_core.wbd_riscv_dmem_dat_i[7] ,
-    \u_core.wbd_riscv_dmem_dat_i[6] ,
-    \u_core.wbd_riscv_dmem_dat_i[5] ,
-    \u_core.wbd_riscv_dmem_dat_i[4] ,
-    \u_core.wbd_riscv_dmem_dat_i[3] ,
-    \u_core.wbd_riscv_dmem_dat_i[2] ,
-    \u_core.wbd_riscv_dmem_dat_i[1] ,
-    \u_core.wbd_riscv_dmem_dat_i[0] }),
-    .wbd_dmem_sel_o({\u_core.wbd_riscv_dmem_sel_i[3] ,
-    \u_core.wbd_riscv_dmem_sel_i[2] ,
-    \u_core.wbd_riscv_dmem_sel_i[1] ,
-    \u_core.wbd_riscv_dmem_sel_i[0] }),
-    .wbd_imem_adr_o({\u_core.wbd_riscv_imem_adr_i[31] ,
-    \u_core.wbd_riscv_imem_adr_i[30] ,
-    \u_core.wbd_riscv_imem_adr_i[29] ,
-    \u_core.wbd_riscv_imem_adr_i[28] ,
-    \u_core.wbd_riscv_imem_adr_i[27] ,
-    \u_core.wbd_riscv_imem_adr_i[26] ,
-    \u_core.wbd_riscv_imem_adr_i[25] ,
-    \u_core.wbd_riscv_imem_adr_i[24] ,
-    \u_core.wbd_riscv_imem_adr_i[23] ,
-    \u_core.wbd_riscv_imem_adr_i[22] ,
-    \u_core.wbd_riscv_imem_adr_i[21] ,
-    \u_core.wbd_riscv_imem_adr_i[20] ,
-    \u_core.wbd_riscv_imem_adr_i[19] ,
-    \u_core.wbd_riscv_imem_adr_i[18] ,
-    \u_core.wbd_riscv_imem_adr_i[17] ,
-    \u_core.wbd_riscv_imem_adr_i[16] ,
-    \u_core.wbd_riscv_imem_adr_i[15] ,
-    \u_core.wbd_riscv_imem_adr_i[14] ,
-    \u_core.wbd_riscv_imem_adr_i[13] ,
-    \u_core.wbd_riscv_imem_adr_i[12] ,
-    \u_core.wbd_riscv_imem_adr_i[11] ,
-    \u_core.wbd_riscv_imem_adr_i[10] ,
-    \u_core.wbd_riscv_imem_adr_i[9] ,
-    \u_core.wbd_riscv_imem_adr_i[8] ,
-    \u_core.wbd_riscv_imem_adr_i[7] ,
-    \u_core.wbd_riscv_imem_adr_i[6] ,
-    \u_core.wbd_riscv_imem_adr_i[5] ,
-    \u_core.wbd_riscv_imem_adr_i[4] ,
-    \u_core.wbd_riscv_imem_adr_i[3] ,
-    \u_core.wbd_riscv_imem_adr_i[2] ,
-    \u_core.wbd_riscv_imem_adr_i[1] ,
-    \u_core.wbd_riscv_imem_adr_i[0] }),
-    .wbd_imem_dat_i({\u_core.wbd_riscv_imem_dat_o[31] ,
-    \u_core.wbd_riscv_imem_dat_o[30] ,
-    \u_core.wbd_riscv_imem_dat_o[29] ,
-    \u_core.wbd_riscv_imem_dat_o[28] ,
-    \u_core.wbd_riscv_imem_dat_o[27] ,
-    \u_core.wbd_riscv_imem_dat_o[26] ,
-    \u_core.wbd_riscv_imem_dat_o[25] ,
-    \u_core.wbd_riscv_imem_dat_o[24] ,
-    \u_core.wbd_riscv_imem_dat_o[23] ,
-    \u_core.wbd_riscv_imem_dat_o[22] ,
-    \u_core.wbd_riscv_imem_dat_o[21] ,
-    \u_core.wbd_riscv_imem_dat_o[20] ,
-    \u_core.wbd_riscv_imem_dat_o[19] ,
-    \u_core.wbd_riscv_imem_dat_o[18] ,
-    \u_core.wbd_riscv_imem_dat_o[17] ,
-    \u_core.wbd_riscv_imem_dat_o[16] ,
-    \u_core.wbd_riscv_imem_dat_o[15] ,
-    \u_core.wbd_riscv_imem_dat_o[14] ,
-    \u_core.wbd_riscv_imem_dat_o[13] ,
-    \u_core.wbd_riscv_imem_dat_o[12] ,
-    \u_core.wbd_riscv_imem_dat_o[11] ,
-    \u_core.wbd_riscv_imem_dat_o[10] ,
-    \u_core.wbd_riscv_imem_dat_o[9] ,
-    \u_core.wbd_riscv_imem_dat_o[8] ,
-    \u_core.wbd_riscv_imem_dat_o[7] ,
-    \u_core.wbd_riscv_imem_dat_o[6] ,
-    \u_core.wbd_riscv_imem_dat_o[5] ,
-    \u_core.wbd_riscv_imem_dat_o[4] ,
-    \u_core.wbd_riscv_imem_dat_o[3] ,
-    \u_core.wbd_riscv_imem_dat_o[2] ,
-    \u_core.wbd_riscv_imem_dat_o[1] ,
-    \u_core.wbd_riscv_imem_dat_o[0] }),
-    .wbd_imem_dat_o({\u_core.wbd_riscv_imem_dat_i[31] ,
-    \u_core.wbd_riscv_imem_dat_i[30] ,
-    \u_core.wbd_riscv_imem_dat_i[29] ,
-    \u_core.wbd_riscv_imem_dat_i[28] ,
-    \u_core.wbd_riscv_imem_dat_i[27] ,
-    \u_core.wbd_riscv_imem_dat_i[26] ,
-    \u_core.wbd_riscv_imem_dat_i[25] ,
-    \u_core.wbd_riscv_imem_dat_i[24] ,
-    \u_core.wbd_riscv_imem_dat_i[23] ,
-    \u_core.wbd_riscv_imem_dat_i[22] ,
-    \u_core.wbd_riscv_imem_dat_i[21] ,
-    \u_core.wbd_riscv_imem_dat_i[20] ,
-    \u_core.wbd_riscv_imem_dat_i[19] ,
-    \u_core.wbd_riscv_imem_dat_i[18] ,
-    \u_core.wbd_riscv_imem_dat_i[17] ,
-    \u_core.wbd_riscv_imem_dat_i[16] ,
-    \u_core.wbd_riscv_imem_dat_i[15] ,
-    \u_core.wbd_riscv_imem_dat_i[14] ,
-    \u_core.wbd_riscv_imem_dat_i[13] ,
-    \u_core.wbd_riscv_imem_dat_i[12] ,
-    \u_core.wbd_riscv_imem_dat_i[11] ,
-    \u_core.wbd_riscv_imem_dat_i[10] ,
-    \u_core.wbd_riscv_imem_dat_i[9] ,
-    \u_core.wbd_riscv_imem_dat_i[8] ,
-    \u_core.wbd_riscv_imem_dat_i[7] ,
-    \u_core.wbd_riscv_imem_dat_i[6] ,
-    \u_core.wbd_riscv_imem_dat_i[5] ,
-    \u_core.wbd_riscv_imem_dat_i[4] ,
-    \u_core.wbd_riscv_imem_dat_i[3] ,
-    \u_core.wbd_riscv_imem_dat_i[2] ,
-    \u_core.wbd_riscv_imem_dat_i[1] ,
-    \u_core.wbd_riscv_imem_dat_i[0] }),
-    .wbd_imem_sel_o({\u_core.wbd_riscv_imem_sel_i[3] ,
-    \u_core.wbd_riscv_imem_sel_i[2] ,
-    \u_core.wbd_riscv_imem_sel_i[1] ,
-    \u_core.wbd_riscv_imem_sel_i[0] }));
- sdrc_top \u_core.u_sdram_ctrl  (.cfg_sdr_en(\u_core.cfg_sdr_en ),
-    .sdr_init_done(\u_core.sdr_init_done ),
-    .sdram_clk(\u_core.sdram_clk ),
-    .sdram_resetn(\u_core.cfg_glb_ctrl[3] ),
-    .wb_ack_o(\u_core.wbd_sdram_ack_i ),
-    .wb_clk_i(\u_core.wbd_clk_sdram ),
-    .wb_cyc_i(\u_core.wbd_sdram_cyc_o ),
-    .wb_rst_n(\u_core.cfg_glb_ctrl[0] ),
-    .wb_stb_i(\u_core.wbd_sdram_stb_o ),
-    .wb_we_i(\u_core.wbd_sdram_we_o ),
+    .fuse_mhartid({\fuse_mhartid[31] ,
+    \fuse_mhartid[30] ,
+    \fuse_mhartid[29] ,
+    \fuse_mhartid[28] ,
+    \fuse_mhartid[27] ,
+    \fuse_mhartid[26] ,
+    \fuse_mhartid[25] ,
+    \fuse_mhartid[24] ,
+    \fuse_mhartid[23] ,
+    \fuse_mhartid[22] ,
+    \fuse_mhartid[21] ,
+    \fuse_mhartid[20] ,
+    \fuse_mhartid[19] ,
+    \fuse_mhartid[18] ,
+    \fuse_mhartid[17] ,
+    \fuse_mhartid[16] ,
+    \fuse_mhartid[15] ,
+    \fuse_mhartid[14] ,
+    \fuse_mhartid[13] ,
+    \fuse_mhartid[12] ,
+    \fuse_mhartid[11] ,
+    \fuse_mhartid[10] ,
+    \fuse_mhartid[9] ,
+    \fuse_mhartid[8] ,
+    \fuse_mhartid[7] ,
+    \fuse_mhartid[6] ,
+    \fuse_mhartid[5] ,
+    \fuse_mhartid[4] ,
+    \fuse_mhartid[3] ,
+    \fuse_mhartid[2] ,
+    \fuse_mhartid[1] ,
+    \fuse_mhartid[0] }),
+    .irq_lines({\irq_lines[15] ,
+    \irq_lines[14] ,
+    \irq_lines[13] ,
+    \irq_lines[12] ,
+    \irq_lines[11] ,
+    \irq_lines[10] ,
+    \irq_lines[9] ,
+    \irq_lines[8] ,
+    \irq_lines[7] ,
+    \irq_lines[6] ,
+    \irq_lines[5] ,
+    \irq_lines[4] ,
+    \irq_lines[3] ,
+    \irq_lines[2] ,
+    \irq_lines[1] ,
+    \irq_lines[0] }),
+    .wbd_dmem_adr_o({\wbd_riscv_dmem_adr_i[31] ,
+    \wbd_riscv_dmem_adr_i[30] ,
+    \wbd_riscv_dmem_adr_i[29] ,
+    \wbd_riscv_dmem_adr_i[28] ,
+    \wbd_riscv_dmem_adr_i[27] ,
+    \wbd_riscv_dmem_adr_i[26] ,
+    \wbd_riscv_dmem_adr_i[25] ,
+    \wbd_riscv_dmem_adr_i[24] ,
+    \wbd_riscv_dmem_adr_i[23] ,
+    \wbd_riscv_dmem_adr_i[22] ,
+    \wbd_riscv_dmem_adr_i[21] ,
+    \wbd_riscv_dmem_adr_i[20] ,
+    \wbd_riscv_dmem_adr_i[19] ,
+    \wbd_riscv_dmem_adr_i[18] ,
+    \wbd_riscv_dmem_adr_i[17] ,
+    \wbd_riscv_dmem_adr_i[16] ,
+    \wbd_riscv_dmem_adr_i[15] ,
+    \wbd_riscv_dmem_adr_i[14] ,
+    \wbd_riscv_dmem_adr_i[13] ,
+    \wbd_riscv_dmem_adr_i[12] ,
+    \wbd_riscv_dmem_adr_i[11] ,
+    \wbd_riscv_dmem_adr_i[10] ,
+    \wbd_riscv_dmem_adr_i[9] ,
+    \wbd_riscv_dmem_adr_i[8] ,
+    \wbd_riscv_dmem_adr_i[7] ,
+    \wbd_riscv_dmem_adr_i[6] ,
+    \wbd_riscv_dmem_adr_i[5] ,
+    \wbd_riscv_dmem_adr_i[4] ,
+    \wbd_riscv_dmem_adr_i[3] ,
+    \wbd_riscv_dmem_adr_i[2] ,
+    \wbd_riscv_dmem_adr_i[1] ,
+    \wbd_riscv_dmem_adr_i[0] }),
+    .wbd_dmem_dat_i({\wbd_riscv_dmem_dat_o[31] ,
+    \wbd_riscv_dmem_dat_o[30] ,
+    \wbd_riscv_dmem_dat_o[29] ,
+    \wbd_riscv_dmem_dat_o[28] ,
+    \wbd_riscv_dmem_dat_o[27] ,
+    \wbd_riscv_dmem_dat_o[26] ,
+    \wbd_riscv_dmem_dat_o[25] ,
+    \wbd_riscv_dmem_dat_o[24] ,
+    \wbd_riscv_dmem_dat_o[23] ,
+    \wbd_riscv_dmem_dat_o[22] ,
+    \wbd_riscv_dmem_dat_o[21] ,
+    \wbd_riscv_dmem_dat_o[20] ,
+    \wbd_riscv_dmem_dat_o[19] ,
+    \wbd_riscv_dmem_dat_o[18] ,
+    \wbd_riscv_dmem_dat_o[17] ,
+    \wbd_riscv_dmem_dat_o[16] ,
+    \wbd_riscv_dmem_dat_o[15] ,
+    \wbd_riscv_dmem_dat_o[14] ,
+    \wbd_riscv_dmem_dat_o[13] ,
+    \wbd_riscv_dmem_dat_o[12] ,
+    \wbd_riscv_dmem_dat_o[11] ,
+    \wbd_riscv_dmem_dat_o[10] ,
+    \wbd_riscv_dmem_dat_o[9] ,
+    \wbd_riscv_dmem_dat_o[8] ,
+    \wbd_riscv_dmem_dat_o[7] ,
+    \wbd_riscv_dmem_dat_o[6] ,
+    \wbd_riscv_dmem_dat_o[5] ,
+    \wbd_riscv_dmem_dat_o[4] ,
+    \wbd_riscv_dmem_dat_o[3] ,
+    \wbd_riscv_dmem_dat_o[2] ,
+    \wbd_riscv_dmem_dat_o[1] ,
+    \wbd_riscv_dmem_dat_o[0] }),
+    .wbd_dmem_dat_o({\wbd_riscv_dmem_dat_i[31] ,
+    \wbd_riscv_dmem_dat_i[30] ,
+    \wbd_riscv_dmem_dat_i[29] ,
+    \wbd_riscv_dmem_dat_i[28] ,
+    \wbd_riscv_dmem_dat_i[27] ,
+    \wbd_riscv_dmem_dat_i[26] ,
+    \wbd_riscv_dmem_dat_i[25] ,
+    \wbd_riscv_dmem_dat_i[24] ,
+    \wbd_riscv_dmem_dat_i[23] ,
+    \wbd_riscv_dmem_dat_i[22] ,
+    \wbd_riscv_dmem_dat_i[21] ,
+    \wbd_riscv_dmem_dat_i[20] ,
+    \wbd_riscv_dmem_dat_i[19] ,
+    \wbd_riscv_dmem_dat_i[18] ,
+    \wbd_riscv_dmem_dat_i[17] ,
+    \wbd_riscv_dmem_dat_i[16] ,
+    \wbd_riscv_dmem_dat_i[15] ,
+    \wbd_riscv_dmem_dat_i[14] ,
+    \wbd_riscv_dmem_dat_i[13] ,
+    \wbd_riscv_dmem_dat_i[12] ,
+    \wbd_riscv_dmem_dat_i[11] ,
+    \wbd_riscv_dmem_dat_i[10] ,
+    \wbd_riscv_dmem_dat_i[9] ,
+    \wbd_riscv_dmem_dat_i[8] ,
+    \wbd_riscv_dmem_dat_i[7] ,
+    \wbd_riscv_dmem_dat_i[6] ,
+    \wbd_riscv_dmem_dat_i[5] ,
+    \wbd_riscv_dmem_dat_i[4] ,
+    \wbd_riscv_dmem_dat_i[3] ,
+    \wbd_riscv_dmem_dat_i[2] ,
+    \wbd_riscv_dmem_dat_i[1] ,
+    \wbd_riscv_dmem_dat_i[0] }),
+    .wbd_dmem_sel_o({\wbd_riscv_dmem_sel_i[3] ,
+    \wbd_riscv_dmem_sel_i[2] ,
+    \wbd_riscv_dmem_sel_i[1] ,
+    \wbd_riscv_dmem_sel_i[0] }),
+    .wbd_imem_adr_o({\wbd_riscv_imem_adr_i[31] ,
+    \wbd_riscv_imem_adr_i[30] ,
+    \wbd_riscv_imem_adr_i[29] ,
+    \wbd_riscv_imem_adr_i[28] ,
+    \wbd_riscv_imem_adr_i[27] ,
+    \wbd_riscv_imem_adr_i[26] ,
+    \wbd_riscv_imem_adr_i[25] ,
+    \wbd_riscv_imem_adr_i[24] ,
+    \wbd_riscv_imem_adr_i[23] ,
+    \wbd_riscv_imem_adr_i[22] ,
+    \wbd_riscv_imem_adr_i[21] ,
+    \wbd_riscv_imem_adr_i[20] ,
+    \wbd_riscv_imem_adr_i[19] ,
+    \wbd_riscv_imem_adr_i[18] ,
+    \wbd_riscv_imem_adr_i[17] ,
+    \wbd_riscv_imem_adr_i[16] ,
+    \wbd_riscv_imem_adr_i[15] ,
+    \wbd_riscv_imem_adr_i[14] ,
+    \wbd_riscv_imem_adr_i[13] ,
+    \wbd_riscv_imem_adr_i[12] ,
+    \wbd_riscv_imem_adr_i[11] ,
+    \wbd_riscv_imem_adr_i[10] ,
+    \wbd_riscv_imem_adr_i[9] ,
+    \wbd_riscv_imem_adr_i[8] ,
+    \wbd_riscv_imem_adr_i[7] ,
+    \wbd_riscv_imem_adr_i[6] ,
+    \wbd_riscv_imem_adr_i[5] ,
+    \wbd_riscv_imem_adr_i[4] ,
+    \wbd_riscv_imem_adr_i[3] ,
+    \wbd_riscv_imem_adr_i[2] ,
+    \wbd_riscv_imem_adr_i[1] ,
+    \wbd_riscv_imem_adr_i[0] }),
+    .wbd_imem_dat_i({\wbd_riscv_imem_dat_o[31] ,
+    \wbd_riscv_imem_dat_o[30] ,
+    \wbd_riscv_imem_dat_o[29] ,
+    \wbd_riscv_imem_dat_o[28] ,
+    \wbd_riscv_imem_dat_o[27] ,
+    \wbd_riscv_imem_dat_o[26] ,
+    \wbd_riscv_imem_dat_o[25] ,
+    \wbd_riscv_imem_dat_o[24] ,
+    \wbd_riscv_imem_dat_o[23] ,
+    \wbd_riscv_imem_dat_o[22] ,
+    \wbd_riscv_imem_dat_o[21] ,
+    \wbd_riscv_imem_dat_o[20] ,
+    \wbd_riscv_imem_dat_o[19] ,
+    \wbd_riscv_imem_dat_o[18] ,
+    \wbd_riscv_imem_dat_o[17] ,
+    \wbd_riscv_imem_dat_o[16] ,
+    \wbd_riscv_imem_dat_o[15] ,
+    \wbd_riscv_imem_dat_o[14] ,
+    \wbd_riscv_imem_dat_o[13] ,
+    \wbd_riscv_imem_dat_o[12] ,
+    \wbd_riscv_imem_dat_o[11] ,
+    \wbd_riscv_imem_dat_o[10] ,
+    \wbd_riscv_imem_dat_o[9] ,
+    \wbd_riscv_imem_dat_o[8] ,
+    \wbd_riscv_imem_dat_o[7] ,
+    \wbd_riscv_imem_dat_o[6] ,
+    \wbd_riscv_imem_dat_o[5] ,
+    \wbd_riscv_imem_dat_o[4] ,
+    \wbd_riscv_imem_dat_o[3] ,
+    \wbd_riscv_imem_dat_o[2] ,
+    \wbd_riscv_imem_dat_o[1] ,
+    \wbd_riscv_imem_dat_o[0] }),
+    .wbd_imem_dat_o({\wbd_riscv_imem_dat_i[31] ,
+    \wbd_riscv_imem_dat_i[30] ,
+    \wbd_riscv_imem_dat_i[29] ,
+    \wbd_riscv_imem_dat_i[28] ,
+    \wbd_riscv_imem_dat_i[27] ,
+    \wbd_riscv_imem_dat_i[26] ,
+    \wbd_riscv_imem_dat_i[25] ,
+    \wbd_riscv_imem_dat_i[24] ,
+    \wbd_riscv_imem_dat_i[23] ,
+    \wbd_riscv_imem_dat_i[22] ,
+    \wbd_riscv_imem_dat_i[21] ,
+    \wbd_riscv_imem_dat_i[20] ,
+    \wbd_riscv_imem_dat_i[19] ,
+    \wbd_riscv_imem_dat_i[18] ,
+    \wbd_riscv_imem_dat_i[17] ,
+    \wbd_riscv_imem_dat_i[16] ,
+    \wbd_riscv_imem_dat_i[15] ,
+    \wbd_riscv_imem_dat_i[14] ,
+    \wbd_riscv_imem_dat_i[13] ,
+    \wbd_riscv_imem_dat_i[12] ,
+    \wbd_riscv_imem_dat_i[11] ,
+    \wbd_riscv_imem_dat_i[10] ,
+    \wbd_riscv_imem_dat_i[9] ,
+    \wbd_riscv_imem_dat_i[8] ,
+    \wbd_riscv_imem_dat_i[7] ,
+    \wbd_riscv_imem_dat_i[6] ,
+    \wbd_riscv_imem_dat_i[5] ,
+    \wbd_riscv_imem_dat_i[4] ,
+    \wbd_riscv_imem_dat_i[3] ,
+    \wbd_riscv_imem_dat_i[2] ,
+    \wbd_riscv_imem_dat_i[1] ,
+    \wbd_riscv_imem_dat_i[0] }),
+    .wbd_imem_sel_o({\wbd_riscv_imem_sel_i[3] ,
+    \wbd_riscv_imem_sel_i[2] ,
+    \wbd_riscv_imem_sel_i[1] ,
+    \wbd_riscv_imem_sel_i[0] }));
+ sdrc_top u_sdram_ctrl (.cfg_sdr_en(cfg_sdr_en),
+    .sdr_init_done(sdr_init_done),
+    .sdram_clk(sdram_clk),
+    .sdram_resetn(\cfg_glb_ctrl[3] ),
+    .wb_ack_o(wbd_sdram_ack_i),
+    .wb_clk_i(wbd_clk_sdram),
+    .wb_cyc_i(wbd_sdram_cyc_o),
+    .wb_rst_n(\cfg_glb_ctrl[0] ),
+    .wb_stb_i(wbd_sdram_stb_o),
+    .wb_we_i(wbd_sdram_we_o),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .cfg_colbits({\u_core.cfg_colbits[1] ,
-    \u_core.cfg_colbits[0] }),
-    .cfg_req_depth({\u_core.cfg_req_depth[1] ,
-    \u_core.cfg_req_depth[0] }),
-    .cfg_sdr_cas({\u_core.cfg_sdr_cas[2] ,
-    \u_core.cfg_sdr_cas[1] ,
-    \u_core.cfg_sdr_cas[0] }),
-    .cfg_sdr_mode_reg({\u_core.cfg_sdr_mode_reg[12] ,
-    \u_core.cfg_sdr_mode_reg[11] ,
-    \u_core.cfg_sdr_mode_reg[10] ,
-    \u_core.cfg_sdr_mode_reg[9] ,
-    \u_core.cfg_sdr_mode_reg[8] ,
-    \u_core.cfg_sdr_mode_reg[7] ,
-    \u_core.cfg_sdr_mode_reg[6] ,
-    \u_core.cfg_sdr_mode_reg[5] ,
-    \u_core.cfg_sdr_mode_reg[4] ,
-    \u_core.cfg_sdr_mode_reg[3] ,
-    \u_core.cfg_sdr_mode_reg[2] ,
-    \u_core.cfg_sdr_mode_reg[1] ,
-    \u_core.cfg_sdr_mode_reg[0] }),
-    .cfg_sdr_rfmax({\u_core.cfg_sdr_rfmax[2] ,
-    \u_core.cfg_sdr_rfmax[1] ,
-    \u_core.cfg_sdr_rfmax[0] }),
-    .cfg_sdr_rfsh({\u_core.cfg_sdr_rfsh[11] ,
-    \u_core.cfg_sdr_rfsh[10] ,
-    \u_core.cfg_sdr_rfsh[9] ,
-    \u_core.cfg_sdr_rfsh[8] ,
-    \u_core.cfg_sdr_rfsh[7] ,
-    \u_core.cfg_sdr_rfsh[6] ,
-    \u_core.cfg_sdr_rfsh[5] ,
-    \u_core.cfg_sdr_rfsh[4] ,
-    \u_core.cfg_sdr_rfsh[3] ,
-    \u_core.cfg_sdr_rfsh[2] ,
-    \u_core.cfg_sdr_rfsh[1] ,
-    \u_core.cfg_sdr_rfsh[0] }),
-    .cfg_sdr_tras_d({\u_core.cfg_sdr_tras_d[3] ,
-    \u_core.cfg_sdr_tras_d[2] ,
-    \u_core.cfg_sdr_tras_d[1] ,
-    \u_core.cfg_sdr_tras_d[0] }),
-    .cfg_sdr_trcar_d({\u_core.cfg_sdr_trcar_d[3] ,
-    \u_core.cfg_sdr_trcar_d[2] ,
-    \u_core.cfg_sdr_trcar_d[1] ,
-    \u_core.cfg_sdr_trcar_d[0] }),
-    .cfg_sdr_trcd_d({\u_core.cfg_sdr_trcd_d[3] ,
-    \u_core.cfg_sdr_trcd_d[2] ,
-    \u_core.cfg_sdr_trcd_d[1] ,
-    \u_core.cfg_sdr_trcd_d[0] }),
-    .cfg_sdr_trp_d({\u_core.cfg_sdr_trp_d[3] ,
-    \u_core.cfg_sdr_trp_d[2] ,
-    \u_core.cfg_sdr_trp_d[1] ,
-    \u_core.cfg_sdr_trp_d[0] }),
-    .cfg_sdr_twr_d({\u_core.cfg_sdr_twr_d[3] ,
-    \u_core.cfg_sdr_twr_d[2] ,
-    \u_core.cfg_sdr_twr_d[1] ,
-    \u_core.cfg_sdr_twr_d[0] }),
-    .cfg_sdr_width({\u_core.cfg_sdr_width[1] ,
-    \u_core.cfg_sdr_width[0] }),
-    .io_in({\u_core.io_in_29_ ,
+    .cfg_colbits({\cfg_colbits[1] ,
+    \cfg_colbits[0] }),
+    .cfg_req_depth({\cfg_req_depth[1] ,
+    \cfg_req_depth[0] }),
+    .cfg_sdr_cas({\cfg_sdr_cas[2] ,
+    \cfg_sdr_cas[1] ,
+    \cfg_sdr_cas[0] }),
+    .cfg_sdr_mode_reg({\cfg_sdr_mode_reg[12] ,
+    \cfg_sdr_mode_reg[11] ,
+    \cfg_sdr_mode_reg[10] ,
+    \cfg_sdr_mode_reg[9] ,
+    \cfg_sdr_mode_reg[8] ,
+    \cfg_sdr_mode_reg[7] ,
+    \cfg_sdr_mode_reg[6] ,
+    \cfg_sdr_mode_reg[5] ,
+    \cfg_sdr_mode_reg[4] ,
+    \cfg_sdr_mode_reg[3] ,
+    \cfg_sdr_mode_reg[2] ,
+    \cfg_sdr_mode_reg[1] ,
+    \cfg_sdr_mode_reg[0] }),
+    .cfg_sdr_rfmax({\cfg_sdr_rfmax[2] ,
+    \cfg_sdr_rfmax[1] ,
+    \cfg_sdr_rfmax[0] }),
+    .cfg_sdr_rfsh({\cfg_sdr_rfsh[11] ,
+    \cfg_sdr_rfsh[10] ,
+    \cfg_sdr_rfsh[9] ,
+    \cfg_sdr_rfsh[8] ,
+    \cfg_sdr_rfsh[7] ,
+    \cfg_sdr_rfsh[6] ,
+    \cfg_sdr_rfsh[5] ,
+    \cfg_sdr_rfsh[4] ,
+    \cfg_sdr_rfsh[3] ,
+    \cfg_sdr_rfsh[2] ,
+    \cfg_sdr_rfsh[1] ,
+    \cfg_sdr_rfsh[0] }),
+    .cfg_sdr_tras_d({\cfg_sdr_tras_d[3] ,
+    \cfg_sdr_tras_d[2] ,
+    \cfg_sdr_tras_d[1] ,
+    \cfg_sdr_tras_d[0] }),
+    .cfg_sdr_trcar_d({\cfg_sdr_trcar_d[3] ,
+    \cfg_sdr_trcar_d[2] ,
+    \cfg_sdr_trcar_d[1] ,
+    \cfg_sdr_trcar_d[0] }),
+    .cfg_sdr_trcd_d({\cfg_sdr_trcd_d[3] ,
+    \cfg_sdr_trcd_d[2] ,
+    \cfg_sdr_trcd_d[1] ,
+    \cfg_sdr_trcd_d[0] }),
+    .cfg_sdr_trp_d({\cfg_sdr_trp_d[3] ,
+    \cfg_sdr_trp_d[2] ,
+    \cfg_sdr_trp_d[1] ,
+    \cfg_sdr_trp_d[0] }),
+    .cfg_sdr_twr_d({\cfg_sdr_twr_d[3] ,
+    \cfg_sdr_twr_d[2] ,
+    \cfg_sdr_twr_d[1] ,
+    \cfg_sdr_twr_d[0] }),
+    .cfg_sdr_width({\cfg_sdr_width[1] ,
+    \cfg_sdr_width[0] }),
+    .io_in({io_in_29_,
     io_in[28],
     io_in[27],
     io_in[26],
@@ -1315,7 +1315,7 @@
     io_oeb[2],
     io_oeb[1],
     io_oeb[0]}),
-    .io_out({\u_core.io_out_29_ ,
+    .io_out({io_out_29_,
     io_out[28],
     io_out[27],
     io_out[26],
@@ -1345,196 +1345,194 @@
     io_out[2],
     io_out[1],
     io_out[0]}),
-    .wb_addr_i({\u_core.wbd_sdram_adr_o[31] ,
-    \u_core.wbd_sdram_adr_o[30] ,
-    \u_core.wbd_sdram_adr_o[29] ,
-    \u_core.wbd_sdram_adr_o[28] ,
-    \u_core.wbd_sdram_adr_o[27] ,
-    \u_core.wbd_sdram_adr_o[26] ,
-    \u_core.wbd_sdram_adr_o[25] ,
-    \u_core.wbd_sdram_adr_o[24] ,
-    \u_core.wbd_sdram_adr_o[23] ,
-    \u_core.wbd_sdram_adr_o[22] ,
-    \u_core.wbd_sdram_adr_o[21] ,
-    \u_core.wbd_sdram_adr_o[20] ,
-    \u_core.wbd_sdram_adr_o[19] ,
-    \u_core.wbd_sdram_adr_o[18] ,
-    \u_core.wbd_sdram_adr_o[17] ,
-    \u_core.wbd_sdram_adr_o[16] ,
-    \u_core.wbd_sdram_adr_o[15] ,
-    \u_core.wbd_sdram_adr_o[14] ,
-    \u_core.wbd_sdram_adr_o[13] ,
-    \u_core.wbd_sdram_adr_o[12] ,
-    \u_core.wbd_sdram_adr_o[11] ,
-    \u_core.wbd_sdram_adr_o[10] ,
-    \u_core.wbd_sdram_adr_o[9] ,
-    \u_core.wbd_sdram_adr_o[8] ,
-    \u_core.wbd_sdram_adr_o[7] ,
-    \u_core.wbd_sdram_adr_o[6] ,
-    \u_core.wbd_sdram_adr_o[5] ,
-    \u_core.wbd_sdram_adr_o[4] ,
-    \u_core.wbd_sdram_adr_o[3] ,
-    \u_core.wbd_sdram_adr_o[2] ,
-    \u_core.wbd_sdram_adr_o[1] ,
-    \u_core.wbd_sdram_adr_o[0] }),
-    .wb_dat_i({\u_core.wbd_sdram_dat_o[31] ,
-    \u_core.wbd_sdram_dat_o[30] ,
-    \u_core.wbd_sdram_dat_o[29] ,
-    \u_core.wbd_sdram_dat_o[28] ,
-    \u_core.wbd_sdram_dat_o[27] ,
-    \u_core.wbd_sdram_dat_o[26] ,
-    \u_core.wbd_sdram_dat_o[25] ,
-    \u_core.wbd_sdram_dat_o[24] ,
-    \u_core.wbd_sdram_dat_o[23] ,
-    \u_core.wbd_sdram_dat_o[22] ,
-    \u_core.wbd_sdram_dat_o[21] ,
-    \u_core.wbd_sdram_dat_o[20] ,
-    \u_core.wbd_sdram_dat_o[19] ,
-    \u_core.wbd_sdram_dat_o[18] ,
-    \u_core.wbd_sdram_dat_o[17] ,
-    \u_core.wbd_sdram_dat_o[16] ,
-    \u_core.wbd_sdram_dat_o[15] ,
-    \u_core.wbd_sdram_dat_o[14] ,
-    \u_core.wbd_sdram_dat_o[13] ,
-    \u_core.wbd_sdram_dat_o[12] ,
-    \u_core.wbd_sdram_dat_o[11] ,
-    \u_core.wbd_sdram_dat_o[10] ,
-    \u_core.wbd_sdram_dat_o[9] ,
-    \u_core.wbd_sdram_dat_o[8] ,
-    \u_core.wbd_sdram_dat_o[7] ,
-    \u_core.wbd_sdram_dat_o[6] ,
-    \u_core.wbd_sdram_dat_o[5] ,
-    \u_core.wbd_sdram_dat_o[4] ,
-    \u_core.wbd_sdram_dat_o[3] ,
-    \u_core.wbd_sdram_dat_o[2] ,
-    \u_core.wbd_sdram_dat_o[1] ,
-    \u_core.wbd_sdram_dat_o[0] }),
-    .wb_dat_o({\u_core.wbd_sdram_dat_i[31] ,
-    \u_core.wbd_sdram_dat_i[30] ,
-    \u_core.wbd_sdram_dat_i[29] ,
-    \u_core.wbd_sdram_dat_i[28] ,
-    \u_core.wbd_sdram_dat_i[27] ,
-    \u_core.wbd_sdram_dat_i[26] ,
-    \u_core.wbd_sdram_dat_i[25] ,
-    \u_core.wbd_sdram_dat_i[24] ,
-    \u_core.wbd_sdram_dat_i[23] ,
-    \u_core.wbd_sdram_dat_i[22] ,
-    \u_core.wbd_sdram_dat_i[21] ,
-    \u_core.wbd_sdram_dat_i[20] ,
-    \u_core.wbd_sdram_dat_i[19] ,
-    \u_core.wbd_sdram_dat_i[18] ,
-    \u_core.wbd_sdram_dat_i[17] ,
-    \u_core.wbd_sdram_dat_i[16] ,
-    \u_core.wbd_sdram_dat_i[15] ,
-    \u_core.wbd_sdram_dat_i[14] ,
-    \u_core.wbd_sdram_dat_i[13] ,
-    \u_core.wbd_sdram_dat_i[12] ,
-    \u_core.wbd_sdram_dat_i[11] ,
-    \u_core.wbd_sdram_dat_i[10] ,
-    \u_core.wbd_sdram_dat_i[9] ,
-    \u_core.wbd_sdram_dat_i[8] ,
-    \u_core.wbd_sdram_dat_i[7] ,
-    \u_core.wbd_sdram_dat_i[6] ,
-    \u_core.wbd_sdram_dat_i[5] ,
-    \u_core.wbd_sdram_dat_i[4] ,
-    \u_core.wbd_sdram_dat_i[3] ,
-    \u_core.wbd_sdram_dat_i[2] ,
-    \u_core.wbd_sdram_dat_i[1] ,
-    \u_core.wbd_sdram_dat_i[0] }),
-    .wb_sel_i({\u_core.wbd_sdram_sel_o[3] ,
-    \u_core.wbd_sdram_sel_o[2] ,
-    \u_core.wbd_sdram_sel_o[1] ,
-    \u_core.wbd_sdram_sel_o[0] }));
- clk_skew_adjust \u_core.u_skew_glbl  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_glbl ),
+    .wb_addr_i({\wbd_sdram_adr_o[31] ,
+    \wbd_sdram_adr_o[30] ,
+    \wbd_sdram_adr_o[29] ,
+    \wbd_sdram_adr_o[28] ,
+    \wbd_sdram_adr_o[27] ,
+    \wbd_sdram_adr_o[26] ,
+    \wbd_sdram_adr_o[25] ,
+    \wbd_sdram_adr_o[24] ,
+    \wbd_sdram_adr_o[23] ,
+    \wbd_sdram_adr_o[22] ,
+    \wbd_sdram_adr_o[21] ,
+    \wbd_sdram_adr_o[20] ,
+    \wbd_sdram_adr_o[19] ,
+    \wbd_sdram_adr_o[18] ,
+    \wbd_sdram_adr_o[17] ,
+    \wbd_sdram_adr_o[16] ,
+    \wbd_sdram_adr_o[15] ,
+    \wbd_sdram_adr_o[14] ,
+    \wbd_sdram_adr_o[13] ,
+    \wbd_sdram_adr_o[12] ,
+    \wbd_sdram_adr_o[11] ,
+    \wbd_sdram_adr_o[10] ,
+    \wbd_sdram_adr_o[9] ,
+    \wbd_sdram_adr_o[8] ,
+    \wbd_sdram_adr_o[7] ,
+    \wbd_sdram_adr_o[6] ,
+    \wbd_sdram_adr_o[5] ,
+    \wbd_sdram_adr_o[4] ,
+    \wbd_sdram_adr_o[3] ,
+    \wbd_sdram_adr_o[2] ,
+    \wbd_sdram_adr_o[1] ,
+    \wbd_sdram_adr_o[0] }),
+    .wb_dat_i({\wbd_sdram_dat_o[31] ,
+    \wbd_sdram_dat_o[30] ,
+    \wbd_sdram_dat_o[29] ,
+    \wbd_sdram_dat_o[28] ,
+    \wbd_sdram_dat_o[27] ,
+    \wbd_sdram_dat_o[26] ,
+    \wbd_sdram_dat_o[25] ,
+    \wbd_sdram_dat_o[24] ,
+    \wbd_sdram_dat_o[23] ,
+    \wbd_sdram_dat_o[22] ,
+    \wbd_sdram_dat_o[21] ,
+    \wbd_sdram_dat_o[20] ,
+    \wbd_sdram_dat_o[19] ,
+    \wbd_sdram_dat_o[18] ,
+    \wbd_sdram_dat_o[17] ,
+    \wbd_sdram_dat_o[16] ,
+    \wbd_sdram_dat_o[15] ,
+    \wbd_sdram_dat_o[14] ,
+    \wbd_sdram_dat_o[13] ,
+    \wbd_sdram_dat_o[12] ,
+    \wbd_sdram_dat_o[11] ,
+    \wbd_sdram_dat_o[10] ,
+    \wbd_sdram_dat_o[9] ,
+    \wbd_sdram_dat_o[8] ,
+    \wbd_sdram_dat_o[7] ,
+    \wbd_sdram_dat_o[6] ,
+    \wbd_sdram_dat_o[5] ,
+    \wbd_sdram_dat_o[4] ,
+    \wbd_sdram_dat_o[3] ,
+    \wbd_sdram_dat_o[2] ,
+    \wbd_sdram_dat_o[1] ,
+    \wbd_sdram_dat_o[0] }),
+    .wb_dat_o({\wbd_sdram_dat_i[31] ,
+    \wbd_sdram_dat_i[30] ,
+    \wbd_sdram_dat_i[29] ,
+    \wbd_sdram_dat_i[28] ,
+    \wbd_sdram_dat_i[27] ,
+    \wbd_sdram_dat_i[26] ,
+    \wbd_sdram_dat_i[25] ,
+    \wbd_sdram_dat_i[24] ,
+    \wbd_sdram_dat_i[23] ,
+    \wbd_sdram_dat_i[22] ,
+    \wbd_sdram_dat_i[21] ,
+    \wbd_sdram_dat_i[20] ,
+    \wbd_sdram_dat_i[19] ,
+    \wbd_sdram_dat_i[18] ,
+    \wbd_sdram_dat_i[17] ,
+    \wbd_sdram_dat_i[16] ,
+    \wbd_sdram_dat_i[15] ,
+    \wbd_sdram_dat_i[14] ,
+    \wbd_sdram_dat_i[13] ,
+    \wbd_sdram_dat_i[12] ,
+    \wbd_sdram_dat_i[11] ,
+    \wbd_sdram_dat_i[10] ,
+    \wbd_sdram_dat_i[9] ,
+    \wbd_sdram_dat_i[8] ,
+    \wbd_sdram_dat_i[7] ,
+    \wbd_sdram_dat_i[6] ,
+    \wbd_sdram_dat_i[5] ,
+    \wbd_sdram_dat_i[4] ,
+    \wbd_sdram_dat_i[3] ,
+    \wbd_sdram_dat_i[2] ,
+    \wbd_sdram_dat_i[1] ,
+    \wbd_sdram_dat_i[0] }),
+    .wb_sel_i({\wbd_sdram_sel_o[3] ,
+    \wbd_sdram_sel_o[2] ,
+    \wbd_sdram_sel_o[1] ,
+    \wbd_sdram_sel_o[0] }));
+ clk_skew_adjust u_skew_glbl (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_glbl),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[23] ,
-    \u_core.cfg_clk_ctrl1[22] ,
-    \u_core.cfg_clk_ctrl1[21] ,
-    \u_core.cfg_clk_ctrl1[20] }));
- clk_skew_adjust \u_core.u_skew_riscv  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_riscv ),
+    .sel({\cfg_clk_ctrl1[23] ,
+    \cfg_clk_ctrl1[22] ,
+    \cfg_clk_ctrl1[21] ,
+    \cfg_clk_ctrl1[20] }));
+ clk_skew_adjust u_skew_riscv (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_riscv),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[7] ,
-    \u_core.cfg_clk_ctrl1[6] ,
-    \u_core.cfg_clk_ctrl1[5] ,
-    \u_core.cfg_clk_ctrl1[4] }));
- clk_skew_adjust \u_core.u_skew_sd_ci  (.clk_in(io_in[29]),
-    .clk_out(\u_core.io_in_29_ ),
+    .sel({\cfg_clk_ctrl1[7] ,
+    \cfg_clk_ctrl1[6] ,
+    \cfg_clk_ctrl1[5] ,
+    \cfg_clk_ctrl1[4] }));
+ clk_skew_adjust u_skew_sd_ci (.clk_in(io_in[29]),
+    .clk_out(io_in_29_),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl2[7] ,
-    \u_core.cfg_clk_ctrl2[6] ,
-    \u_core.cfg_clk_ctrl2[5] ,
-    \u_core.cfg_clk_ctrl2[4] }));
- clk_skew_adjust \u_core.u_skew_sd_co  (.clk_in(\u_core.io_out_29_ ),
+    .sel({\cfg_clk_ctrl2[7] ,
+    \cfg_clk_ctrl2[6] ,
+    \cfg_clk_ctrl2[5] ,
+    \cfg_clk_ctrl2[4] }));
+ clk_skew_adjust u_skew_sd_co (.clk_in(io_out_29_),
     .clk_out(io_out[29]),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl2[3] ,
-    \u_core.cfg_clk_ctrl2[2] ,
-    \u_core.cfg_clk_ctrl2[1] ,
-    \u_core.cfg_clk_ctrl2[0] }));
- clk_skew_adjust \u_core.u_skew_sdram  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_sdram ),
+    .sel({\cfg_clk_ctrl2[3] ,
+    \cfg_clk_ctrl2[2] ,
+    \cfg_clk_ctrl2[1] ,
+    \cfg_clk_ctrl2[0] }));
+ clk_skew_adjust u_skew_sdram (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_sdram),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[19] ,
-    \u_core.cfg_clk_ctrl1[18] ,
-    \u_core.cfg_clk_ctrl1[17] ,
-    \u_core.cfg_clk_ctrl1[16] }));
- clk_skew_adjust \u_core.u_skew_sp_co  (.clk_in(\u_core.io_in_30_ ),
+    .sel({\cfg_clk_ctrl1[19] ,
+    \cfg_clk_ctrl1[18] ,
+    \cfg_clk_ctrl1[17] ,
+    \cfg_clk_ctrl1[16] }));
+ clk_skew_adjust u_skew_sp_co (.clk_in(io_in_30_),
     .clk_out(io_out[30]),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl2[11] ,
-    \u_core.cfg_clk_ctrl2[10] ,
-    \u_core.cfg_clk_ctrl2[9] ,
-    \u_core.cfg_clk_ctrl2[8] }));
- clk_skew_adjust \u_core.u_skew_spi  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_spi ),
+    .sel({\cfg_clk_ctrl2[11] ,
+    \cfg_clk_ctrl2[10] ,
+    \cfg_clk_ctrl2[9] ,
+    \cfg_clk_ctrl2[8] }));
+ clk_skew_adjust u_skew_spi (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_spi),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[15] ,
-    \u_core.cfg_clk_ctrl1[14] ,
-    \u_core.cfg_clk_ctrl1[13] ,
-    \u_core.cfg_clk_ctrl1[12] }));
- clk_skew_adjust \u_core.u_skew_uart  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_uart ),
+    .sel({\cfg_clk_ctrl1[15] ,
+    \cfg_clk_ctrl1[14] ,
+    \cfg_clk_ctrl1[13] ,
+    \cfg_clk_ctrl1[12] }));
+ clk_skew_adjust u_skew_uart (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_uart),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[11] ,
-    \u_core.cfg_clk_ctrl1[10] ,
-    \u_core.cfg_clk_ctrl1[9] ,
-    \u_core.cfg_clk_ctrl1[8] }));
- clk_skew_adjust \u_core.u_skew_wh  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_wh ),
+    .sel({\cfg_clk_ctrl1[11] ,
+    \cfg_clk_ctrl1[10] ,
+    \cfg_clk_ctrl1[9] ,
+    \cfg_clk_ctrl1[8] }));
+ clk_skew_adjust u_skew_wh (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_wh),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[27] ,
-    \u_core.cfg_clk_ctrl1[26] ,
-    \u_core.cfg_clk_ctrl1[25] ,
-    \u_core.cfg_clk_ctrl1[24] }));
- clk_skew_adjust \u_core.u_skew_wi  (.clk_in(\u_core.wbd_clk_int ),
-    .clk_out(\u_core.wbd_clk_wi ),
+    .sel({\cfg_clk_ctrl1[27] ,
+    \cfg_clk_ctrl1[26] ,
+    \cfg_clk_ctrl1[25] ,
+    \cfg_clk_ctrl1[24] }));
+ clk_skew_adjust u_skew_wi (.clk_in(wbd_clk_int),
+    .clk_out(wbd_clk_wi),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .sel({\u_core.cfg_clk_ctrl1[3] ,
-    \u_core.cfg_clk_ctrl1[2] ,
-    \u_core.cfg_clk_ctrl1[1] ,
-    \u_core.cfg_clk_ctrl1[0] }));
- spim_top \u_core.u_spi_master  (.mclk(\u_core.wbd_clk_spi ),
-    .rst_n(\u_core.cfg_glb_ctrl[2] ),
-    .wbd_ack_o(\u_core.wbd_spim_ack_i ),
-    .wbd_err_o(\u_core.wbd_spim_err_i ),
-    .wbd_stb_i(\u_core.wbd_spim_stb_o ),
-    .wbd_we_i(\u_core.wbd_spim_we_o ),
+    .sel({\cfg_clk_ctrl1[3] ,
+    \cfg_clk_ctrl1[2] ,
+    \cfg_clk_ctrl1[1] ,
+    \cfg_clk_ctrl1[0] }));
+ spim_top u_spi_master (.mclk(wbd_clk_spi),
+    .rst_n(\cfg_glb_ctrl[2] ),
+    .wbd_ack_o(wbd_spim_ack_i),
+    .wbd_err_o(wbd_spim_err_i),
+    .wbd_stb_i(wbd_spim_stb_o),
+    .wbd_we_i(wbd_spim_we_o),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .events_o({_NC33,
-    _NC34}),
     .io_in({io_in[35],
     io_in[34],
     io_in[33],
@@ -1552,113 +1550,145 @@
     io_out[33],
     io_out[32],
     io_out[31],
-    \u_core.io_in_30_ }),
-    .wbd_adr_i({\u_core.wbd_spim_adr_o[31] ,
-    \u_core.wbd_spim_adr_o[30] ,
-    \u_core.wbd_spim_adr_o[29] ,
-    \u_core.wbd_spim_adr_o[28] ,
-    \u_core.wbd_spim_adr_o[27] ,
-    \u_core.wbd_spim_adr_o[26] ,
-    \u_core.wbd_spim_adr_o[25] ,
-    \u_core.wbd_spim_adr_o[24] ,
-    \u_core.wbd_spim_adr_o[23] ,
-    \u_core.wbd_spim_adr_o[22] ,
-    \u_core.wbd_spim_adr_o[21] ,
-    \u_core.wbd_spim_adr_o[20] ,
-    \u_core.wbd_spim_adr_o[19] ,
-    \u_core.wbd_spim_adr_o[18] ,
-    \u_core.wbd_spim_adr_o[17] ,
-    \u_core.wbd_spim_adr_o[16] ,
-    \u_core.wbd_spim_adr_o[15] ,
-    \u_core.wbd_spim_adr_o[14] ,
-    \u_core.wbd_spim_adr_o[13] ,
-    \u_core.wbd_spim_adr_o[12] ,
-    \u_core.wbd_spim_adr_o[11] ,
-    \u_core.wbd_spim_adr_o[10] ,
-    \u_core.wbd_spim_adr_o[9] ,
-    \u_core.wbd_spim_adr_o[8] ,
-    \u_core.wbd_spim_adr_o[7] ,
-    \u_core.wbd_spim_adr_o[6] ,
-    \u_core.wbd_spim_adr_o[5] ,
-    \u_core.wbd_spim_adr_o[4] ,
-    \u_core.wbd_spim_adr_o[3] ,
-    \u_core.wbd_spim_adr_o[2] ,
-    \u_core.wbd_spim_adr_o[1] ,
-    \u_core.wbd_spim_adr_o[0] }),
-    .wbd_dat_i({\u_core.wbd_spim_dat_o[31] ,
-    \u_core.wbd_spim_dat_o[30] ,
-    \u_core.wbd_spim_dat_o[29] ,
-    \u_core.wbd_spim_dat_o[28] ,
-    \u_core.wbd_spim_dat_o[27] ,
-    \u_core.wbd_spim_dat_o[26] ,
-    \u_core.wbd_spim_dat_o[25] ,
-    \u_core.wbd_spim_dat_o[24] ,
-    \u_core.wbd_spim_dat_o[23] ,
-    \u_core.wbd_spim_dat_o[22] ,
-    \u_core.wbd_spim_dat_o[21] ,
-    \u_core.wbd_spim_dat_o[20] ,
-    \u_core.wbd_spim_dat_o[19] ,
-    \u_core.wbd_spim_dat_o[18] ,
-    \u_core.wbd_spim_dat_o[17] ,
-    \u_core.wbd_spim_dat_o[16] ,
-    \u_core.wbd_spim_dat_o[15] ,
-    \u_core.wbd_spim_dat_o[14] ,
-    \u_core.wbd_spim_dat_o[13] ,
-    \u_core.wbd_spim_dat_o[12] ,
-    \u_core.wbd_spim_dat_o[11] ,
-    \u_core.wbd_spim_dat_o[10] ,
-    \u_core.wbd_spim_dat_o[9] ,
-    \u_core.wbd_spim_dat_o[8] ,
-    \u_core.wbd_spim_dat_o[7] ,
-    \u_core.wbd_spim_dat_o[6] ,
-    \u_core.wbd_spim_dat_o[5] ,
-    \u_core.wbd_spim_dat_o[4] ,
-    \u_core.wbd_spim_dat_o[3] ,
-    \u_core.wbd_spim_dat_o[2] ,
-    \u_core.wbd_spim_dat_o[1] ,
-    \u_core.wbd_spim_dat_o[0] }),
-    .wbd_dat_o({\u_core.wbd_spim_dat_i[31] ,
-    \u_core.wbd_spim_dat_i[30] ,
-    \u_core.wbd_spim_dat_i[29] ,
-    \u_core.wbd_spim_dat_i[28] ,
-    \u_core.wbd_spim_dat_i[27] ,
-    \u_core.wbd_spim_dat_i[26] ,
-    \u_core.wbd_spim_dat_i[25] ,
-    \u_core.wbd_spim_dat_i[24] ,
-    \u_core.wbd_spim_dat_i[23] ,
-    \u_core.wbd_spim_dat_i[22] ,
-    \u_core.wbd_spim_dat_i[21] ,
-    \u_core.wbd_spim_dat_i[20] ,
-    \u_core.wbd_spim_dat_i[19] ,
-    \u_core.wbd_spim_dat_i[18] ,
-    \u_core.wbd_spim_dat_i[17] ,
-    \u_core.wbd_spim_dat_i[16] ,
-    \u_core.wbd_spim_dat_i[15] ,
-    \u_core.wbd_spim_dat_i[14] ,
-    \u_core.wbd_spim_dat_i[13] ,
-    \u_core.wbd_spim_dat_i[12] ,
-    \u_core.wbd_spim_dat_i[11] ,
-    \u_core.wbd_spim_dat_i[10] ,
-    \u_core.wbd_spim_dat_i[9] ,
-    \u_core.wbd_spim_dat_i[8] ,
-    \u_core.wbd_spim_dat_i[7] ,
-    \u_core.wbd_spim_dat_i[6] ,
-    \u_core.wbd_spim_dat_i[5] ,
-    \u_core.wbd_spim_dat_i[4] ,
-    \u_core.wbd_spim_dat_i[3] ,
-    \u_core.wbd_spim_dat_i[2] ,
-    \u_core.wbd_spim_dat_i[1] ,
-    \u_core.wbd_spim_dat_i[0] }),
-    .wbd_sel_i({\u_core.wbd_spim_sel_o[3] ,
-    \u_core.wbd_spim_sel_o[2] ,
-    \u_core.wbd_spim_sel_o[1] ,
-    \u_core.wbd_spim_sel_o[0] }));
- uart_core \u_core.u_uart_core  (.app_clk(\u_core.wbd_clk_uart ),
-    .arst_n(\u_core.cfg_glb_ctrl[0] ),
-    .reg_ack(\u_core.wbd_uart_ack_i ),
-    .reg_be(\u_core.wbd_uart_sel_o ),
-    .reg_cs(\u_core.wbd_uart_stb_o ),
-    .reg_wr(\u_core.wbd_uart_we_o ),
+    io_in_30_}),
+    .spi_debug({_23_,
+    _21_,
+    _20_,
+    _19_,
+    _18_,
+    _17_,
+    _16_,
+    _15_,
+    _14_,
+    _13_,
+    _12_,
+    _10_,
+    _09_,
+    _08_,
+    _07_,
+    _06_,
+    _05_,
+    _04_,
+    _03_,
+    _02_,
+    _01_,
+    _30_,
+    _29_,
+    _28_,
+    _27_,
+    _26_,
+    _25_,
+    _24_,
+    _22_,
+    _11_,
+    _00_,
+    spi_debug}),
+    .wbd_adr_i({\wbd_spim_adr_o[31] ,
+    \wbd_spim_adr_o[30] ,
+    \wbd_spim_adr_o[29] ,
+    \wbd_spim_adr_o[28] ,
+    \wbd_spim_adr_o[27] ,
+    \wbd_spim_adr_o[26] ,
+    \wbd_spim_adr_o[25] ,
+    \wbd_spim_adr_o[24] ,
+    \wbd_spim_adr_o[23] ,
+    \wbd_spim_adr_o[22] ,
+    \wbd_spim_adr_o[21] ,
+    \wbd_spim_adr_o[20] ,
+    \wbd_spim_adr_o[19] ,
+    \wbd_spim_adr_o[18] ,
+    \wbd_spim_adr_o[17] ,
+    \wbd_spim_adr_o[16] ,
+    \wbd_spim_adr_o[15] ,
+    \wbd_spim_adr_o[14] ,
+    \wbd_spim_adr_o[13] ,
+    \wbd_spim_adr_o[12] ,
+    \wbd_spim_adr_o[11] ,
+    \wbd_spim_adr_o[10] ,
+    \wbd_spim_adr_o[9] ,
+    \wbd_spim_adr_o[8] ,
+    \wbd_spim_adr_o[7] ,
+    \wbd_spim_adr_o[6] ,
+    \wbd_spim_adr_o[5] ,
+    \wbd_spim_adr_o[4] ,
+    \wbd_spim_adr_o[3] ,
+    \wbd_spim_adr_o[2] ,
+    \wbd_spim_adr_o[1] ,
+    \wbd_spim_adr_o[0] }),
+    .wbd_dat_i({\wbd_spim_dat_o[31] ,
+    \wbd_spim_dat_o[30] ,
+    \wbd_spim_dat_o[29] ,
+    \wbd_spim_dat_o[28] ,
+    \wbd_spim_dat_o[27] ,
+    \wbd_spim_dat_o[26] ,
+    \wbd_spim_dat_o[25] ,
+    \wbd_spim_dat_o[24] ,
+    \wbd_spim_dat_o[23] ,
+    \wbd_spim_dat_o[22] ,
+    \wbd_spim_dat_o[21] ,
+    \wbd_spim_dat_o[20] ,
+    \wbd_spim_dat_o[19] ,
+    \wbd_spim_dat_o[18] ,
+    \wbd_spim_dat_o[17] ,
+    \wbd_spim_dat_o[16] ,
+    \wbd_spim_dat_o[15] ,
+    \wbd_spim_dat_o[14] ,
+    \wbd_spim_dat_o[13] ,
+    \wbd_spim_dat_o[12] ,
+    \wbd_spim_dat_o[11] ,
+    \wbd_spim_dat_o[10] ,
+    \wbd_spim_dat_o[9] ,
+    \wbd_spim_dat_o[8] ,
+    \wbd_spim_dat_o[7] ,
+    \wbd_spim_dat_o[6] ,
+    \wbd_spim_dat_o[5] ,
+    \wbd_spim_dat_o[4] ,
+    \wbd_spim_dat_o[3] ,
+    \wbd_spim_dat_o[2] ,
+    \wbd_spim_dat_o[1] ,
+    \wbd_spim_dat_o[0] }),
+    .wbd_dat_o({\wbd_spim_dat_i[31] ,
+    \wbd_spim_dat_i[30] ,
+    \wbd_spim_dat_i[29] ,
+    \wbd_spim_dat_i[28] ,
+    \wbd_spim_dat_i[27] ,
+    \wbd_spim_dat_i[26] ,
+    \wbd_spim_dat_i[25] ,
+    \wbd_spim_dat_i[24] ,
+    \wbd_spim_dat_i[23] ,
+    \wbd_spim_dat_i[22] ,
+    \wbd_spim_dat_i[21] ,
+    \wbd_spim_dat_i[20] ,
+    \wbd_spim_dat_i[19] ,
+    \wbd_spim_dat_i[18] ,
+    \wbd_spim_dat_i[17] ,
+    \wbd_spim_dat_i[16] ,
+    \wbd_spim_dat_i[15] ,
+    \wbd_spim_dat_i[14] ,
+    \wbd_spim_dat_i[13] ,
+    \wbd_spim_dat_i[12] ,
+    \wbd_spim_dat_i[11] ,
+    \wbd_spim_dat_i[10] ,
+    \wbd_spim_dat_i[9] ,
+    \wbd_spim_dat_i[8] ,
+    \wbd_spim_dat_i[7] ,
+    \wbd_spim_dat_i[6] ,
+    \wbd_spim_dat_i[5] ,
+    \wbd_spim_dat_i[4] ,
+    \wbd_spim_dat_i[3] ,
+    \wbd_spim_dat_i[2] ,
+    \wbd_spim_dat_i[1] ,
+    \wbd_spim_dat_i[0] }),
+    .wbd_sel_i({\wbd_spim_sel_o[3] ,
+    \wbd_spim_sel_o[2] ,
+    \wbd_spim_sel_o[1] ,
+    \wbd_spim_sel_o[0] }));
+ uart_core u_uart_core (.app_clk(wbd_clk_uart),
+    .arst_n(\cfg_glb_ctrl[0] ),
+    .reg_ack(wbd_uart_ack_i),
+    .reg_be(wbd_uart_sel_o),
+    .reg_cs(wbd_uart_stb_o),
+    .reg_wr(wbd_uart_we_o),
     .vccd1(vccd1),
     .vssd1(vssd1),
     .io_in({io_in[37],
@@ -1667,113 +1697,113 @@
     io_oeb[36]}),
     .io_out({io_out[37],
     io_out[36]}),
-    .reg_addr({\u_core.wbd_uart_adr_o[5] ,
-    \u_core.wbd_uart_adr_o[4] ,
-    \u_core.wbd_uart_adr_o[3] ,
-    \u_core.wbd_uart_adr_o[2] }),
-    .reg_rdata({\u_core.wbd_uart_dat_i[7] ,
-    \u_core.wbd_uart_dat_i[6] ,
-    \u_core.wbd_uart_dat_i[5] ,
-    \u_core.wbd_uart_dat_i[4] ,
-    \u_core.wbd_uart_dat_i[3] ,
-    \u_core.wbd_uart_dat_i[2] ,
-    \u_core.wbd_uart_dat_i[1] ,
-    \u_core.wbd_uart_dat_i[0] }),
-    .reg_wdata({\u_core.wbd_uart_dat_o[7] ,
-    \u_core.wbd_uart_dat_o[6] ,
-    \u_core.wbd_uart_dat_o[5] ,
-    \u_core.wbd_uart_dat_o[4] ,
-    \u_core.wbd_uart_dat_o[3] ,
-    \u_core.wbd_uart_dat_o[2] ,
-    \u_core.wbd_uart_dat_o[1] ,
-    \u_core.wbd_uart_dat_o[0] }));
- wb_host \u_core.u_wb_host  (.wbm_ack_o(wbs_ack_o),
+    .reg_addr({\wbd_uart_adr_o[5] ,
+    \wbd_uart_adr_o[4] ,
+    \wbd_uart_adr_o[3] ,
+    \wbd_uart_adr_o[2] }),
+    .reg_rdata({\wbd_uart_dat_i[7] ,
+    \wbd_uart_dat_i[6] ,
+    \wbd_uart_dat_i[5] ,
+    \wbd_uart_dat_i[4] ,
+    \wbd_uart_dat_i[3] ,
+    \wbd_uart_dat_i[2] ,
+    \wbd_uart_dat_i[1] ,
+    \wbd_uart_dat_i[0] }),
+    .reg_wdata({\wbd_uart_dat_o[7] ,
+    \wbd_uart_dat_o[6] ,
+    \wbd_uart_dat_o[5] ,
+    \wbd_uart_dat_o[4] ,
+    \wbd_uart_dat_o[3] ,
+    \wbd_uart_dat_o[2] ,
+    \wbd_uart_dat_o[1] ,
+    \wbd_uart_dat_o[0] }));
+ wb_host u_wb_host (.wbm_ack_o(wbs_ack_o),
     .wbm_clk_i(wb_clk_i),
     .wbm_cyc_i(wbs_cyc_i),
     .wbm_rst_i(wb_rst_i),
     .wbm_stb_i(wbs_stb_i),
     .wbm_we_i(wbs_we_i),
-    .wbs_ack_i(\u_core.wbd_int_ack_o ),
-    .wbs_clk_i(\u_core.wbd_clk_wh ),
-    .wbs_clk_out(\u_core.wbd_clk_int ),
-    .wbs_cyc_o(\u_core.wbd_int_cyc_i ),
-    .wbs_err_i(\u_core.wbd_int_err_o ),
-    .wbs_stb_o(\u_core.wbd_int_stb_i ),
-    .wbs_we_o(\u_core.wbd_int_we_i ),
+    .wbs_ack_i(wbd_int_ack_o),
+    .wbs_clk_i(wbd_clk_wh),
+    .wbs_clk_out(wbd_clk_int),
+    .wbs_cyc_o(wbd_int_cyc_i),
+    .wbs_err_i(wbd_int_err_o),
+    .wbs_stb_o(wbd_int_stb_i),
+    .wbs_we_o(wbd_int_we_i),
     .vccd1(vccd1),
     .vssd1(vssd1),
-    .cfg_clk_ctrl1({\u_core.cfg_clk_ctrl1[31] ,
-    \u_core.cfg_clk_ctrl1[30] ,
-    \u_core.cfg_clk_ctrl1[29] ,
-    \u_core.cfg_clk_ctrl1[28] ,
-    \u_core.cfg_clk_ctrl1[27] ,
-    \u_core.cfg_clk_ctrl1[26] ,
-    \u_core.cfg_clk_ctrl1[25] ,
-    \u_core.cfg_clk_ctrl1[24] ,
-    \u_core.cfg_clk_ctrl1[23] ,
-    \u_core.cfg_clk_ctrl1[22] ,
-    \u_core.cfg_clk_ctrl1[21] ,
-    \u_core.cfg_clk_ctrl1[20] ,
-    \u_core.cfg_clk_ctrl1[19] ,
-    \u_core.cfg_clk_ctrl1[18] ,
-    \u_core.cfg_clk_ctrl1[17] ,
-    \u_core.cfg_clk_ctrl1[16] ,
-    \u_core.cfg_clk_ctrl1[15] ,
-    \u_core.cfg_clk_ctrl1[14] ,
-    \u_core.cfg_clk_ctrl1[13] ,
-    \u_core.cfg_clk_ctrl1[12] ,
-    \u_core.cfg_clk_ctrl1[11] ,
-    \u_core.cfg_clk_ctrl1[10] ,
-    \u_core.cfg_clk_ctrl1[9] ,
-    \u_core.cfg_clk_ctrl1[8] ,
-    \u_core.cfg_clk_ctrl1[7] ,
-    \u_core.cfg_clk_ctrl1[6] ,
-    \u_core.cfg_clk_ctrl1[5] ,
-    \u_core.cfg_clk_ctrl1[4] ,
-    \u_core.cfg_clk_ctrl1[3] ,
-    \u_core.cfg_clk_ctrl1[2] ,
-    \u_core.cfg_clk_ctrl1[1] ,
-    \u_core.cfg_clk_ctrl1[0] }),
-    .cfg_clk_ctrl2({\u_core.cfg_clk_ctrl2[31] ,
-    \u_core.cfg_clk_ctrl2[30] ,
-    \u_core.cfg_clk_ctrl2[29] ,
-    \u_core.cfg_clk_ctrl2[28] ,
-    \u_core.cfg_clk_ctrl2[27] ,
-    \u_core.cfg_clk_ctrl2[26] ,
-    \u_core.cfg_clk_ctrl2[25] ,
-    \u_core.cfg_clk_ctrl2[24] ,
-    \u_core.cfg_clk_ctrl2[23] ,
-    \u_core.cfg_clk_ctrl2[22] ,
-    \u_core.cfg_clk_ctrl2[21] ,
-    \u_core.cfg_clk_ctrl2[20] ,
-    \u_core.cfg_clk_ctrl2[19] ,
-    \u_core.cfg_clk_ctrl2[18] ,
-    \u_core.cfg_clk_ctrl2[17] ,
-    \u_core.cfg_clk_ctrl2[16] ,
-    \u_core.cfg_clk_ctrl2[15] ,
-    \u_core.cfg_clk_ctrl2[14] ,
-    \u_core.cfg_clk_ctrl2[13] ,
-    \u_core.cfg_clk_ctrl2[12] ,
-    \u_core.cfg_clk_ctrl2[11] ,
-    \u_core.cfg_clk_ctrl2[10] ,
-    \u_core.cfg_clk_ctrl2[9] ,
-    \u_core.cfg_clk_ctrl2[8] ,
-    \u_core.cfg_clk_ctrl2[7] ,
-    \u_core.cfg_clk_ctrl2[6] ,
-    \u_core.cfg_clk_ctrl2[5] ,
-    \u_core.cfg_clk_ctrl2[4] ,
-    \u_core.cfg_clk_ctrl2[3] ,
-    \u_core.cfg_clk_ctrl2[2] ,
-    \u_core.cfg_clk_ctrl2[1] ,
-    \u_core.cfg_clk_ctrl2[0] }),
-    .cfg_glb_ctrl({\u_core.cfg_glb_ctrl[7] ,
-    \u_core.cfg_glb_ctrl[6] ,
-    \u_core.cfg_glb_ctrl[5] ,
-    \u_core.cfg_glb_ctrl[4] ,
-    \u_core.cfg_glb_ctrl[3] ,
-    \u_core.cfg_glb_ctrl[2] ,
-    \u_core.cfg_glb_ctrl[1] ,
-    \u_core.cfg_glb_ctrl[0] }),
+    .cfg_clk_ctrl1({\cfg_clk_ctrl1[31] ,
+    \cfg_clk_ctrl1[30] ,
+    \cfg_clk_ctrl1[29] ,
+    \cfg_clk_ctrl1[28] ,
+    \cfg_clk_ctrl1[27] ,
+    \cfg_clk_ctrl1[26] ,
+    \cfg_clk_ctrl1[25] ,
+    \cfg_clk_ctrl1[24] ,
+    \cfg_clk_ctrl1[23] ,
+    \cfg_clk_ctrl1[22] ,
+    \cfg_clk_ctrl1[21] ,
+    \cfg_clk_ctrl1[20] ,
+    \cfg_clk_ctrl1[19] ,
+    \cfg_clk_ctrl1[18] ,
+    \cfg_clk_ctrl1[17] ,
+    \cfg_clk_ctrl1[16] ,
+    \cfg_clk_ctrl1[15] ,
+    \cfg_clk_ctrl1[14] ,
+    \cfg_clk_ctrl1[13] ,
+    \cfg_clk_ctrl1[12] ,
+    \cfg_clk_ctrl1[11] ,
+    \cfg_clk_ctrl1[10] ,
+    \cfg_clk_ctrl1[9] ,
+    \cfg_clk_ctrl1[8] ,
+    \cfg_clk_ctrl1[7] ,
+    \cfg_clk_ctrl1[6] ,
+    \cfg_clk_ctrl1[5] ,
+    \cfg_clk_ctrl1[4] ,
+    \cfg_clk_ctrl1[3] ,
+    \cfg_clk_ctrl1[2] ,
+    \cfg_clk_ctrl1[1] ,
+    \cfg_clk_ctrl1[0] }),
+    .cfg_clk_ctrl2({\cfg_clk_ctrl2[31] ,
+    \cfg_clk_ctrl2[30] ,
+    \cfg_clk_ctrl2[29] ,
+    \cfg_clk_ctrl2[28] ,
+    \cfg_clk_ctrl2[27] ,
+    \cfg_clk_ctrl2[26] ,
+    \cfg_clk_ctrl2[25] ,
+    \cfg_clk_ctrl2[24] ,
+    \cfg_clk_ctrl2[23] ,
+    \cfg_clk_ctrl2[22] ,
+    \cfg_clk_ctrl2[21] ,
+    \cfg_clk_ctrl2[20] ,
+    \cfg_clk_ctrl2[19] ,
+    \cfg_clk_ctrl2[18] ,
+    \cfg_clk_ctrl2[17] ,
+    \cfg_clk_ctrl2[16] ,
+    \cfg_clk_ctrl2[15] ,
+    \cfg_clk_ctrl2[14] ,
+    \cfg_clk_ctrl2[13] ,
+    \cfg_clk_ctrl2[12] ,
+    \cfg_clk_ctrl2[11] ,
+    \cfg_clk_ctrl2[10] ,
+    \cfg_clk_ctrl2[9] ,
+    \cfg_clk_ctrl2[8] ,
+    \cfg_clk_ctrl2[7] ,
+    \cfg_clk_ctrl2[6] ,
+    \cfg_clk_ctrl2[5] ,
+    \cfg_clk_ctrl2[4] ,
+    \cfg_clk_ctrl2[3] ,
+    \cfg_clk_ctrl2[2] ,
+    \cfg_clk_ctrl2[1] ,
+    \cfg_clk_ctrl2[0] }),
+    .cfg_glb_ctrl({\cfg_glb_ctrl[7] ,
+    \cfg_glb_ctrl[6] ,
+    \cfg_glb_ctrl[5] ,
+    \cfg_glb_ctrl[4] ,
+    \cfg_glb_ctrl[3] ,
+    \cfg_glb_ctrl[2] ,
+    \cfg_glb_ctrl[1] ,
+    \cfg_glb_ctrl[0] }),
     .la_data_in({la_data_in[127],
     la_data_in[126],
     la_data_in[125],
@@ -2258,104 +2288,104 @@
     wbs_sel_i[2],
     wbs_sel_i[1],
     wbs_sel_i[0]}),
-    .wbs_adr_o({\u_core.wbd_int_adr_i[31] ,
-    \u_core.wbd_int_adr_i[30] ,
-    \u_core.wbd_int_adr_i[29] ,
-    \u_core.wbd_int_adr_i[28] ,
-    \u_core.wbd_int_adr_i[27] ,
-    \u_core.wbd_int_adr_i[26] ,
-    \u_core.wbd_int_adr_i[25] ,
-    \u_core.wbd_int_adr_i[24] ,
-    \u_core.wbd_int_adr_i[23] ,
-    \u_core.wbd_int_adr_i[22] ,
-    \u_core.wbd_int_adr_i[21] ,
-    \u_core.wbd_int_adr_i[20] ,
-    \u_core.wbd_int_adr_i[19] ,
-    \u_core.wbd_int_adr_i[18] ,
-    \u_core.wbd_int_adr_i[17] ,
-    \u_core.wbd_int_adr_i[16] ,
-    \u_core.wbd_int_adr_i[15] ,
-    \u_core.wbd_int_adr_i[14] ,
-    \u_core.wbd_int_adr_i[13] ,
-    \u_core.wbd_int_adr_i[12] ,
-    \u_core.wbd_int_adr_i[11] ,
-    \u_core.wbd_int_adr_i[10] ,
-    \u_core.wbd_int_adr_i[9] ,
-    \u_core.wbd_int_adr_i[8] ,
-    \u_core.wbd_int_adr_i[7] ,
-    \u_core.wbd_int_adr_i[6] ,
-    \u_core.wbd_int_adr_i[5] ,
-    \u_core.wbd_int_adr_i[4] ,
-    \u_core.wbd_int_adr_i[3] ,
-    \u_core.wbd_int_adr_i[2] ,
-    \u_core.wbd_int_adr_i[1] ,
-    \u_core.wbd_int_adr_i[0] }),
-    .wbs_dat_i({\u_core.wbd_int_dat_o[31] ,
-    \u_core.wbd_int_dat_o[30] ,
-    \u_core.wbd_int_dat_o[29] ,
-    \u_core.wbd_int_dat_o[28] ,
-    \u_core.wbd_int_dat_o[27] ,
-    \u_core.wbd_int_dat_o[26] ,
-    \u_core.wbd_int_dat_o[25] ,
-    \u_core.wbd_int_dat_o[24] ,
-    \u_core.wbd_int_dat_o[23] ,
-    \u_core.wbd_int_dat_o[22] ,
-    \u_core.wbd_int_dat_o[21] ,
-    \u_core.wbd_int_dat_o[20] ,
-    \u_core.wbd_int_dat_o[19] ,
-    \u_core.wbd_int_dat_o[18] ,
-    \u_core.wbd_int_dat_o[17] ,
-    \u_core.wbd_int_dat_o[16] ,
-    \u_core.wbd_int_dat_o[15] ,
-    \u_core.wbd_int_dat_o[14] ,
-    \u_core.wbd_int_dat_o[13] ,
-    \u_core.wbd_int_dat_o[12] ,
-    \u_core.wbd_int_dat_o[11] ,
-    \u_core.wbd_int_dat_o[10] ,
-    \u_core.wbd_int_dat_o[9] ,
-    \u_core.wbd_int_dat_o[8] ,
-    \u_core.wbd_int_dat_o[7] ,
-    \u_core.wbd_int_dat_o[6] ,
-    \u_core.wbd_int_dat_o[5] ,
-    \u_core.wbd_int_dat_o[4] ,
-    \u_core.wbd_int_dat_o[3] ,
-    \u_core.wbd_int_dat_o[2] ,
-    \u_core.wbd_int_dat_o[1] ,
-    \u_core.wbd_int_dat_o[0] }),
-    .wbs_dat_o({\u_core.wbd_int_dat_i[31] ,
-    \u_core.wbd_int_dat_i[30] ,
-    \u_core.wbd_int_dat_i[29] ,
-    \u_core.wbd_int_dat_i[28] ,
-    \u_core.wbd_int_dat_i[27] ,
-    \u_core.wbd_int_dat_i[26] ,
-    \u_core.wbd_int_dat_i[25] ,
-    \u_core.wbd_int_dat_i[24] ,
-    \u_core.wbd_int_dat_i[23] ,
-    \u_core.wbd_int_dat_i[22] ,
-    \u_core.wbd_int_dat_i[21] ,
-    \u_core.wbd_int_dat_i[20] ,
-    \u_core.wbd_int_dat_i[19] ,
-    \u_core.wbd_int_dat_i[18] ,
-    \u_core.wbd_int_dat_i[17] ,
-    \u_core.wbd_int_dat_i[16] ,
-    \u_core.wbd_int_dat_i[15] ,
-    \u_core.wbd_int_dat_i[14] ,
-    \u_core.wbd_int_dat_i[13] ,
-    \u_core.wbd_int_dat_i[12] ,
-    \u_core.wbd_int_dat_i[11] ,
-    \u_core.wbd_int_dat_i[10] ,
-    \u_core.wbd_int_dat_i[9] ,
-    \u_core.wbd_int_dat_i[8] ,
-    \u_core.wbd_int_dat_i[7] ,
-    \u_core.wbd_int_dat_i[6] ,
-    \u_core.wbd_int_dat_i[5] ,
-    \u_core.wbd_int_dat_i[4] ,
-    \u_core.wbd_int_dat_i[3] ,
-    \u_core.wbd_int_dat_i[2] ,
-    \u_core.wbd_int_dat_i[1] ,
-    \u_core.wbd_int_dat_i[0] }),
-    .wbs_sel_o({\u_core.wbd_int_sel_i[3] ,
-    \u_core.wbd_int_sel_i[2] ,
-    \u_core.wbd_int_sel_i[1] ,
-    \u_core.wbd_int_sel_i[0] }));
+    .wbs_adr_o({\wbd_int_adr_i[31] ,
+    \wbd_int_adr_i[30] ,
+    \wbd_int_adr_i[29] ,
+    \wbd_int_adr_i[28] ,
+    \wbd_int_adr_i[27] ,
+    \wbd_int_adr_i[26] ,
+    \wbd_int_adr_i[25] ,
+    \wbd_int_adr_i[24] ,
+    \wbd_int_adr_i[23] ,
+    \wbd_int_adr_i[22] ,
+    \wbd_int_adr_i[21] ,
+    \wbd_int_adr_i[20] ,
+    \wbd_int_adr_i[19] ,
+    \wbd_int_adr_i[18] ,
+    \wbd_int_adr_i[17] ,
+    \wbd_int_adr_i[16] ,
+    \wbd_int_adr_i[15] ,
+    \wbd_int_adr_i[14] ,
+    \wbd_int_adr_i[13] ,
+    \wbd_int_adr_i[12] ,
+    \wbd_int_adr_i[11] ,
+    \wbd_int_adr_i[10] ,
+    \wbd_int_adr_i[9] ,
+    \wbd_int_adr_i[8] ,
+    \wbd_int_adr_i[7] ,
+    \wbd_int_adr_i[6] ,
+    \wbd_int_adr_i[5] ,
+    \wbd_int_adr_i[4] ,
+    \wbd_int_adr_i[3] ,
+    \wbd_int_adr_i[2] ,
+    \wbd_int_adr_i[1] ,
+    \wbd_int_adr_i[0] }),
+    .wbs_dat_i({\wbd_int_dat_o[31] ,
+    \wbd_int_dat_o[30] ,
+    \wbd_int_dat_o[29] ,
+    \wbd_int_dat_o[28] ,
+    \wbd_int_dat_o[27] ,
+    \wbd_int_dat_o[26] ,
+    \wbd_int_dat_o[25] ,
+    \wbd_int_dat_o[24] ,
+    \wbd_int_dat_o[23] ,
+    \wbd_int_dat_o[22] ,
+    \wbd_int_dat_o[21] ,
+    \wbd_int_dat_o[20] ,
+    \wbd_int_dat_o[19] ,
+    \wbd_int_dat_o[18] ,
+    \wbd_int_dat_o[17] ,
+    \wbd_int_dat_o[16] ,
+    \wbd_int_dat_o[15] ,
+    \wbd_int_dat_o[14] ,
+    \wbd_int_dat_o[13] ,
+    \wbd_int_dat_o[12] ,
+    \wbd_int_dat_o[11] ,
+    \wbd_int_dat_o[10] ,
+    \wbd_int_dat_o[9] ,
+    \wbd_int_dat_o[8] ,
+    \wbd_int_dat_o[7] ,
+    \wbd_int_dat_o[6] ,
+    \wbd_int_dat_o[5] ,
+    \wbd_int_dat_o[4] ,
+    \wbd_int_dat_o[3] ,
+    \wbd_int_dat_o[2] ,
+    \wbd_int_dat_o[1] ,
+    \wbd_int_dat_o[0] }),
+    .wbs_dat_o({\wbd_int_dat_i[31] ,
+    \wbd_int_dat_i[30] ,
+    \wbd_int_dat_i[29] ,
+    \wbd_int_dat_i[28] ,
+    \wbd_int_dat_i[27] ,
+    \wbd_int_dat_i[26] ,
+    \wbd_int_dat_i[25] ,
+    \wbd_int_dat_i[24] ,
+    \wbd_int_dat_i[23] ,
+    \wbd_int_dat_i[22] ,
+    \wbd_int_dat_i[21] ,
+    \wbd_int_dat_i[20] ,
+    \wbd_int_dat_i[19] ,
+    \wbd_int_dat_i[18] ,
+    \wbd_int_dat_i[17] ,
+    \wbd_int_dat_i[16] ,
+    \wbd_int_dat_i[15] ,
+    \wbd_int_dat_i[14] ,
+    \wbd_int_dat_i[13] ,
+    \wbd_int_dat_i[12] ,
+    \wbd_int_dat_i[11] ,
+    \wbd_int_dat_i[10] ,
+    \wbd_int_dat_i[9] ,
+    \wbd_int_dat_i[8] ,
+    \wbd_int_dat_i[7] ,
+    \wbd_int_dat_i[6] ,
+    \wbd_int_dat_i[5] ,
+    \wbd_int_dat_i[4] ,
+    \wbd_int_dat_i[3] ,
+    \wbd_int_dat_i[2] ,
+    \wbd_int_dat_i[1] ,
+    \wbd_int_dat_i[0] }),
+    .wbs_sel_o({\wbd_int_sel_i[3] ,
+    \wbd_int_sel_i[2] ,
+    \wbd_int_sel_i[1] ,
+    \wbd_int_sel_i[0] }));
 endmodule
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index 1872804..67172e1 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -59,167 +59,220 @@
  output [31:0] wbs_dat_o;
  output [3:0] wbs_sel_o;
 
- sky130_fd_sc_hd__inv_2 _2334_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
-    .Y(_0646_),
+ sky130_fd_sc_hd__inv_2 _2299_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+    .Y(_0639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2335_ (.A(wbm_adr_i[3]),
-    .Y(_0647_),
+ sky130_fd_sc_hd__inv_2 _2300_ (.A(wbm_adr_i[3]),
+    .Y(_0640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2336_ (.A(_0647_),
-    .X(_0648_),
+ sky130_fd_sc_hd__buf_2 _2301_ (.A(_0640_),
+    .X(_0641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2337_ (.A(wbm_adr_i[2]),
-    .Y(_0649_),
+ sky130_fd_sc_hd__inv_2 _2302_ (.A(wbm_adr_i[2]),
+    .Y(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2338_ (.A(_0649_),
-    .X(_0650_),
+ sky130_fd_sc_hd__buf_2 _2303_ (.A(_0642_),
+    .X(_0643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2339_ (.A(wbm_we_i),
-    .X(_0651_),
+ sky130_fd_sc_hd__buf_2 _2304_ (.A(wbm_we_i),
+    .X(_0644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2340_ (.A(wbm_adr_i[23]),
+ sky130_fd_sc_hd__and2_4 _2305_ (.A(wbm_adr_i[23]),
     .B(wbm_stb_i),
-    .X(_0652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2341_ (.A(_0648_),
-    .B(_0650_),
-    .C(_0651_),
-    .D(_0652_),
-    .X(_0653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2342_ (.A(_0653_),
-    .X(_0654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2343_ (.A(_0654_),
-    .X(_0655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2344_ (.A(wbm_dat_i[9]),
-    .X(_0656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2345_ (.A1_N(_0646_),
-    .A2_N(_0655_),
-    .B1(_0656_),
-    .B2(_0655_),
     .X(_0645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2346_ (.A(wbm_rst_i),
+ sky130_fd_sc_hd__and4_4 _2306_ (.A(_0641_),
+    .B(_0643_),
+    .C(_0644_),
+    .D(_0645_),
+    .X(_0646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2307_ (.A(_0646_),
+    .X(_0647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2308_ (.A(_0647_),
+    .X(_0648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2309_ (.A(wbm_dat_i[9]),
+    .X(_0649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2310_ (.A1_N(_0639_),
+    .A2_N(_0648_),
+    .B1(_0649_),
+    .B2(_0648_),
+    .X(_0638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2311_ (.A(wbm_rst_i),
+    .Y(_0650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2312_ (.A(_0650_),
+    .X(_0651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2313_ (.A(_0651_),
+    .X(_0652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2314_ (.A(_0652_),
+    .X(_0160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2315_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .X(_0653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2316_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .Y(_0654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2317_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .X(_0655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2318_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .Y(_0656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2319_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
     .Y(_0657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2347_ (.A(_0657_),
+ sky130_fd_sc_hd__a21o_4 _2320_ (.A1(_0657_),
+    .A2(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .B1(_0654_),
     .X(_0658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2348_ (.A(_0658_),
+ sky130_fd_sc_hd__buf_2 _2321_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
     .X(_0659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2349_ (.A(_0659_),
-    .X(_0159_),
+ sky130_fd_sc_hd__inv_2 _2322_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .Y(_0660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2350_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .X(_0660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2351_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+ sky130_fd_sc_hd__inv_2 _2323_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
     .Y(_0661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2352_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+ sky130_fd_sc_hd__o22a_4 _2324_ (.A1(_0659_),
+    .A2(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .B1(_0660_),
+    .B2(_0661_),
     .X(_0662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2353_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
-    .Y(_0663_),
+ sky130_fd_sc_hd__a32o_4 _2325_ (.A1(_0653_),
+    .A2(_0655_),
+    .A3(_0656_),
+    .B1(_0658_),
+    .B2(_0662_),
+    .X(_0663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2354_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .Y(_0664_),
+ sky130_fd_sc_hd__buf_2 _2326_ (.A(_0657_),
+    .X(_0664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _2355_ (.A1(_0664_),
-    .A2(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
-    .B1(_0661_),
-    .X(_0665_),
+ sky130_fd_sc_hd__inv_2 _2327_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .Y(_0665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2356_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__o22a_4 _2328_ (.A1(_0659_),
+    .A2(_0665_),
+    .B1(_0660_),
+    .B2(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
     .X(_0666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2357_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__inv_2 _2329_ (.A(_0666_),
     .Y(_0667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2358_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .Y(_0668_),
+ sky130_fd_sc_hd__or2_4 _2330_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .B(_0655_),
+    .X(_0668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2359_ (.A1(_0666_),
-    .A2(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+ sky130_fd_sc_hd__o22a_4 _2331_ (.A1(_0664_),
+    .A2(_0666_),
     .B1(_0667_),
     .B2(_0668_),
     .X(_0669_),
@@ -227,456 +280,458 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2360_ (.A1(_0660_),
-    .A2(_0662_),
-    .A3(_0663_),
-    .B1(_0665_),
-    .B2(_0669_),
-    .X(_0670_),
+ sky130_fd_sc_hd__inv_2 _2332_ (.A(wbm_stb_i),
+    .Y(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2361_ (.A(_0664_),
+ sky130_fd_sc_hd__a2111o_4 _2333_ (.A1(_0663_),
+    .A2(_0669_),
+    .B1(\u_async_wb.PendingRd ),
+    .C1(wbm_adr_i[23]),
+    .D1(_0670_),
     .X(_0671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2362_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
-    .Y(_0672_),
+ sky130_fd_sc_hd__or2_4 _2334_ (.A(_0654_),
+    .B(_0671_),
+    .X(_0672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2363_ (.A1(_0666_),
-    .A2(_0672_),
-    .B1(_0667_),
-    .B2(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__or2_4 _2335_ (.A(_0653_),
+    .B(_0672_),
     .X(_0673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2364_ (.A(_0673_),
+ sky130_fd_sc_hd__inv_2 _2336_ (.A(_0673_),
     .Y(_0674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2365_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .B(_0662_),
+ sky130_fd_sc_hd__buf_2 _2337_ (.A(_0674_),
     .X(_0675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2366_ (.A1(_0671_),
-    .A2(_0673_),
-    .B1(_0674_),
-    .B2(_0675_),
+ sky130_fd_sc_hd__buf_2 _2338_ (.A(_0675_),
     .X(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2367_ (.A(wbm_stb_i),
-    .Y(_0677_),
+ sky130_fd_sc_hd__buf_2 _2339_ (.A(_0676_),
+    .X(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _2368_ (.A1(_0670_),
-    .A2(_0676_),
-    .B1(\u_async_wb.PendingRd ),
-    .C1(wbm_adr_i[23]),
-    .D1(_0677_),
+ sky130_fd_sc_hd__a21o_4 _2340_ (.A1(_0653_),
+    .A2(_0672_),
+    .B1(_0677_),
+    .X(_0637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2341_ (.A(_0160_),
+    .X(_0159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2342_ (.A(_0671_),
     .X(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2369_ (.A(_0661_),
-    .B(_0678_),
+ sky130_fd_sc_hd__buf_2 _2343_ (.A(_0678_),
     .X(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2370_ (.A(_0660_),
-    .B(_0679_),
-    .X(_0680_),
+ sky130_fd_sc_hd__inv_2 _2344_ (.A(_0679_),
+    .Y(_0680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2371_ (.A(_0680_),
-    .Y(_0681_),
+ sky130_fd_sc_hd__o21a_4 _2345_ (.A1(_0655_),
+    .A2(_0680_),
+    .B1(_0672_),
+    .X(_0636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2372_ (.A(_0681_),
-    .X(_0682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2373_ (.A(_0682_),
-    .X(_0683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2374_ (.A(_0683_),
-    .X(_0684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _2375_ (.A1(_0660_),
-    .A2(_0679_),
-    .B1(_0684_),
-    .X(_0644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2376_ (.A(_0159_),
+ sky130_fd_sc_hd__buf_2 _2346_ (.A(_0160_),
     .X(_0158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2377_ (.A(_0678_),
+ sky130_fd_sc_hd__buf_2 _2347_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_0681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2348_ (.A(_0681_),
+    .X(_0682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2349_ (.A(_0682_),
+    .X(_0683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2350_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .X(_0684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2351_ (.A(_0684_),
     .X(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2378_ (.A(_0685_),
-    .Y(_0686_),
+ sky130_fd_sc_hd__buf_2 _2352_ (.A(_0685_),
+    .X(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2379_ (.A1(_0662_),
-    .A2(_0686_),
-    .B1(_0679_),
-    .X(_0643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2380_ (.A(_0159_),
-    .X(_0157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2381_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__and2_4 _2353_ (.A(wbs_ack_i),
+    .B(_0686_),
     .X(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2382_ (.A(_0687_),
+ sky130_fd_sc_hd__and2_4 _2354_ (.A(_0683_),
+    .B(_0687_),
     .X(_0688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2383_ (.A(_0688_),
-    .X(_0689_),
+ sky130_fd_sc_hd__inv_2 _2355_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .Y(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2384_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .X(_0690_),
+ sky130_fd_sc_hd__inv_2 _2356_ (.A(_0688_),
+    .Y(_0690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2385_ (.A(_0690_),
+ sky130_fd_sc_hd__o22a_4 _2357_ (.A1(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .A2(_0688_),
+    .B1(_0689_),
+    .B2(_0690_),
+    .X(_0635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2358_ (.A(_0160_),
+    .X(_0157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2359_ (.A(_0681_),
     .X(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2386_ (.A(_0691_),
+ sky130_fd_sc_hd__buf_2 _2360_ (.A(_0691_),
     .X(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2387_ (.A(_0692_),
+ sky130_fd_sc_hd__o21a_4 _2361_ (.A1(_0692_),
+    .A2(_0687_),
+    .B1(_0690_),
+    .X(_0634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2362_ (.A(_0651_),
     .X(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2388_ (.A(wbs_ack_i),
-    .B(_0693_),
+ sky130_fd_sc_hd__buf_2 _2363_ (.A(_0693_),
     .X(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2389_ (.A(_0689_),
-    .B(_0694_),
-    .X(_0695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2390_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .Y(_0696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2391_ (.A(_0695_),
-    .Y(_0697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2392_ (.A1(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .A2(_0695_),
-    .B1(_0696_),
-    .B2(_0697_),
-    .X(_0642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2393_ (.A(_0159_),
+ sky130_fd_sc_hd__buf_2 _2364_ (.A(_0694_),
     .X(_0156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2394_ (.A(_0688_),
+ sky130_fd_sc_hd__inv_2 _2365_ (.A(wbs_ack_i),
+    .Y(_0695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2366_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .Y(_0696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2367_ (.A(_0696_),
+    .X(_0697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2368_ (.A(_0697_),
     .X(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2395_ (.A1(_0698_),
-    .A2(_0694_),
-    .B1(_0697_),
-    .X(_0641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2396_ (.A(_0659_),
+ sky130_fd_sc_hd__buf_2 _2369_ (.A(_0698_),
     .X(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2397_ (.A(_0699_),
+ sky130_fd_sc_hd__a21oi_4 _2370_ (.A1(_0695_),
+    .A2(_0699_),
+    .B1(_0687_),
+    .Y(_0633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2371_ (.A(_0694_),
     .X(_0155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2398_ (.A(wbs_ack_i),
-    .Y(_0700_),
+ sky130_fd_sc_hd__buf_2 _2372_ (.A(_0686_),
+    .X(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2399_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .Y(_0701_),
+ sky130_fd_sc_hd__or3_4 _2373_ (.A(_0683_),
+    .B(_0700_),
+    .C(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .X(_0701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2400_ (.A(_0701_),
-    .X(_0702_),
+ sky130_fd_sc_hd__inv_2 _2374_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .Y(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2401_ (.A(_0702_),
+ sky130_fd_sc_hd__buf_2 _2375_ (.A(_0702_),
     .X(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2402_ (.A(_0703_),
+ sky130_fd_sc_hd__buf_2 _2376_ (.A(_0703_),
     .X(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2403_ (.A(_0704_),
+ sky130_fd_sc_hd__a21o_4 _2377_ (.A1(_0704_),
+    .A2(_0699_),
+    .B1(_0689_),
     .X(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2404_ (.A(_0705_),
-    .X(_0706_),
+ sky130_fd_sc_hd__a32o_4 _2378_ (.A1(wbs_ack_i),
+    .A2(_0701_),
+    .A3(_0705_),
+    .B1(_0695_),
+    .B2(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+    .X(_0632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _2405_ (.A1(_0700_),
-    .A2(_0706_),
-    .B1(_0694_),
-    .Y(_0640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2406_ (.A(_0699_),
+ sky130_fd_sc_hd__buf_2 _2379_ (.A(_0694_),
     .X(_0154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2407_ (.A(_0692_),
+ sky130_fd_sc_hd__buf_2 _2380_ (.A(_0703_),
+    .X(_0706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2381_ (.A(_0706_),
     .X(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2408_ (.A(_0707_),
-    .X(_0708_),
+ sky130_fd_sc_hd__o22a_4 _2382_ (.A1(_0695_),
+    .A2(_0707_),
+    .B1(wbs_ack_i),
+    .B2(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+    .X(_0631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2409_ (.A(_0689_),
-    .B(_0708_),
-    .C(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .X(_0709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2410_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .Y(_0710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2411_ (.A(_0710_),
-    .X(_0711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2412_ (.A(_0711_),
-    .X(_0712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _2413_ (.A1(_0712_),
-    .A2(_0706_),
-    .B1(_0696_),
-    .X(_0713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2414_ (.A1(wbs_ack_i),
-    .A2(_0709_),
-    .A3(_0713_),
-    .B1(_0700_),
-    .B2(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .X(_0639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2415_ (.A(_0699_),
+ sky130_fd_sc_hd__buf_2 _2383_ (.A(_0694_),
     .X(_0153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2416_ (.A(_0711_),
+ sky130_fd_sc_hd__inv_2 _2384_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
+    .Y(_0708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2385_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .Y(_0709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2386_ (.A1(_0702_),
+    .A2(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .B1(_0681_),
+    .B2(_0709_),
+    .X(_0710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2387_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .B(_0710_),
+    .X(_0711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _2388_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .B(_0710_),
+    .Y(_0712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2389_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+    .Y(_0713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2390_ (.A1(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+    .A2(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .B1(_0713_),
+    .B2(_0709_),
     .X(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2417_ (.A(_0714_),
+ sky130_fd_sc_hd__a2bb2o_4 _2391_ (.A1_N(_0696_),
+    .A2_N(_0714_),
+    .B1(_0696_),
+    .B2(_0714_),
     .X(_0715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2418_ (.A(_0715_),
-    .X(_0716_),
+ sky130_fd_sc_hd__inv_2 _2392_ (.A(_0715_),
+    .Y(_0716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2419_ (.A1(_0700_),
-    .A2(_0716_),
-    .B1(wbs_ack_i),
-    .B2(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .X(_0638_),
+ sky130_fd_sc_hd__and2_4 _2393_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .B(_0716_),
+    .X(_0717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2420_ (.A(_0699_),
-    .X(_0152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2421_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
-    .Y(_0717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2422_ (.A(_0690_),
-    .B(\u_async_wb.u_cmd_if.mem[2][69] ),
+ sky130_fd_sc_hd__and2_4 _2394_ (.A(_0689_),
+    .B(_0715_),
     .X(_0718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2423_ (.A(_0703_),
-    .B(\u_async_wb.u_cmd_if.mem[3][69] ),
+ sky130_fd_sc_hd__or4_4 _2395_ (.A(_0711_),
+    .B(_0712_),
+    .C(_0717_),
+    .D(_0718_),
     .X(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2424_ (.A(_0687_),
-    .B(_0718_),
-    .C(_0719_),
-    .X(_0720_),
+ sky130_fd_sc_hd__inv_2 _2396_ (.A(_0719_),
+    .Y(_0720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2425_ (.A(_0690_),
-    .B(\u_async_wb.u_cmd_if.mem[0][69] ),
-    .X(_0721_),
+ sky130_fd_sc_hd__inv_2 _2397_ (.A(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .Y(_0721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2426_ (.A(_0703_),
-    .B(\u_async_wb.u_cmd_if.mem[1][69] ),
+ sky130_fd_sc_hd__a2bb2o_4 _2398_ (.A1_N(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .A2_N(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .B1(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B2(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .X(_0722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2427_ (.A(_0711_),
+ sky130_fd_sc_hd__or3_4 _2399_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .B(_0721_),
     .C(_0722_),
     .X(_0723_),
@@ -684,12858 +739,12825 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2428_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__inv_2 _2400_ (.A(_0723_),
     .Y(_0724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2429_ (.A1(_0710_),
-    .A2(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .B1(\u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .B2(_0724_),
+ sky130_fd_sc_hd__and3_4 _2401_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
+    .B(_0721_),
+    .C(_0722_),
     .X(_0725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2430_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B(_0725_),
+ sky130_fd_sc_hd__or2_4 _2402_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .B(\u_async_wb.u_cmd_if.mem[2][36] ),
     .X(_0726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2431_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .B(_0725_),
-    .Y(_0727_),
+ sky130_fd_sc_hd__or2_4 _2403_ (.A(_0696_),
+    .B(\u_async_wb.u_cmd_if.mem[3][36] ),
+    .X(_0727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2432_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
-    .Y(_0728_),
+ sky130_fd_sc_hd__and3_4 _2404_ (.A(_0681_),
+    .B(_0726_),
+    .C(_0727_),
+    .X(_0728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2433_ (.A1(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
-    .A2(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .B1(_0728_),
-    .B2(_0724_),
+ sky130_fd_sc_hd__or2_4 _2405_ (.A(_0684_),
+    .B(\u_async_wb.u_cmd_if.mem[0][36] ),
     .X(_0729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2434_ (.A1_N(_0702_),
-    .A2_N(_0729_),
-    .B1(_0701_),
-    .B2(_0729_),
+ sky130_fd_sc_hd__or2_4 _2406_ (.A(_0697_),
+    .B(\u_async_wb.u_cmd_if.mem[1][36] ),
     .X(_0730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2435_ (.A(_0730_),
-    .Y(_0731_),
+ sky130_fd_sc_hd__and3_4 _2407_ (.A(_0702_),
+    .B(_0729_),
+    .C(_0730_),
+    .X(_0731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2436_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .B(_0731_),
-    .X(_0732_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2437_ (.A(_0696_),
-    .B(_0730_),
-    .X(_0733_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2438_ (.A(_0726_),
-    .B(_0727_),
-    .C(_0732_),
-    .D(_0733_),
-    .X(_0734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2439_ (.A(_0734_),
-    .X(_0735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2440_ (.A1(_0720_),
-    .A2(_0723_),
-    .B1(_0735_),
-    .X(wbs_stb_o),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2441_ (.A(wbs_stb_o),
-    .Y(_0736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2442_ (.A(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
-    .Y(_0737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2443_ (.A1_N(\u_async_wb.u_resp_if.wr_ptr[0] ),
-    .A2_N(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .B1(\u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B2(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .X(_0738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2444_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B(_0737_),
-    .C(_0738_),
-    .X(_0739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2445_ (.A(_0739_),
-    .Y(_0740_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2446_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B(_0737_),
-    .C(_0738_),
-    .X(_0741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2447_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .B(\u_async_wb.u_cmd_if.mem[2][36] ),
-    .X(_0742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2448_ (.A(_0702_),
-    .B(\u_async_wb.u_cmd_if.mem[3][36] ),
-    .X(_0743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2449_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .B(_0742_),
-    .C(_0743_),
-    .X(_0744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2450_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .B(\u_async_wb.u_cmd_if.mem[0][36] ),
-    .X(_0745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2451_ (.A(_0702_),
-    .B(\u_async_wb.u_cmd_if.mem[1][36] ),
-    .X(_0746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2452_ (.A(_0711_),
-    .B(_0745_),
-    .C(_0746_),
-    .X(_0747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2453_ (.A1(_0744_),
-    .A2(_0747_),
-    .B1(_0734_),
+ sky130_fd_sc_hd__o21a_4 _2408_ (.A1(_0728_),
+    .A2(_0731_),
+    .B1(_0719_),
     .X(wbs_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2454_ (.A(_0700_),
-    .B(_0740_),
-    .C(_0741_),
+ sky130_fd_sc_hd__or4_4 _2409_ (.A(_0695_),
+    .B(_0724_),
+    .C(_0725_),
     .D(wbs_we_o),
-    .X(_0748_),
+    .X(_0732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2455_ (.A(_0736_),
-    .B(_0748_),
-    .X(_0749_),
+ sky130_fd_sc_hd__or3_4 _2410_ (.A(\u_async_wb.wbs_ack_f ),
+    .B(_0720_),
+    .C(_0732_),
+    .X(_0733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2456_ (.A(_0749_),
-    .Y(_0750_),
+ sky130_fd_sc_hd__inv_2 _2411_ (.A(_0733_),
+    .Y(_0734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2457_ (.A(_0750_),
-    .X(_0751_),
+ sky130_fd_sc_hd__buf_2 _2412_ (.A(_0734_),
+    .X(_0735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2458_ (.A(_0751_),
-    .X(_0752_),
+ sky130_fd_sc_hd__buf_2 _2413_ (.A(_0735_),
+    .X(_0736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2459_ (.A(_0749_),
-    .X(_0753_),
+ sky130_fd_sc_hd__buf_2 _2414_ (.A(_0733_),
+    .X(_0737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2460_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
-    .X(_0754_),
+ sky130_fd_sc_hd__buf_2 _2415_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .X(_0738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2461_ (.A(_0754_),
-    .X(_0755_),
+ sky130_fd_sc_hd__buf_2 _2416_ (.A(_0738_),
+    .X(_0739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2462_ (.A(_0754_),
-    .Y(_0756_),
+ sky130_fd_sc_hd__inv_2 _2417_ (.A(_0738_),
+    .Y(_0740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2463_ (.A(_0756_),
-    .X(_0757_),
+ sky130_fd_sc_hd__buf_2 _2418_ (.A(_0740_),
+    .X(_0741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2464_ (.A1(_0717_),
-    .A2(_0755_),
+ sky130_fd_sc_hd__o22a_4 _2419_ (.A1(_0708_),
+    .A2(_0739_),
     .B1(\u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B2(_0757_),
-    .X(_0758_),
+    .B2(_0741_),
+    .X(_0742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2465_ (.A(_0753_),
-    .B(_0758_),
-    .X(_0759_),
+ sky130_fd_sc_hd__or2_4 _2420_ (.A(_0737_),
+    .B(_0742_),
+    .X(_0743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _2466_ (.A1(_0717_),
-    .A2(_0752_),
-    .B1(_0759_),
-    .Y(_0637_),
+ sky130_fd_sc_hd__o21ai_4 _2421_ (.A1(_0708_),
+    .A2(_0736_),
+    .B1(_0743_),
+    .Y(_0630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2467_ (.A(_0658_),
-    .X(_0760_),
+ sky130_fd_sc_hd__buf_2 _2422_ (.A(_0693_),
+    .X(_0744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2468_ (.A(_0760_),
-    .X(_0761_),
+ sky130_fd_sc_hd__buf_2 _2423_ (.A(_0744_),
+    .X(_0152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2469_ (.A(_0761_),
-    .X(_0762_),
+ sky130_fd_sc_hd__buf_2 _2424_ (.A(_0739_),
+    .X(_0745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2470_ (.A(_0762_),
-    .X(_0151_),
+ sky130_fd_sc_hd__or2_4 _2425_ (.A(_0740_),
+    .B(_0733_),
+    .X(_0746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2471_ (.A(_0755_),
-    .X(_0763_),
+ sky130_fd_sc_hd__buf_2 _2426_ (.A(_0746_),
+    .X(_0747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2472_ (.A(_0756_),
-    .B(_0749_),
-    .X(_0764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2473_ (.A(_0764_),
-    .X(_0765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2474_ (.A1(_0763_),
-    .A2(_0752_),
-    .B1(_0765_),
-    .X(_0636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2475_ (.A(_0762_),
-    .X(_0150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2476_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
-    .Y(_0766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2477_ (.A(_0766_),
-    .X(_0767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2478_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_0768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2479_ (.A(_0768_),
-    .X(_0769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2480_ (.A(_0767_),
-    .B(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .Y(_0770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2481_ (.A(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .Y(_0771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2482_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .Y(_0772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2483_ (.A1(\u_async_wb.u_resp_if.rd_ptr[1] ),
-    .A2(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .B1(_0766_),
-    .B2(_0772_),
-    .X(_0773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2484_ (.A(_0773_),
-    .Y(_0774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2485_ (.A1(_0771_),
-    .A2(_0774_),
-    .B1(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .B2(_0773_),
-    .X(_0775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _2486_ (.A1(_0767_),
-    .A2(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .B1(_0770_),
-    .C1(_0775_),
-    .X(_0776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2487_ (.A(_0772_),
-    .X(_0777_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2488_ (.A(_0777_),
-    .X(_0778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2489_ (.A(_0776_),
-    .Y(_0779_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2490_ (.A(_0779_),
-    .X(_0780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2491_ (.A(_0778_),
-    .B(_0780_),
-    .X(_0781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2492_ (.A1(_0767_),
-    .A2(_0769_),
-    .A3(_0776_),
-    .B1(\u_async_wb.u_resp_if.rd_ptr[1] ),
-    .B2(_0781_),
-    .X(_0635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2493_ (.A(_0762_),
-    .X(_0149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _2494_ (.A1(_0769_),
-    .A2(_0776_),
-    .B1(_0781_),
-    .X(_0634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2495_ (.A(_0762_),
-    .X(_0148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2496_ (.A1_N(_0774_),
-    .A2_N(_0780_),
-    .B1(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .B2(_0780_),
-    .X(_0633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2497_ (.A(_0761_),
-    .X(_0782_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2498_ (.A(_0782_),
-    .X(_0147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2499_ (.A1(_0767_),
-    .A2(_0780_),
-    .B1(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .B2(_0776_),
-    .X(_0632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2500_ (.A(_0782_),
-    .X(_0146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _2501_ (.A(_0671_),
-    .B(_0661_),
-    .C(_0678_),
-    .X(_0783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2502_ (.A(_0783_),
-    .Y(_0784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2503_ (.A(_0784_),
-    .X(_0785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2504_ (.A(_0785_),
-    .X(_0786_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2505_ (.A1(_0666_),
-    .A2(_0786_),
-    .B1(_0667_),
-    .B2(_0783_),
-    .X(_0631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2506_ (.A(_0782_),
-    .X(_0145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2507_ (.A(_0685_),
-    .X(_0787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2508_ (.A1(_0671_),
-    .A2(_0661_),
-    .A3(_0667_),
-    .B1(_0666_),
-    .B2(_0675_),
-    .X(_0788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2509_ (.A1_N(_0787_),
-    .A2_N(_0788_),
-    .B1(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .B2(_0787_),
-    .X(_0630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2510_ (.A(_0782_),
-    .X(_0144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2511_ (.A1_N(_0660_),
-    .A2_N(_0787_),
-    .B1(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .B2(_0787_),
+ sky130_fd_sc_hd__o21a_4 _2427_ (.A1(_0745_),
+    .A2(_0736_),
+    .B1(_0747_),
     .X(_0629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2512_ (.A(_0761_),
-    .X(_0789_),
+ sky130_fd_sc_hd__buf_2 _2428_ (.A(_0744_),
+    .X(_0151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2513_ (.A(_0789_),
-    .X(_0143_),
+ sky130_fd_sc_hd__inv_2 _2429_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
+    .Y(_0748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2514_ (.A(_0651_),
-    .X(_0790_),
+ sky130_fd_sc_hd__buf_2 _2430_ (.A(_0748_),
+    .X(_0749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2515_ (.A(wbm_adr_i[23]),
-    .B(_0790_),
-    .C(_0677_),
-    .D(_0779_),
-    .X(_0791_),
+ sky130_fd_sc_hd__buf_2 _2431_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_0750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _2516_ (.A(wbm_adr_i[23]),
-    .B(_0790_),
-    .C(_0677_),
-    .D(\u_async_wb.PendingRd ),
-    .X(_0792_),
+ sky130_fd_sc_hd__buf_2 _2432_ (.A(_0750_),
+    .X(_0751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _2517_ (.A1(\u_async_wb.PendingRd ),
-    .A2(_0791_),
-    .B1_N(_0792_),
+ sky130_fd_sc_hd__nor2_4 _2433_ (.A(_0749_),
+    .B(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+    .Y(_0752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2434_ (.A(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .Y(_0753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2435_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .Y(_0754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2436_ (.A1(\u_async_wb.u_resp_if.rd_ptr[1] ),
+    .A2(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .B1(_0748_),
+    .B2(_0754_),
+    .X(_0755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2437_ (.A(_0755_),
+    .Y(_0756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2438_ (.A1(_0753_),
+    .A2(_0756_),
+    .B1(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .B2(_0755_),
+    .X(_0757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _2439_ (.A1(_0749_),
+    .A2(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+    .B1(_0752_),
+    .C1(_0757_),
+    .X(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2440_ (.A(_0754_),
+    .X(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2441_ (.A(_0759_),
+    .X(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2442_ (.A(_0758_),
+    .Y(_0761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2443_ (.A(_0761_),
+    .X(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2444_ (.A(_0760_),
+    .B(_0762_),
+    .X(_0763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _2445_ (.A1(_0749_),
+    .A2(_0751_),
+    .A3(_0758_),
+    .B1(\u_async_wb.u_resp_if.rd_ptr[1] ),
+    .B2(_0763_),
     .X(_0628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2518_ (.A(_0789_),
+ sky130_fd_sc_hd__buf_2 _2446_ (.A(_0744_),
+    .X(_0150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _2447_ (.A1(_0751_),
+    .A2(_0758_),
+    .B1(_0763_),
+    .X(_0627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2448_ (.A(_0744_),
+    .X(_0149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2449_ (.A1_N(_0756_),
+    .A2_N(_0762_),
+    .B1(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .B2(_0762_),
+    .X(_0626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2450_ (.A(_0693_),
+    .X(_0764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2451_ (.A(_0764_),
+    .X(_0148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2452_ (.A1(_0749_),
+    .A2(_0762_),
+    .B1(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .B2(_0758_),
+    .X(_0625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2453_ (.A(_0764_),
+    .X(_0147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _2454_ (.A(_0664_),
+    .B(_0654_),
+    .C(_0678_),
+    .X(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2455_ (.A(_0765_),
+    .Y(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2456_ (.A(_0766_),
+    .X(_0767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2457_ (.A(_0767_),
+    .X(_0768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2458_ (.A1(_0659_),
+    .A2(_0768_),
+    .B1(_0660_),
+    .B2(_0765_),
+    .X(_0624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2459_ (.A(_0764_),
+    .X(_0146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2460_ (.A(_0679_),
+    .X(_0769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _2461_ (.A1(_0664_),
+    .A2(_0654_),
+    .A3(_0660_),
+    .B1(_0659_),
+    .B2(_0668_),
+    .X(_0770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2462_ (.A1_N(_0769_),
+    .A2_N(_0770_),
+    .B1(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .B2(_0679_),
+    .X(_0623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2463_ (.A(_0764_),
+    .X(_0145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2464_ (.A1_N(_0653_),
+    .A2_N(_0769_),
+    .B1(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .B2(_0769_),
+    .X(_0622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2465_ (.A(_0693_),
+    .X(_0771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2466_ (.A(_0771_),
+    .X(_0144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2467_ (.A(_0644_),
+    .X(_0772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2468_ (.A(_0772_),
+    .X(_0773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _2469_ (.A(wbm_adr_i[23]),
+    .B(_0772_),
+    .C(_0670_),
+    .D(_0761_),
+    .X(_0774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2470_ (.A1_N(_0773_),
+    .A2_N(_0769_),
+    .B1(\u_async_wb.PendingRd ),
+    .B2(_0774_),
+    .X(_0621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2471_ (.A(_0771_),
+    .X(_0143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2472_ (.A(\reg_rdata[31] ),
+    .Y(_0775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2473_ (.A(wbm_we_i),
+    .Y(_0776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2474_ (.A(_0645_),
+    .Y(_0777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _2475_ (.A(reg_ack),
+    .B(_0777_),
+    .Y(_0778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2476_ (.A(_0776_),
+    .B(_0778_),
+    .X(_0779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2477_ (.A(_0779_),
+    .X(_0780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2478_ (.A(_0780_),
+    .X(_0781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2479_ (.A(_0779_),
+    .Y(_0782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2480_ (.A(_0782_),
+    .X(_0783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2481_ (.A(_0783_),
+    .X(_0784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2482_ (.A(cfg_clk_ctrl2[31]),
+    .Y(_0785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2483_ (.A(_0640_),
+    .B(_0642_),
+    .X(_0786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2484_ (.A(_0786_),
+    .X(_0787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2485_ (.A(_0787_),
+    .X(_0788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2486_ (.A(cfg_clk_ctrl1[31]),
+    .Y(_0789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2487_ (.A(wbm_adr_i[2]),
+    .X(_0790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2488_ (.A(_0640_),
+    .B(_0790_),
+    .X(_0791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2489_ (.A(_0791_),
+    .X(_0792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2490_ (.A(_0792_),
+    .X(_0793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2491_ (.A1(_0785_),
+    .A2(_0788_),
+    .B1(_0789_),
+    .B2(_0793_),
+    .X(_0794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2492_ (.A1(_0775_),
+    .A2(_0781_),
+    .B1(_0784_),
+    .B2(_0794_),
+    .X(_0795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2493_ (.A(_0795_),
+    .Y(_0620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2494_ (.A(_0771_),
     .X(_0142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2519_ (.A(\reg_rdata[31] ),
-    .Y(_0793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2520_ (.A(wbm_we_i),
-    .Y(_0794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2521_ (.A(_0652_),
-    .Y(_0795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _2522_ (.A(reg_ack),
-    .B(_0795_),
+ sky130_fd_sc_hd__inv_2 _2495_ (.A(\reg_rdata[30] ),
     .Y(_0796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2523_ (.A(_0794_),
-    .B(_0796_),
+ sky130_fd_sc_hd__buf_2 _2496_ (.A(_0783_),
     .X(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2524_ (.A(_0797_),
-    .X(_0798_),
+ sky130_fd_sc_hd__inv_2 _2497_ (.A(cfg_clk_ctrl2[30]),
+    .Y(_0798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2525_ (.A(_0798_),
-    .X(_0799_),
+ sky130_fd_sc_hd__inv_2 _2498_ (.A(cfg_clk_ctrl1[30]),
+    .Y(_0799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2526_ (.A(_0797_),
-    .Y(_0800_),
+ sky130_fd_sc_hd__o22a_4 _2499_ (.A1(_0798_),
+    .A2(_0788_),
+    .B1(_0799_),
+    .B2(_0793_),
+    .X(_0800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2527_ (.A(_0800_),
+ sky130_fd_sc_hd__o22a_4 _2500_ (.A1(_0796_),
+    .A2(_0781_),
+    .B1(_0797_),
+    .B2(_0800_),
     .X(_0801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2528_ (.A(_0801_),
-    .X(_0802_),
+ sky130_fd_sc_hd__inv_2 _2501_ (.A(_0801_),
+    .Y(_0619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2529_ (.A(cfg_clk_ctrl2[31]),
-    .Y(_0803_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2530_ (.A(_0647_),
-    .B(_0649_),
-    .X(_0804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2531_ (.A(_0804_),
-    .X(_0805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2532_ (.A(_0805_),
-    .X(_0806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2533_ (.A(cfg_clk_ctrl1[31]),
-    .Y(_0807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2534_ (.A(wbm_adr_i[2]),
-    .X(_0808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2535_ (.A(_0647_),
-    .B(_0808_),
-    .X(_0809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2536_ (.A(_0809_),
-    .X(_0810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2537_ (.A(_0810_),
-    .X(_0811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2538_ (.A1(_0803_),
-    .A2(_0806_),
-    .B1(_0807_),
-    .B2(_0811_),
-    .X(_0812_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2539_ (.A1(_0793_),
-    .A2(_0799_),
-    .B1(_0802_),
-    .B2(_0812_),
-    .X(_0813_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2540_ (.A(_0813_),
-    .Y(_0627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2541_ (.A(_0789_),
+ sky130_fd_sc_hd__buf_2 _2502_ (.A(_0771_),
     .X(_0141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2542_ (.A(\reg_rdata[30] ),
-    .Y(_0814_),
+ sky130_fd_sc_hd__inv_2 _2503_ (.A(\reg_rdata[29] ),
+    .Y(_0802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2543_ (.A(_0801_),
-    .X(_0815_),
+ sky130_fd_sc_hd__inv_2 _2504_ (.A(cfg_clk_ctrl2[29]),
+    .Y(_0803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2544_ (.A(cfg_clk_ctrl2[30]),
-    .Y(_0816_),
+ sky130_fd_sc_hd__inv_2 _2505_ (.A(cfg_clk_ctrl1[29]),
+    .Y(_0804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2545_ (.A(cfg_clk_ctrl1[30]),
-    .Y(_0817_),
+ sky130_fd_sc_hd__o22a_4 _2506_ (.A1(_0803_),
+    .A2(_0788_),
+    .B1(_0804_),
+    .B2(_0793_),
+    .X(_0805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2546_ (.A1(_0816_),
-    .A2(_0806_),
-    .B1(_0817_),
-    .B2(_0811_),
-    .X(_0818_),
+ sky130_fd_sc_hd__o22a_4 _2507_ (.A1(_0802_),
+    .A2(_0781_),
+    .B1(_0797_),
+    .B2(_0805_),
+    .X(_0806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2547_ (.A1(_0814_),
-    .A2(_0799_),
-    .B1(_0815_),
-    .B2(_0818_),
-    .X(_0819_),
+ sky130_fd_sc_hd__inv_2 _2508_ (.A(_0806_),
+    .Y(_0618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2548_ (.A(_0819_),
-    .Y(_0626_),
+ sky130_fd_sc_hd__buf_2 _2509_ (.A(_0650_),
+    .X(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2549_ (.A(_0789_),
+ sky130_fd_sc_hd__buf_2 _2510_ (.A(_0807_),
+    .X(_0808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2511_ (.A(_0808_),
+    .X(_0809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2512_ (.A(_0809_),
     .X(_0140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2550_ (.A(\reg_rdata[29] ),
-    .Y(_0820_),
+ sky130_fd_sc_hd__inv_2 _2513_ (.A(\reg_rdata[28] ),
+    .Y(_0810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2551_ (.A(cfg_clk_ctrl2[29]),
-    .Y(_0821_),
+ sky130_fd_sc_hd__inv_2 _2514_ (.A(cfg_clk_ctrl2[28]),
+    .Y(_0811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2552_ (.A(cfg_clk_ctrl1[29]),
-    .Y(_0822_),
+ sky130_fd_sc_hd__inv_2 _2515_ (.A(cfg_clk_ctrl1[28]),
+    .Y(_0812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2553_ (.A1(_0821_),
-    .A2(_0806_),
-    .B1(_0822_),
-    .B2(_0811_),
-    .X(_0823_),
+ sky130_fd_sc_hd__o22a_4 _2516_ (.A1(_0811_),
+    .A2(_0788_),
+    .B1(_0812_),
+    .B2(_0793_),
+    .X(_0813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2554_ (.A1(_0820_),
-    .A2(_0799_),
-    .B1(_0815_),
-    .B2(_0823_),
-    .X(_0824_),
+ sky130_fd_sc_hd__o22a_4 _2517_ (.A1(_0810_),
+    .A2(_0781_),
+    .B1(_0797_),
+    .B2(_0813_),
+    .X(_0814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2555_ (.A(_0824_),
-    .Y(_0625_),
+ sky130_fd_sc_hd__inv_2 _2518_ (.A(_0814_),
+    .Y(_0617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2556_ (.A(_0761_),
-    .X(_0825_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2557_ (.A(_0825_),
+ sky130_fd_sc_hd__buf_2 _2519_ (.A(_0809_),
     .X(_0139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2558_ (.A(\reg_rdata[28] ),
-    .Y(_0826_),
+ sky130_fd_sc_hd__inv_2 _2520_ (.A(\reg_rdata[27] ),
+    .Y(_0815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2559_ (.A(cfg_clk_ctrl2[28]),
+ sky130_fd_sc_hd__buf_2 _2521_ (.A(_0779_),
+    .X(_0816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2522_ (.A(_0816_),
+    .X(_0817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2523_ (.A(cfg_clk_ctrl2[27]),
+    .Y(_0818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2524_ (.A(_0787_),
+    .X(_0819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2525_ (.A(cfg_clk_ctrl1[27]),
+    .Y(_0820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2526_ (.A(_0792_),
+    .X(_0821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2527_ (.A1(_0818_),
+    .A2(_0819_),
+    .B1(_0820_),
+    .B2(_0821_),
+    .X(_0822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2528_ (.A1(_0815_),
+    .A2(_0817_),
+    .B1(_0797_),
+    .B2(_0822_),
+    .X(_0823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2529_ (.A(_0823_),
+    .Y(_0616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2530_ (.A(_0809_),
+    .X(_0138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2531_ (.A(\reg_rdata[26] ),
+    .Y(_0824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2532_ (.A(_0782_),
+    .X(_0825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2533_ (.A(_0825_),
+    .X(_0826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2534_ (.A(cfg_clk_ctrl2[26]),
     .Y(_0827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2560_ (.A(cfg_clk_ctrl1[28]),
+ sky130_fd_sc_hd__inv_2 _2535_ (.A(cfg_clk_ctrl1[26]),
     .Y(_0828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2561_ (.A1(_0827_),
-    .A2(_0806_),
+ sky130_fd_sc_hd__o22a_4 _2536_ (.A1(_0827_),
+    .A2(_0819_),
     .B1(_0828_),
-    .B2(_0811_),
+    .B2(_0821_),
     .X(_0829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2562_ (.A1(_0826_),
-    .A2(_0799_),
-    .B1(_0815_),
+ sky130_fd_sc_hd__o22a_4 _2537_ (.A1(_0824_),
+    .A2(_0817_),
+    .B1(_0826_),
     .B2(_0829_),
     .X(_0830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2563_ (.A(_0830_),
-    .Y(_0624_),
+ sky130_fd_sc_hd__inv_2 _2538_ (.A(_0830_),
+    .Y(_0615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2564_ (.A(_0825_),
-    .X(_0138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2565_ (.A(\reg_rdata[27] ),
-    .Y(_0831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2566_ (.A(_0797_),
-    .X(_0832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2567_ (.A(_0832_),
-    .X(_0833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2568_ (.A(cfg_clk_ctrl2[27]),
-    .Y(_0834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2569_ (.A(_0805_),
-    .X(_0835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2570_ (.A(cfg_clk_ctrl1[27]),
-    .Y(_0836_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2571_ (.A(_0810_),
-    .X(_0837_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2572_ (.A1(_0834_),
-    .A2(_0835_),
-    .B1(_0836_),
-    .B2(_0837_),
-    .X(_0838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2573_ (.A1(_0831_),
-    .A2(_0833_),
-    .B1(_0815_),
-    .B2(_0838_),
-    .X(_0839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2574_ (.A(_0839_),
-    .Y(_0623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2575_ (.A(_0825_),
+ sky130_fd_sc_hd__buf_2 _2539_ (.A(_0809_),
     .X(_0137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2576_ (.A(\reg_rdata[26] ),
-    .Y(_0840_),
+ sky130_fd_sc_hd__inv_2 _2540_ (.A(\reg_rdata[25] ),
+    .Y(_0831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2577_ (.A(_0800_),
-    .X(_0841_),
+ sky130_fd_sc_hd__inv_2 _2541_ (.A(cfg_clk_ctrl2[25]),
+    .Y(_0832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2578_ (.A(_0841_),
-    .X(_0842_),
+ sky130_fd_sc_hd__inv_2 _2542_ (.A(cfg_clk_ctrl1[25]),
+    .Y(_0833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2579_ (.A(cfg_clk_ctrl2[26]),
-    .Y(_0843_),
+ sky130_fd_sc_hd__o22a_4 _2543_ (.A1(_0832_),
+    .A2(_0819_),
+    .B1(_0833_),
+    .B2(_0821_),
+    .X(_0834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2580_ (.A(cfg_clk_ctrl1[26]),
-    .Y(_0844_),
+ sky130_fd_sc_hd__o22a_4 _2544_ (.A1(_0831_),
+    .A2(_0817_),
+    .B1(_0826_),
+    .B2(_0834_),
+    .X(_0835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2581_ (.A1(_0843_),
-    .A2(_0835_),
-    .B1(_0844_),
-    .B2(_0837_),
-    .X(_0845_),
+ sky130_fd_sc_hd__inv_2 _2545_ (.A(_0835_),
+    .Y(_0614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2582_ (.A1(_0840_),
-    .A2(_0833_),
-    .B1(_0842_),
-    .B2(_0845_),
-    .X(_0846_),
+ sky130_fd_sc_hd__buf_2 _2546_ (.A(_0808_),
+    .X(_0836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2583_ (.A(_0846_),
-    .Y(_0622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2584_ (.A(_0825_),
+ sky130_fd_sc_hd__buf_2 _2547_ (.A(_0836_),
     .X(_0136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2585_ (.A(\reg_rdata[25] ),
-    .Y(_0847_),
+ sky130_fd_sc_hd__inv_2 _2548_ (.A(\reg_rdata[24] ),
+    .Y(_0837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2586_ (.A(cfg_clk_ctrl2[25]),
-    .Y(_0848_),
+ sky130_fd_sc_hd__inv_2 _2549_ (.A(cfg_clk_ctrl2[24]),
+    .Y(_0838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2587_ (.A(cfg_clk_ctrl1[25]),
-    .Y(_0849_),
+ sky130_fd_sc_hd__inv_2 _2550_ (.A(cfg_clk_ctrl1[24]),
+    .Y(_0839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2588_ (.A1(_0848_),
-    .A2(_0835_),
-    .B1(_0849_),
-    .B2(_0837_),
-    .X(_0850_),
+ sky130_fd_sc_hd__o22a_4 _2551_ (.A1(_0838_),
+    .A2(_0819_),
+    .B1(_0839_),
+    .B2(_0821_),
+    .X(_0840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2589_ (.A1(_0847_),
-    .A2(_0833_),
-    .B1(_0842_),
-    .B2(_0850_),
-    .X(_0851_),
+ sky130_fd_sc_hd__o22a_4 _2552_ (.A1(_0837_),
+    .A2(_0817_),
+    .B1(_0826_),
+    .B2(_0840_),
+    .X(_0841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2590_ (.A(_0851_),
-    .Y(_0621_),
+ sky130_fd_sc_hd__inv_2 _2553_ (.A(_0841_),
+    .Y(_0613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2591_ (.A(_0760_),
-    .X(_0852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2592_ (.A(_0852_),
-    .X(_0853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2593_ (.A(_0853_),
+ sky130_fd_sc_hd__buf_2 _2554_ (.A(_0836_),
     .X(_0135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2594_ (.A(\reg_rdata[24] ),
-    .Y(_0854_),
+ sky130_fd_sc_hd__inv_2 _2555_ (.A(\reg_rdata[23] ),
+    .Y(_0842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2595_ (.A(cfg_clk_ctrl2[24]),
-    .Y(_0855_),
+ sky130_fd_sc_hd__buf_2 _2556_ (.A(_0816_),
+    .X(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2596_ (.A(cfg_clk_ctrl1[24]),
-    .Y(_0856_),
+ sky130_fd_sc_hd__inv_2 _2557_ (.A(cfg_clk_ctrl2[23]),
+    .Y(_0844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2597_ (.A1(_0855_),
-    .A2(_0835_),
-    .B1(_0856_),
-    .B2(_0837_),
-    .X(_0857_),
+ sky130_fd_sc_hd__buf_2 _2558_ (.A(_0787_),
+    .X(_0845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2598_ (.A1(_0854_),
-    .A2(_0833_),
-    .B1(_0842_),
-    .B2(_0857_),
-    .X(_0858_),
+ sky130_fd_sc_hd__inv_2 _2559_ (.A(cfg_clk_ctrl1[23]),
+    .Y(_0846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2599_ (.A(_0858_),
-    .Y(_0620_),
+ sky130_fd_sc_hd__buf_2 _2560_ (.A(_0792_),
+    .X(_0847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2600_ (.A(_0853_),
+ sky130_fd_sc_hd__o22a_4 _2561_ (.A1(_0844_),
+    .A2(_0845_),
+    .B1(_0846_),
+    .B2(_0847_),
+    .X(_0848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2562_ (.A1(_0842_),
+    .A2(_0843_),
+    .B1(_0826_),
+    .B2(_0848_),
+    .X(_0849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2563_ (.A(_0849_),
+    .Y(_0612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2564_ (.A(_0836_),
     .X(_0134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2601_ (.A(\reg_rdata[23] ),
-    .Y(_0859_),
+ sky130_fd_sc_hd__inv_2 _2565_ (.A(\reg_rdata[22] ),
+    .Y(_0850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2602_ (.A(_0832_),
+ sky130_fd_sc_hd__buf_2 _2566_ (.A(_0825_),
+    .X(_0851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2567_ (.A(cfg_clk_ctrl2[22]),
+    .Y(_0852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2568_ (.A(cfg_clk_ctrl1[22]),
+    .Y(_0853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2569_ (.A1(_0852_),
+    .A2(_0845_),
+    .B1(_0853_),
+    .B2(_0847_),
+    .X(_0854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2570_ (.A1(_0850_),
+    .A2(_0843_),
+    .B1(_0851_),
+    .B2(_0854_),
+    .X(_0855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2571_ (.A(_0855_),
+    .Y(_0611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2572_ (.A(_0836_),
+    .X(_0133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2573_ (.A(\reg_rdata[21] ),
+    .Y(_0856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2574_ (.A(cfg_clk_ctrl2[21]),
+    .Y(_0857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2575_ (.A(cfg_clk_ctrl1[21]),
+    .Y(_0858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2576_ (.A1(_0857_),
+    .A2(_0845_),
+    .B1(_0858_),
+    .B2(_0847_),
+    .X(_0859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2577_ (.A1(_0856_),
+    .A2(_0843_),
+    .B1(_0851_),
+    .B2(_0859_),
     .X(_0860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2603_ (.A(cfg_clk_ctrl2[23]),
-    .Y(_0861_),
+ sky130_fd_sc_hd__inv_2 _2578_ (.A(_0860_),
+    .Y(_0610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2604_ (.A(_0805_),
-    .X(_0862_),
+ sky130_fd_sc_hd__buf_2 _2579_ (.A(_0808_),
+    .X(_0861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2605_ (.A(cfg_clk_ctrl1[23]),
+ sky130_fd_sc_hd__buf_2 _2580_ (.A(_0861_),
+    .X(_0132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2581_ (.A(\reg_rdata[20] ),
+    .Y(_0862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2582_ (.A(cfg_clk_ctrl2[20]),
     .Y(_0863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2606_ (.A(_0810_),
-    .X(_0864_),
+ sky130_fd_sc_hd__inv_2 _2583_ (.A(cfg_clk_ctrl1[20]),
+    .Y(_0864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2607_ (.A1(_0861_),
-    .A2(_0862_),
-    .B1(_0863_),
-    .B2(_0864_),
+ sky130_fd_sc_hd__o22a_4 _2584_ (.A1(_0863_),
+    .A2(_0845_),
+    .B1(_0864_),
+    .B2(_0847_),
     .X(_0865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2608_ (.A1(_0859_),
-    .A2(_0860_),
-    .B1(_0842_),
+ sky130_fd_sc_hd__o22a_4 _2585_ (.A1(_0862_),
+    .A2(_0843_),
+    .B1(_0851_),
     .B2(_0865_),
     .X(_0866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2609_ (.A(_0866_),
-    .Y(_0619_),
+ sky130_fd_sc_hd__inv_2 _2586_ (.A(_0866_),
+    .Y(_0609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2610_ (.A(_0853_),
-    .X(_0133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2611_ (.A(\reg_rdata[22] ),
-    .Y(_0867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2612_ (.A(_0841_),
-    .X(_0868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2613_ (.A(cfg_clk_ctrl2[22]),
-    .Y(_0869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2614_ (.A(cfg_clk_ctrl1[22]),
-    .Y(_0870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2615_ (.A1(_0869_),
-    .A2(_0862_),
-    .B1(_0870_),
-    .B2(_0864_),
-    .X(_0871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2616_ (.A1(_0867_),
-    .A2(_0860_),
-    .B1(_0868_),
-    .B2(_0871_),
-    .X(_0872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2617_ (.A(_0872_),
-    .Y(_0618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2618_ (.A(_0853_),
-    .X(_0132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2619_ (.A(\reg_rdata[21] ),
-    .Y(_0873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2620_ (.A(cfg_clk_ctrl2[21]),
-    .Y(_0874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2621_ (.A(cfg_clk_ctrl1[21]),
-    .Y(_0875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2622_ (.A1(_0874_),
-    .A2(_0862_),
-    .B1(_0875_),
-    .B2(_0864_),
-    .X(_0876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2623_ (.A1(_0873_),
-    .A2(_0860_),
-    .B1(_0868_),
-    .B2(_0876_),
-    .X(_0877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2624_ (.A(_0877_),
-    .Y(_0617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2625_ (.A(_0852_),
-    .X(_0878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2626_ (.A(_0878_),
+ sky130_fd_sc_hd__buf_2 _2587_ (.A(_0861_),
     .X(_0131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2627_ (.A(\reg_rdata[20] ),
-    .Y(_0879_),
+ sky130_fd_sc_hd__inv_2 _2588_ (.A(\reg_rdata[19] ),
+    .Y(_0867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2628_ (.A(cfg_clk_ctrl2[20]),
-    .Y(_0880_),
+ sky130_fd_sc_hd__buf_2 _2589_ (.A(_0816_),
+    .X(_0868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2629_ (.A(cfg_clk_ctrl1[20]),
-    .Y(_0881_),
+ sky130_fd_sc_hd__inv_2 _2590_ (.A(cfg_clk_ctrl2[19]),
+    .Y(_0869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2630_ (.A1(_0880_),
-    .A2(_0862_),
-    .B1(_0881_),
-    .B2(_0864_),
-    .X(_0882_),
+ sky130_fd_sc_hd__buf_2 _2591_ (.A(_0786_),
+    .X(_0870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2631_ (.A1(_0879_),
-    .A2(_0860_),
-    .B1(_0868_),
-    .B2(_0882_),
-    .X(_0883_),
+ sky130_fd_sc_hd__inv_2 _2592_ (.A(cfg_clk_ctrl1[19]),
+    .Y(_0871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2632_ (.A(_0883_),
-    .Y(_0616_),
+ sky130_fd_sc_hd__buf_2 _2593_ (.A(_0791_),
+    .X(_0872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2633_ (.A(_0878_),
+ sky130_fd_sc_hd__o22a_4 _2594_ (.A1(_0869_),
+    .A2(_0870_),
+    .B1(_0871_),
+    .B2(_0872_),
+    .X(_0873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2595_ (.A1(_0867_),
+    .A2(_0868_),
+    .B1(_0851_),
+    .B2(_0873_),
+    .X(_0874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2596_ (.A(_0874_),
+    .Y(_0608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2597_ (.A(_0861_),
     .X(_0130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2634_ (.A(\reg_rdata[19] ),
-    .Y(_0884_),
+ sky130_fd_sc_hd__inv_2 _2598_ (.A(\reg_rdata[18] ),
+    .Y(_0875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2635_ (.A(_0832_),
+ sky130_fd_sc_hd__buf_2 _2599_ (.A(_0825_),
+    .X(_0876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2600_ (.A(cfg_clk_ctrl2[18]),
+    .Y(_0877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2601_ (.A(cfg_clk_ctrl1[18]),
+    .Y(_0878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2602_ (.A1(_0877_),
+    .A2(_0870_),
+    .B1(_0878_),
+    .B2(_0872_),
+    .X(_0879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2603_ (.A1(_0875_),
+    .A2(_0868_),
+    .B1(_0876_),
+    .B2(_0879_),
+    .X(_0880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2604_ (.A(_0880_),
+    .Y(_0607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2605_ (.A(_0861_),
+    .X(_0129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2606_ (.A(\reg_rdata[17] ),
+    .Y(_0881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2607_ (.A(cfg_clk_ctrl2[17]),
+    .Y(_0882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2608_ (.A(cfg_clk_ctrl1[17]),
+    .Y(_0883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2609_ (.A1(_0882_),
+    .A2(_0870_),
+    .B1(_0883_),
+    .B2(_0872_),
+    .X(_0884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2610_ (.A1(_0881_),
+    .A2(_0868_),
+    .B1(_0876_),
+    .B2(_0884_),
     .X(_0885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2636_ (.A(cfg_clk_ctrl2[19]),
-    .Y(_0886_),
+ sky130_fd_sc_hd__inv_2 _2611_ (.A(_0885_),
+    .Y(_0606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2637_ (.A(_0804_),
-    .X(_0887_),
+ sky130_fd_sc_hd__buf_2 _2612_ (.A(_0808_),
+    .X(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2638_ (.A(cfg_clk_ctrl1[19]),
+ sky130_fd_sc_hd__buf_2 _2613_ (.A(_0886_),
+    .X(_0128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2614_ (.A(\reg_rdata[16] ),
+    .Y(_0887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2615_ (.A(cfg_clk_ctrl2[16]),
     .Y(_0888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2639_ (.A(_0809_),
-    .X(_0889_),
+ sky130_fd_sc_hd__inv_2 _2616_ (.A(cfg_clk_ctrl1[16]),
+    .Y(_0889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2640_ (.A1(_0886_),
-    .A2(_0887_),
-    .B1(_0888_),
-    .B2(_0889_),
+ sky130_fd_sc_hd__o22a_4 _2617_ (.A1(_0888_),
+    .A2(_0870_),
+    .B1(_0889_),
+    .B2(_0872_),
     .X(_0890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2641_ (.A1(_0884_),
-    .A2(_0885_),
-    .B1(_0868_),
+ sky130_fd_sc_hd__o22a_4 _2618_ (.A1(_0887_),
+    .A2(_0868_),
+    .B1(_0876_),
     .B2(_0890_),
     .X(_0891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2642_ (.A(_0891_),
-    .Y(_0615_),
+ sky130_fd_sc_hd__inv_2 _2619_ (.A(_0891_),
+    .Y(_0605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2643_ (.A(_0878_),
-    .X(_0129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2644_ (.A(\reg_rdata[18] ),
-    .Y(_0892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2645_ (.A(_0841_),
-    .X(_0893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2646_ (.A(cfg_clk_ctrl2[18]),
-    .Y(_0894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2647_ (.A(cfg_clk_ctrl1[18]),
-    .Y(_0895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2648_ (.A1(_0894_),
-    .A2(_0887_),
-    .B1(_0895_),
-    .B2(_0889_),
-    .X(_0896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2649_ (.A1(_0892_),
-    .A2(_0885_),
-    .B1(_0893_),
-    .B2(_0896_),
-    .X(_0897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2650_ (.A(_0897_),
-    .Y(_0614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2651_ (.A(_0878_),
-    .X(_0128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2652_ (.A(\reg_rdata[17] ),
-    .Y(_0898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2653_ (.A(cfg_clk_ctrl2[17]),
-    .Y(_0899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2654_ (.A(cfg_clk_ctrl1[17]),
-    .Y(_0900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2655_ (.A1(_0899_),
-    .A2(_0887_),
-    .B1(_0900_),
-    .B2(_0889_),
-    .X(_0901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2656_ (.A1(_0898_),
-    .A2(_0885_),
-    .B1(_0893_),
-    .B2(_0901_),
-    .X(_0902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2657_ (.A(_0902_),
-    .Y(_0613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2658_ (.A(_0852_),
-    .X(_0903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2659_ (.A(_0903_),
+ sky130_fd_sc_hd__buf_2 _2620_ (.A(_0886_),
     .X(_0127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2660_ (.A(\reg_rdata[16] ),
-    .Y(_0904_),
+ sky130_fd_sc_hd__inv_2 _2621_ (.A(\reg_rdata[15] ),
+    .Y(_0892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2661_ (.A(cfg_clk_ctrl2[16]),
-    .Y(_0905_),
+ sky130_fd_sc_hd__buf_2 _2622_ (.A(_0816_),
+    .X(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2662_ (.A(cfg_clk_ctrl1[16]),
-    .Y(_0906_),
+ sky130_fd_sc_hd__inv_2 _2623_ (.A(cfg_clk_ctrl2[15]),
+    .Y(_0894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2663_ (.A1(_0905_),
-    .A2(_0887_),
-    .B1(_0906_),
-    .B2(_0889_),
-    .X(_0907_),
+ sky130_fd_sc_hd__buf_2 _2624_ (.A(_0786_),
+    .X(_0895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2664_ (.A1(_0904_),
-    .A2(_0885_),
-    .B1(_0893_),
-    .B2(_0907_),
-    .X(_0908_),
+ sky130_fd_sc_hd__inv_2 _2625_ (.A(cfg_clk_ctrl1[15]),
+    .Y(_0896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2665_ (.A(_0908_),
-    .Y(_0612_),
+ sky130_fd_sc_hd__buf_2 _2626_ (.A(_0791_),
+    .X(_0897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2666_ (.A(_0903_),
+ sky130_fd_sc_hd__o22a_4 _2627_ (.A1(_0894_),
+    .A2(_0895_),
+    .B1(_0896_),
+    .B2(_0897_),
+    .X(_0898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2628_ (.A1(_0892_),
+    .A2(_0893_),
+    .B1(_0876_),
+    .B2(_0898_),
+    .X(_0899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2629_ (.A(_0899_),
+    .Y(_0604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2630_ (.A(_0886_),
     .X(_0126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2667_ (.A(\reg_rdata[15] ),
-    .Y(_0909_),
+ sky130_fd_sc_hd__inv_2 _2631_ (.A(\reg_rdata[14] ),
+    .Y(_0900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2668_ (.A(_0832_),
-    .X(_0910_),
+ sky130_fd_sc_hd__buf_2 _2632_ (.A(_0825_),
+    .X(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2669_ (.A(cfg_clk_ctrl2[15]),
-    .Y(_0911_),
+ sky130_fd_sc_hd__inv_2 _2633_ (.A(cfg_clk_ctrl2[14]),
+    .Y(_0902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2670_ (.A(_0804_),
-    .X(_0912_),
+ sky130_fd_sc_hd__inv_2 _2634_ (.A(cfg_clk_ctrl1[14]),
+    .Y(_0903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2671_ (.A(cfg_clk_ctrl1[15]),
-    .Y(_0913_),
+ sky130_fd_sc_hd__o22a_4 _2635_ (.A1(_0902_),
+    .A2(_0895_),
+    .B1(_0903_),
+    .B2(_0897_),
+    .X(_0904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2672_ (.A(_0809_),
-    .X(_0914_),
+ sky130_fd_sc_hd__o22a_4 _2636_ (.A1(_0900_),
+    .A2(_0893_),
+    .B1(_0901_),
+    .B2(_0904_),
+    .X(_0905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2673_ (.A1(_0911_),
-    .A2(_0912_),
-    .B1(_0913_),
-    .B2(_0914_),
-    .X(_0915_),
+ sky130_fd_sc_hd__inv_2 _2637_ (.A(_0905_),
+    .Y(_0603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2674_ (.A1(_0909_),
-    .A2(_0910_),
-    .B1(_0893_),
-    .B2(_0915_),
-    .X(_0916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2675_ (.A(_0916_),
-    .Y(_0611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2676_ (.A(_0903_),
+ sky130_fd_sc_hd__buf_2 _2638_ (.A(_0886_),
     .X(_0125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2677_ (.A(\reg_rdata[14] ),
-    .Y(_0917_),
+ sky130_fd_sc_hd__inv_2 _2639_ (.A(\reg_rdata[13] ),
+    .Y(_0906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2678_ (.A(_0841_),
-    .X(_0918_),
+ sky130_fd_sc_hd__inv_2 _2640_ (.A(cfg_clk_ctrl2[13]),
+    .Y(_0907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2679_ (.A(cfg_clk_ctrl2[14]),
+ sky130_fd_sc_hd__inv_2 _2641_ (.A(cfg_clk_ctrl1[13]),
+    .Y(_0908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2642_ (.A1(_0907_),
+    .A2(_0895_),
+    .B1(_0908_),
+    .B2(_0897_),
+    .X(_0909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2643_ (.A1(_0906_),
+    .A2(_0893_),
+    .B1(_0901_),
+    .B2(_0909_),
+    .X(_0910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2644_ (.A(_0910_),
+    .Y(_0602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2645_ (.A(_0807_),
+    .X(_0911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2646_ (.A(_0911_),
+    .X(_0912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2647_ (.A(_0912_),
+    .X(_0124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2648_ (.A(\reg_rdata[12] ),
+    .Y(_0913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2649_ (.A(cfg_clk_ctrl2[12]),
+    .Y(_0914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2650_ (.A(cfg_clk_ctrl1[12]),
+    .Y(_0915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2651_ (.A1(_0914_),
+    .A2(_0895_),
+    .B1(_0915_),
+    .B2(_0897_),
+    .X(_0916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2652_ (.A1(_0913_),
+    .A2(_0893_),
+    .B1(_0901_),
+    .B2(_0916_),
+    .X(_0917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2653_ (.A(_0917_),
+    .Y(_0601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2654_ (.A(_0912_),
+    .X(_0123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2655_ (.A(\reg_rdata[11] ),
+    .Y(_0918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2656_ (.A(cfg_clk_ctrl2[11]),
     .Y(_0919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2680_ (.A(cfg_clk_ctrl1[14]),
+ sky130_fd_sc_hd__inv_2 _2657_ (.A(cfg_clk_ctrl1[11]),
     .Y(_0920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2681_ (.A1(_0919_),
-    .A2(_0912_),
+ sky130_fd_sc_hd__o22a_4 _2658_ (.A1(_0919_),
+    .A2(_0787_),
     .B1(_0920_),
-    .B2(_0914_),
+    .B2(_0792_),
     .X(_0921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2682_ (.A1(_0917_),
-    .A2(_0910_),
-    .B1(_0918_),
+ sky130_fd_sc_hd__o22a_4 _2659_ (.A1(_0918_),
+    .A2(_0780_),
+    .B1(_0901_),
     .B2(_0921_),
     .X(_0922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2683_ (.A(_0922_),
-    .Y(_0610_),
+ sky130_fd_sc_hd__inv_2 _2660_ (.A(_0922_),
+    .Y(_0600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2684_ (.A(_0903_),
-    .X(_0124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2685_ (.A(\reg_rdata[13] ),
-    .Y(_0923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2686_ (.A(cfg_clk_ctrl2[13]),
-    .Y(_0924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2687_ (.A(cfg_clk_ctrl1[13]),
-    .Y(_0925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2688_ (.A1(_0924_),
-    .A2(_0912_),
-    .B1(_0925_),
-    .B2(_0914_),
-    .X(_0926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2689_ (.A1(_0923_),
-    .A2(_0910_),
-    .B1(_0918_),
-    .B2(_0926_),
-    .X(_0927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2690_ (.A(_0927_),
-    .Y(_0609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2691_ (.A(_0852_),
-    .X(_0928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2692_ (.A(_0928_),
-    .X(_0123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2693_ (.A(\reg_rdata[12] ),
-    .Y(_0929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2694_ (.A(cfg_clk_ctrl2[12]),
-    .Y(_0930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2695_ (.A(cfg_clk_ctrl1[12]),
-    .Y(_0931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2696_ (.A1(_0930_),
-    .A2(_0912_),
-    .B1(_0931_),
-    .B2(_0914_),
-    .X(_0932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2697_ (.A1(_0929_),
-    .A2(_0910_),
-    .B1(_0918_),
-    .B2(_0932_),
-    .X(_0933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2698_ (.A(_0933_),
-    .Y(_0608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2699_ (.A(_0928_),
+ sky130_fd_sc_hd__buf_2 _2661_ (.A(_0912_),
     .X(_0122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2700_ (.A(\reg_rdata[11] ),
-    .Y(_0934_),
+ sky130_fd_sc_hd__buf_2 _2662_ (.A(_0776_),
+    .X(_0923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2701_ (.A(cfg_clk_ctrl2[11]),
-    .Y(_0935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2702_ (.A(cfg_clk_ctrl1[11]),
-    .Y(_0936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2703_ (.A1(_0935_),
-    .A2(_0805_),
-    .B1(_0936_),
-    .B2(_0810_),
-    .X(_0937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2704_ (.A1(_0934_),
-    .A2(_0798_),
-    .B1(_0918_),
-    .B2(_0937_),
-    .X(_0938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2705_ (.A(_0938_),
-    .Y(_0607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2706_ (.A(_0928_),
-    .X(_0121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2707_ (.A(_0794_),
-    .X(_0939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2708_ (.A(_0796_),
+ sky130_fd_sc_hd__buf_2 _2663_ (.A(_0778_),
     .X(_0000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2709_ (.A(_0650_),
-    .X(_0940_),
+ sky130_fd_sc_hd__buf_2 _2664_ (.A(_0643_),
+    .X(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2710_ (.A(_0648_),
-    .X(_0941_),
+ sky130_fd_sc_hd__buf_2 _2665_ (.A(_0641_),
+    .X(_0925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2711_ (.A(cfg_clk_ctrl1[10]),
-    .B(_0941_),
-    .X(_0942_),
+ sky130_fd_sc_hd__or2_4 _2666_ (.A(cfg_clk_ctrl1[10]),
+    .B(_0925_),
+    .X(_0926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2712_ (.A(wbm_adr_i[3]),
-    .X(_0943_),
+ sky130_fd_sc_hd__buf_2 _2667_ (.A(wbm_adr_i[3]),
+    .X(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2713_ (.A(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .B(_0943_),
-    .X(_0944_),
+ sky130_fd_sc_hd__or2_4 _2668_ (.A(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .B(_0927_),
+    .X(_0928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2714_ (.A(_0804_),
-    .Y(_0945_),
+ sky130_fd_sc_hd__inv_2 _2669_ (.A(_0786_),
+    .Y(_0929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2715_ (.A(_0945_),
-    .X(_0946_),
+ sky130_fd_sc_hd__buf_2 _2670_ (.A(_0929_),
+    .X(_0930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2716_ (.A1(_0940_),
-    .A2(_0942_),
-    .A3(_0944_),
+ sky130_fd_sc_hd__a32o_4 _2671_ (.A1(_0924_),
+    .A2(_0926_),
+    .A3(_0928_),
     .B1(cfg_clk_ctrl2[10]),
-    .B2(_0946_),
-    .X(_0947_),
+    .B2(_0930_),
+    .X(_0931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2717_ (.A1(_0939_),
+ sky130_fd_sc_hd__a32o_4 _2672_ (.A1(_0923_),
     .A2(_0000_),
-    .A3(_0947_),
+    .A3(_0931_),
     .B1(\reg_rdata[10] ),
-    .B2(_0802_),
-    .X(_0606_),
+    .B2(_0784_),
+    .X(_0599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2718_ (.A(_0928_),
+ sky130_fd_sc_hd__buf_2 _2673_ (.A(_0912_),
+    .X(_0121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2674_ (.A(cfg_clk_ctrl1[9]),
+    .B(_0925_),
+    .X(_0932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2675_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+    .B(_0927_),
+    .X(_0933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _2676_ (.A1(_0924_),
+    .A2(_0932_),
+    .A3(_0933_),
+    .B1(cfg_clk_ctrl2[9]),
+    .B2(_0930_),
+    .X(_0934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _2677_ (.A1(_0923_),
+    .A2(_0000_),
+    .A3(_0934_),
+    .B1(\reg_rdata[9] ),
+    .B2(_0784_),
+    .X(_0598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2678_ (.A(_0911_),
+    .X(_0935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2679_ (.A(_0935_),
     .X(_0120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2719_ (.A(cfg_clk_ctrl1[9]),
-    .B(_0941_),
-    .X(_0948_),
+ sky130_fd_sc_hd__or2_4 _2680_ (.A(cfg_clk_ctrl1[8]),
+    .B(_0925_),
+    .X(_0936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2720_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
-    .B(_0943_),
-    .X(_0949_),
+ sky130_fd_sc_hd__or2_4 _2681_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
+    .B(_0927_),
+    .X(_0937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2721_ (.A1(_0940_),
-    .A2(_0948_),
-    .A3(_0949_),
-    .B1(cfg_clk_ctrl2[9]),
-    .B2(_0946_),
-    .X(_0950_),
+ sky130_fd_sc_hd__a32o_4 _2682_ (.A1(_0924_),
+    .A2(_0936_),
+    .A3(_0937_),
+    .B1(cfg_clk_ctrl2[8]),
+    .B2(_0930_),
+    .X(_0938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2722_ (.A1(_0939_),
-    .A2(_0000_),
-    .A3(_0950_),
-    .B1(\reg_rdata[9] ),
-    .B2(_0802_),
-    .X(_0605_),
+ sky130_fd_sc_hd__a32o_4 _2683_ (.A1(_0923_),
+    .A2(_0778_),
+    .A3(_0938_),
+    .B1(\reg_rdata[8] ),
+    .B2(_0784_),
+    .X(_0597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2723_ (.A(_0760_),
-    .X(_0951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2724_ (.A(_0951_),
-    .X(_0952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2725_ (.A(_0952_),
+ sky130_fd_sc_hd__buf_2 _2684_ (.A(_0935_),
     .X(_0119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2726_ (.A(cfg_clk_ctrl1[8]),
-    .B(_0941_),
-    .X(_0953_),
+ sky130_fd_sc_hd__buf_2 _2685_ (.A(_0783_),
+    .X(_0939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2727_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
-    .B(_0943_),
-    .X(_0954_),
+ sky130_fd_sc_hd__and2_4 _2686_ (.A(cfg_clk_ctrl2[7]),
+    .B(_0930_),
+    .X(_0940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2728_ (.A1(_0940_),
-    .A2(_0953_),
-    .A3(_0954_),
-    .B1(cfg_clk_ctrl2[8]),
-    .B2(_0946_),
-    .X(_0955_),
+ sky130_fd_sc_hd__buf_2 _2687_ (.A(_0641_),
+    .X(_0941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _2729_ (.A1(_0939_),
-    .A2(_0796_),
-    .A3(_0955_),
-    .B1(\reg_rdata[8] ),
-    .B2(_0802_),
-    .X(_0604_),
+ sky130_fd_sc_hd__and2_4 _2688_ (.A(cfg_clk_ctrl1[7]),
+    .B(_0643_),
+    .X(_0942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2730_ (.A(_0952_),
+ sky130_fd_sc_hd__o22a_4 _2689_ (.A1(_0941_),
+    .A2(_0942_),
+    .B1(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
+    .B2(_0924_),
+    .X(_0943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _2690_ (.A(wbm_adr_i[3]),
+    .B(_0790_),
+    .X(_0944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2691_ (.A(_0944_),
+    .X(_0945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2692_ (.A1(_0940_),
+    .A2(_0943_),
+    .B1(cfg_glb_ctrl[7]),
+    .B2(_0945_),
+    .X(_0946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2693_ (.A(_0780_),
+    .X(_0947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2694_ (.A1(_0939_),
+    .A2(_0946_),
+    .B1(\reg_rdata[7] ),
+    .B2(_0947_),
+    .X(_0596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2695_ (.A(_0935_),
     .X(_0118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2731_ (.A(_0801_),
-    .X(_0956_),
+ sky130_fd_sc_hd__buf_2 _2696_ (.A(_0929_),
+    .X(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2732_ (.A(cfg_clk_ctrl2[7]),
-    .B(_0946_),
-    .X(_0957_),
+ sky130_fd_sc_hd__and2_4 _2697_ (.A(cfg_clk_ctrl2[6]),
+    .B(_0948_),
+    .X(_0949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2733_ (.A(_0648_),
-    .X(_0958_),
+ sky130_fd_sc_hd__buf_2 _2698_ (.A(_0642_),
+    .X(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2734_ (.A(cfg_clk_ctrl1[7]),
-    .B(_0650_),
-    .X(_0959_),
+ sky130_fd_sc_hd__and2_4 _2699_ (.A(cfg_clk_ctrl1[6]),
+    .B(_0950_),
+    .X(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2735_ (.A1(_0958_),
-    .A2(_0959_),
-    .B1(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
-    .B2(_0940_),
-    .X(_0960_),
+ sky130_fd_sc_hd__buf_2 _2700_ (.A(_0643_),
+    .X(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _2736_ (.A(wbm_adr_i[3]),
-    .B(_0808_),
-    .X(_0961_),
+ sky130_fd_sc_hd__o22a_4 _2701_ (.A1(_0941_),
+    .A2(_0951_),
+    .B1(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B2(_0952_),
+    .X(_0953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2737_ (.A(_0961_),
-    .X(_0962_),
+ sky130_fd_sc_hd__o22a_4 _2702_ (.A1(_0949_),
+    .A2(_0953_),
+    .B1(cfg_glb_ctrl[6]),
+    .B2(_0945_),
+    .X(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2738_ (.A1(_0957_),
-    .A2(_0960_),
-    .B1(cfg_glb_ctrl[7]),
-    .B2(_0962_),
-    .X(_0963_),
+ sky130_fd_sc_hd__o22a_4 _2703_ (.A1(_0939_),
+    .A2(_0954_),
+    .B1(\reg_rdata[6] ),
+    .B2(_0947_),
+    .X(_0595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2739_ (.A(_0798_),
-    .X(_0964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2740_ (.A1(_0956_),
-    .A2(_0963_),
-    .B1(\reg_rdata[7] ),
-    .B2(_0964_),
-    .X(_0603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2741_ (.A(_0952_),
+ sky130_fd_sc_hd__buf_2 _2704_ (.A(_0935_),
     .X(_0117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2742_ (.A(_0945_),
+ sky130_fd_sc_hd__and2_4 _2705_ (.A(cfg_clk_ctrl2[5]),
+    .B(_0948_),
+    .X(_0955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2706_ (.A(cfg_clk_ctrl1[5]),
+    .B(_0950_),
+    .X(_0956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2707_ (.A1(_0941_),
+    .A2(_0956_),
+    .B1(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+    .B2(_0952_),
+    .X(_0957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2708_ (.A1(_0955_),
+    .A2(_0957_),
+    .B1(cfg_glb_ctrl[5]),
+    .B2(_0945_),
+    .X(_0958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2709_ (.A1(_0939_),
+    .A2(_0958_),
+    .B1(\reg_rdata[5] ),
+    .B2(_0947_),
+    .X(_0594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2710_ (.A(_0911_),
+    .X(_0959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2711_ (.A(_0959_),
+    .X(_0116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2712_ (.A(cfg_clk_ctrl2[4]),
+    .B(_0948_),
+    .X(_0960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2713_ (.A(_0641_),
+    .X(_0961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2714_ (.A(cfg_clk_ctrl1[4]),
+    .B(_0950_),
+    .X(_0962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2715_ (.A1(_0961_),
+    .A2(_0962_),
+    .B1(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
+    .B2(_0952_),
+    .X(_0963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2716_ (.A1(_0960_),
+    .A2(_0963_),
+    .B1(cfg_glb_ctrl[4]),
+    .B2(_0945_),
+    .X(_0964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2717_ (.A1(_0939_),
+    .A2(_0964_),
+    .B1(\reg_rdata[4] ),
+    .B2(_0947_),
+    .X(_0593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2718_ (.A(_0959_),
+    .X(_0115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2719_ (.A(_0783_),
     .X(_0965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2743_ (.A(cfg_clk_ctrl2[6]),
-    .B(_0965_),
+ sky130_fd_sc_hd__and2_4 _2720_ (.A(cfg_clk_ctrl2[3]),
+    .B(_0948_),
     .X(_0966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2744_ (.A(_0649_),
+ sky130_fd_sc_hd__and2_4 _2721_ (.A(cfg_clk_ctrl1[3]),
+    .B(_0950_),
     .X(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2745_ (.A(cfg_clk_ctrl1[6]),
-    .B(_0967_),
+ sky130_fd_sc_hd__o22a_4 _2722_ (.A1(_0961_),
+    .A2(_0967_),
+    .B1(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
+    .B2(_0952_),
     .X(_0968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2746_ (.A(_0650_),
+ sky130_fd_sc_hd__buf_2 _2723_ (.A(_0944_),
     .X(_0969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2747_ (.A1(_0958_),
+ sky130_fd_sc_hd__o22a_4 _2724_ (.A1(_0966_),
     .A2(_0968_),
-    .B1(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B1(cfg_glb_ctrl[3]),
     .B2(_0969_),
     .X(_0970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2748_ (.A1(_0966_),
-    .A2(_0970_),
-    .B1(cfg_glb_ctrl[6]),
-    .B2(_0962_),
+ sky130_fd_sc_hd__buf_2 _2725_ (.A(_0780_),
     .X(_0971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2749_ (.A1(_0956_),
-    .A2(_0971_),
-    .B1(\reg_rdata[6] ),
-    .B2(_0964_),
-    .X(_0602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2750_ (.A(_0952_),
-    .X(_0116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2751_ (.A(cfg_clk_ctrl2[5]),
-    .B(_0965_),
-    .X(_0972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2752_ (.A(cfg_clk_ctrl1[5]),
-    .B(_0967_),
-    .X(_0973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2753_ (.A1(_0958_),
-    .A2(_0973_),
-    .B1(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
-    .B2(_0969_),
-    .X(_0974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2754_ (.A1(_0972_),
-    .A2(_0974_),
-    .B1(cfg_glb_ctrl[5]),
-    .B2(_0962_),
-    .X(_0975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2755_ (.A1(_0956_),
-    .A2(_0975_),
-    .B1(\reg_rdata[5] ),
-    .B2(_0964_),
-    .X(_0601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2756_ (.A(_0951_),
-    .X(_0976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2757_ (.A(_0976_),
-    .X(_0115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2758_ (.A(cfg_clk_ctrl2[4]),
-    .B(_0965_),
-    .X(_0977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2759_ (.A(_0648_),
-    .X(_0978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2760_ (.A(cfg_clk_ctrl1[4]),
-    .B(_0967_),
-    .X(_0979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2761_ (.A1(_0978_),
-    .A2(_0979_),
-    .B1(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
-    .B2(_0969_),
-    .X(_0980_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2762_ (.A1(_0977_),
-    .A2(_0980_),
-    .B1(cfg_glb_ctrl[4]),
-    .B2(_0962_),
-    .X(_0981_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2763_ (.A1(_0956_),
-    .A2(_0981_),
-    .B1(\reg_rdata[4] ),
-    .B2(_0964_),
-    .X(_0600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2764_ (.A(_0976_),
-    .X(_0114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2765_ (.A(_0801_),
-    .X(_0982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2766_ (.A(cfg_clk_ctrl2[3]),
-    .B(_0965_),
-    .X(_0983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2767_ (.A(cfg_clk_ctrl1[3]),
-    .B(_0967_),
-    .X(_0984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2768_ (.A1(_0978_),
-    .A2(_0984_),
-    .B1(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B2(_0969_),
-    .X(_0985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2769_ (.A(_0961_),
-    .X(_0986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2770_ (.A1(_0983_),
-    .A2(_0985_),
-    .B1(cfg_glb_ctrl[3]),
-    .B2(_0986_),
-    .X(_0987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2771_ (.A(_0798_),
-    .X(_0988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2772_ (.A1(_0982_),
-    .A2(_0987_),
+ sky130_fd_sc_hd__o22a_4 _2726_ (.A1(_0965_),
+    .A2(_0970_),
     .B1(\reg_rdata[3] ),
-    .B2(_0988_),
-    .X(_0599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2773_ (.A(_0976_),
-    .X(_0113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2774_ (.A(_0945_),
-    .X(_0989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2775_ (.A(cfg_clk_ctrl2[2]),
-    .B(_0989_),
-    .X(_0990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2776_ (.A(_0649_),
-    .X(_0991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2777_ (.A(cfg_clk_ctrl1[2]),
-    .B(_0991_),
-    .X(_0992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2778_ (.A(_0991_),
-    .X(_0993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2779_ (.A1(_0978_),
-    .A2(_0992_),
-    .B1(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
-    .B2(_0993_),
-    .X(_0994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2780_ (.A1(_0990_),
-    .A2(_0994_),
-    .B1(cfg_glb_ctrl[2]),
-    .B2(_0986_),
-    .X(_0995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2781_ (.A1(_0982_),
-    .A2(_0995_),
-    .B1(\reg_rdata[2] ),
-    .B2(_0988_),
-    .X(_0598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2782_ (.A(_0976_),
-    .X(_0112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2783_ (.A(cfg_clk_ctrl2[1]),
-    .B(_0989_),
-    .X(_0996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2784_ (.A(cfg_clk_ctrl1[1]),
-    .B(_0991_),
-    .X(_0997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2785_ (.A1(_0978_),
-    .A2(_0997_),
-    .B1(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
-    .B2(_0993_),
-    .X(_0998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2786_ (.A1(_0996_),
-    .A2(_0998_),
-    .B1(cfg_glb_ctrl[1]),
-    .B2(_0986_),
-    .X(_0999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2787_ (.A1(_0982_),
-    .A2(_0999_),
-    .B1(\reg_rdata[1] ),
-    .B2(_0988_),
-    .X(_0597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2788_ (.A(_0951_),
-    .X(_1000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2789_ (.A(_1000_),
-    .X(_0111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2790_ (.A(cfg_clk_ctrl2[0]),
-    .B(_0989_),
-    .X(_1001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _2791_ (.A(cfg_clk_ctrl1[0]),
-    .B(_0991_),
-    .X(_1002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2792_ (.A1(_0941_),
-    .A2(_1002_),
-    .B1(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
-    .B2(_0993_),
-    .X(_1003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2793_ (.A1(_1001_),
-    .A2(_1003_),
-    .B1(cfg_glb_ctrl[0]),
-    .B2(_0986_),
-    .X(_1004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _2794_ (.A1(_0982_),
-    .A2(_1004_),
-    .B1(\reg_rdata[0] ),
-    .B2(_0988_),
-    .X(_0596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2795_ (.A(_1000_),
-    .X(_0110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2796_ (.A(cfg_clk_ctrl1[9]),
-    .Y(_1005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2797_ (.A(_0651_),
-    .B(_0652_),
-    .C(wbm_adr_i[3]),
-    .D(_0993_),
-    .X(_1006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2798_ (.A(_1006_),
-    .X(_1007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2799_ (.A(_1007_),
-    .X(_1008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2800_ (.A1_N(_1005_),
-    .A2_N(_1008_),
-    .B1(_0656_),
-    .B2(_1008_),
-    .X(_0595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2801_ (.A(_1000_),
-    .X(_0109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2802_ (.A(_0652_),
-    .X(_1009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _2803_ (.A(_0651_),
-    .B(_1009_),
-    .C(_0989_),
-    .X(_1010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2804_ (.A(_1010_),
-    .X(_1011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2805_ (.A(_1011_),
-    .X(_1012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2806_ (.A(wbm_dat_i[19]),
-    .X(_1013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2807_ (.A1_N(_0886_),
-    .A2_N(_1012_),
-    .B1(_1013_),
-    .B2(_1012_),
-    .X(_0594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2808_ (.A(_1000_),
-    .X(_0108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2809_ (.A(wbm_dat_i[29]),
-    .X(_1014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2810_ (.A1_N(_0821_),
-    .A2_N(_1012_),
-    .B1(_1014_),
-    .B2(_1012_),
-    .X(_0593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2811_ (.A(_0951_),
-    .X(_1015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2812_ (.A(_1015_),
-    .X(_0107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2813_ (.A(_1011_),
-    .X(_1016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2814_ (.A(wbm_dat_i[31]),
-    .X(_1017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2815_ (.A1_N(_0803_),
-    .A2_N(_1016_),
-    .B1(_1017_),
-    .B2(_1016_),
+    .B2(_0971_),
     .X(_0592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2816_ (.A(_1015_),
-    .X(_0106_),
+ sky130_fd_sc_hd__buf_2 _2727_ (.A(_0959_),
+    .X(_0114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2817_ (.A(cfg_clk_ctrl2[3]),
-    .Y(_1018_),
+ sky130_fd_sc_hd__buf_2 _2728_ (.A(_0929_),
+    .X(_0972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2818_ (.A(wbm_dat_i[3]),
-    .X(_1019_),
+ sky130_fd_sc_hd__and2_4 _2729_ (.A(cfg_clk_ctrl2[2]),
+    .B(_0972_),
+    .X(_0973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2819_ (.A1_N(_1018_),
-    .A2_N(_1016_),
-    .B1(_1019_),
-    .B2(_1016_),
+ sky130_fd_sc_hd__buf_2 _2730_ (.A(_0642_),
+    .X(_0974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _2731_ (.A(cfg_clk_ctrl1[2]),
+    .B(_0974_),
+    .X(_0975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2732_ (.A(_0974_),
+    .X(_0976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2733_ (.A1(_0961_),
+    .A2(_0975_),
+    .B1(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
+    .B2(_0976_),
+    .X(_0977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2734_ (.A1(_0973_),
+    .A2(_0977_),
+    .B1(cfg_glb_ctrl[2]),
+    .B2(_0969_),
+    .X(_0978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2735_ (.A1(_0965_),
+    .A2(_0978_),
+    .B1(\reg_rdata[2] ),
+    .B2(_0971_),
     .X(_0591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2820_ (.A(_1015_),
-    .X(_0105_),
+ sky130_fd_sc_hd__buf_2 _2736_ (.A(_0959_),
+    .X(_0113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2821_ (.A(cfg_clk_ctrl2[4]),
-    .Y(_1020_),
+ sky130_fd_sc_hd__and2_4 _2737_ (.A(cfg_clk_ctrl2[1]),
+    .B(_0972_),
+    .X(_0979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2822_ (.A(_1011_),
-    .X(_1021_),
+ sky130_fd_sc_hd__and2_4 _2738_ (.A(cfg_clk_ctrl1[1]),
+    .B(_0974_),
+    .X(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2823_ (.A(wbm_dat_i[4]),
-    .X(_1022_),
+ sky130_fd_sc_hd__o22a_4 _2739_ (.A1(_0961_),
+    .A2(_0980_),
+    .B1(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+    .B2(_0976_),
+    .X(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2824_ (.A1_N(_1020_),
-    .A2_N(_1021_),
-    .B1(_1022_),
-    .B2(_1021_),
+ sky130_fd_sc_hd__o22a_4 _2740_ (.A1(_0979_),
+    .A2(_0981_),
+    .B1(cfg_glb_ctrl[1]),
+    .B2(_0969_),
+    .X(_0982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2741_ (.A1(_0965_),
+    .A2(_0982_),
+    .B1(\reg_rdata[1] ),
+    .B2(_0971_),
     .X(_0590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2825_ (.A(_1015_),
-    .X(_0104_),
+ sky130_fd_sc_hd__buf_2 _2742_ (.A(_0911_),
+    .X(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2826_ (.A(cfg_clk_ctrl2[5]),
-    .Y(_1023_),
+ sky130_fd_sc_hd__buf_2 _2743_ (.A(_0983_),
+    .X(_0112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2827_ (.A(wbm_dat_i[5]),
-    .X(_1024_),
+ sky130_fd_sc_hd__and2_4 _2744_ (.A(cfg_clk_ctrl2[0]),
+    .B(_0972_),
+    .X(_0984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2828_ (.A1_N(_1023_),
-    .A2_N(_1021_),
-    .B1(_1024_),
-    .B2(_1021_),
+ sky130_fd_sc_hd__and2_4 _2745_ (.A(cfg_clk_ctrl1[0]),
+    .B(_0974_),
+    .X(_0985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2746_ (.A1(_0925_),
+    .A2(_0985_),
+    .B1(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+    .B2(_0976_),
+    .X(_0986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2747_ (.A1(_0984_),
+    .A2(_0986_),
+    .B1(cfg_glb_ctrl[0]),
+    .B2(_0969_),
+    .X(_0987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2748_ (.A1(_0965_),
+    .A2(_0987_),
+    .B1(\reg_rdata[0] ),
+    .B2(_0971_),
     .X(_0589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2829_ (.A(_0657_),
-    .X(_1025_),
+ sky130_fd_sc_hd__buf_2 _2749_ (.A(_0983_),
+    .X(_0111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2830_ (.A(_1025_),
-    .X(_1026_),
+ sky130_fd_sc_hd__inv_2 _2750_ (.A(cfg_clk_ctrl1[9]),
+    .Y(_0988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2831_ (.A(_1026_),
-    .X(_1027_),
+ sky130_fd_sc_hd__and4_4 _2751_ (.A(_0644_),
+    .B(_0645_),
+    .C(wbm_adr_i[3]),
+    .D(_0976_),
+    .X(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2832_ (.A(_1027_),
-    .X(_0103_),
+ sky130_fd_sc_hd__buf_2 _2752_ (.A(_0989_),
+    .X(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2833_ (.A(cfg_clk_ctrl2[6]),
-    .Y(_1028_),
+ sky130_fd_sc_hd__buf_2 _2753_ (.A(_0990_),
+    .X(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2834_ (.A(_1011_),
-    .X(_1029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2835_ (.A(wbm_dat_i[6]),
-    .X(_1030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2836_ (.A1_N(_1028_),
-    .A2_N(_1029_),
-    .B1(_1030_),
-    .B2(_1029_),
+ sky130_fd_sc_hd__a2bb2o_4 _2754_ (.A1_N(_0988_),
+    .A2_N(_0991_),
+    .B1(_0649_),
+    .B2(_0991_),
     .X(_0588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2837_ (.A(_1027_),
-    .X(_0102_),
+ sky130_fd_sc_hd__buf_2 _2755_ (.A(_0983_),
+    .X(_0110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2838_ (.A(cfg_clk_ctrl2[7]),
-    .Y(_1031_),
+ sky130_fd_sc_hd__buf_2 _2756_ (.A(_0645_),
+    .X(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2839_ (.A(wbm_dat_i[7]),
-    .X(_1032_),
+ sky130_fd_sc_hd__and3_4 _2757_ (.A(_0644_),
+    .B(_0992_),
+    .C(_0972_),
+    .X(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2840_ (.A1_N(_1031_),
-    .A2_N(_1029_),
-    .B1(_1032_),
-    .B2(_1029_),
+ sky130_fd_sc_hd__buf_2 _2758_ (.A(_0993_),
+    .X(_0994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2759_ (.A(_0994_),
+    .X(_0995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2760_ (.A(wbm_dat_i[19]),
+    .X(_0996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2761_ (.A1_N(_0869_),
+    .A2_N(_0995_),
+    .B1(_0996_),
+    .B2(_0995_),
     .X(_0587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2841_ (.A(_1027_),
-    .X(_0101_),
+ sky130_fd_sc_hd__buf_2 _2762_ (.A(_0983_),
+    .X(_0109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2842_ (.A(cfg_clk_ctrl2[8]),
-    .Y(_1033_),
+ sky130_fd_sc_hd__buf_2 _2763_ (.A(wbm_dat_i[29]),
+    .X(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2843_ (.A(_1010_),
-    .X(_1034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2844_ (.A(_1034_),
-    .X(_1035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2845_ (.A(wbm_dat_i[8]),
-    .X(_1036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2846_ (.A1_N(_1033_),
-    .A2_N(_1035_),
-    .B1(_1036_),
-    .B2(_1035_),
+ sky130_fd_sc_hd__a2bb2o_4 _2764_ (.A1_N(_0803_),
+    .A2_N(_0995_),
+    .B1(_0997_),
+    .B2(_0995_),
     .X(_0586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2847_ (.A(_1027_),
-    .X(_0100_),
+ sky130_fd_sc_hd__buf_2 _2765_ (.A(_0807_),
+    .X(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2848_ (.A(cfg_clk_ctrl2[0]),
-    .Y(_1037_),
+ sky130_fd_sc_hd__buf_2 _2766_ (.A(_0998_),
+    .X(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2849_ (.A(wbm_dat_i[0]),
-    .X(_1038_),
+ sky130_fd_sc_hd__buf_2 _2767_ (.A(_0999_),
+    .X(_0108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2850_ (.A1_N(_1037_),
-    .A2_N(_1035_),
-    .B1(_1038_),
-    .B2(_1035_),
+ sky130_fd_sc_hd__buf_2 _2768_ (.A(_0994_),
+    .X(_1000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2769_ (.A(wbm_dat_i[31]),
+    .X(_1001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2770_ (.A1_N(_0785_),
+    .A2_N(_1000_),
+    .B1(_1001_),
+    .B2(_1000_),
     .X(_0585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2851_ (.A(_1026_),
-    .X(_1039_),
+ sky130_fd_sc_hd__buf_2 _2771_ (.A(_0999_),
+    .X(_0107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2852_ (.A(_1039_),
-    .X(_0099_),
+ sky130_fd_sc_hd__inv_2 _2772_ (.A(cfg_clk_ctrl2[3]),
+    .Y(_1002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2853_ (.A(cfg_clk_ctrl2[10]),
-    .Y(_1040_),
+ sky130_fd_sc_hd__buf_2 _2773_ (.A(wbm_dat_i[3]),
+    .X(_1003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2854_ (.A(_1034_),
-    .X(_1041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2855_ (.A(wbm_dat_i[10]),
-    .X(_1042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2856_ (.A1_N(_1040_),
-    .A2_N(_1041_),
-    .B1(_1042_),
-    .B2(_1041_),
+ sky130_fd_sc_hd__a2bb2o_4 _2774_ (.A1_N(_1002_),
+    .A2_N(_1000_),
+    .B1(_1003_),
+    .B2(_1000_),
     .X(_0584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2857_ (.A(_1039_),
-    .X(_0098_),
+ sky130_fd_sc_hd__buf_2 _2775_ (.A(_0999_),
+    .X(_0106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2858_ (.A(wbm_dat_i[11]),
-    .X(_1043_),
+ sky130_fd_sc_hd__inv_2 _2776_ (.A(cfg_clk_ctrl2[4]),
+    .Y(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2859_ (.A1_N(_0935_),
-    .A2_N(_1041_),
-    .B1(_1043_),
-    .B2(_1041_),
+ sky130_fd_sc_hd__buf_2 _2777_ (.A(_0994_),
+    .X(_1005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2778_ (.A(wbm_dat_i[4]),
+    .X(_1006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2779_ (.A1_N(_1004_),
+    .A2_N(_1005_),
+    .B1(_1006_),
+    .B2(_1005_),
     .X(_0583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2860_ (.A(_1039_),
-    .X(_0097_),
+ sky130_fd_sc_hd__buf_2 _2780_ (.A(_0999_),
+    .X(_0105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2861_ (.A(_1034_),
-    .X(_1044_),
+ sky130_fd_sc_hd__inv_2 _2781_ (.A(cfg_clk_ctrl2[5]),
+    .Y(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2862_ (.A(wbm_dat_i[12]),
-    .X(_1045_),
+ sky130_fd_sc_hd__buf_2 _2782_ (.A(wbm_dat_i[5]),
+    .X(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2863_ (.A1_N(_0930_),
-    .A2_N(_1044_),
-    .B1(_1045_),
-    .B2(_1044_),
+ sky130_fd_sc_hd__a2bb2o_4 _2783_ (.A1_N(_1007_),
+    .A2_N(_1005_),
+    .B1(_1008_),
+    .B2(_1005_),
     .X(_0582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2864_ (.A(_1039_),
-    .X(_0096_),
+ sky130_fd_sc_hd__buf_2 _2784_ (.A(_0998_),
+    .X(_1009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2865_ (.A(wbm_dat_i[13]),
-    .X(_1046_),
+ sky130_fd_sc_hd__buf_2 _2785_ (.A(_1009_),
+    .X(_0104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2866_ (.A1_N(_0924_),
-    .A2_N(_1044_),
-    .B1(_1046_),
-    .B2(_1044_),
+ sky130_fd_sc_hd__inv_2 _2786_ (.A(cfg_clk_ctrl2[6]),
+    .Y(_1010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2787_ (.A(_0994_),
+    .X(_1011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2788_ (.A(wbm_dat_i[6]),
+    .X(_1012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2789_ (.A1_N(_1010_),
+    .A2_N(_1011_),
+    .B1(_1012_),
+    .B2(_1011_),
     .X(_0581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2867_ (.A(_1026_),
-    .X(_1047_),
+ sky130_fd_sc_hd__buf_2 _2790_ (.A(_1009_),
+    .X(_0103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2868_ (.A(_1047_),
-    .X(_0095_),
+ sky130_fd_sc_hd__inv_2 _2791_ (.A(cfg_clk_ctrl2[7]),
+    .Y(_1013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2869_ (.A(_1034_),
-    .X(_1048_),
+ sky130_fd_sc_hd__buf_2 _2792_ (.A(wbm_dat_i[7]),
+    .X(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2870_ (.A(wbm_dat_i[14]),
-    .X(_1049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2871_ (.A1_N(_0919_),
-    .A2_N(_1048_),
-    .B1(_1049_),
-    .B2(_1048_),
+ sky130_fd_sc_hd__a2bb2o_4 _2793_ (.A1_N(_1013_),
+    .A2_N(_1011_),
+    .B1(_1014_),
+    .B2(_1011_),
     .X(_0580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2872_ (.A(_1047_),
-    .X(_0094_),
+ sky130_fd_sc_hd__buf_2 _2794_ (.A(_1009_),
+    .X(_0102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2873_ (.A(wbm_dat_i[15]),
-    .X(_1050_),
+ sky130_fd_sc_hd__inv_2 _2795_ (.A(cfg_clk_ctrl2[8]),
+    .Y(_1015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2874_ (.A1_N(_0911_),
-    .A2_N(_1048_),
-    .B1(_1050_),
-    .B2(_1048_),
+ sky130_fd_sc_hd__buf_2 _2796_ (.A(_0993_),
+    .X(_1016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2797_ (.A(_1016_),
+    .X(_1017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2798_ (.A(wbm_dat_i[8]),
+    .X(_1018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2799_ (.A1_N(_1015_),
+    .A2_N(_1017_),
+    .B1(_1018_),
+    .B2(_1017_),
     .X(_0579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2875_ (.A(_1047_),
-    .X(_0093_),
+ sky130_fd_sc_hd__buf_2 _2800_ (.A(_1009_),
+    .X(_0101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2876_ (.A(_1010_),
-    .X(_1051_),
+ sky130_fd_sc_hd__inv_2 _2801_ (.A(cfg_clk_ctrl2[0]),
+    .Y(_1019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2877_ (.A(_1051_),
-    .X(_1052_),
+ sky130_fd_sc_hd__buf_2 _2802_ (.A(wbm_dat_i[0]),
+    .X(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2878_ (.A(wbm_dat_i[16]),
-    .X(_1053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2879_ (.A1_N(_0905_),
-    .A2_N(_1052_),
-    .B1(_1053_),
-    .B2(_1052_),
+ sky130_fd_sc_hd__a2bb2o_4 _2803_ (.A1_N(_1019_),
+    .A2_N(_1017_),
+    .B1(_1020_),
+    .B2(_1017_),
     .X(_0578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2880_ (.A(_1047_),
-    .X(_0092_),
+ sky130_fd_sc_hd__buf_2 _2804_ (.A(_0998_),
+    .X(_1021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2881_ (.A(wbm_dat_i[17]),
-    .X(_1054_),
+ sky130_fd_sc_hd__buf_2 _2805_ (.A(_1021_),
+    .X(_0100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2882_ (.A1_N(_0899_),
-    .A2_N(_1052_),
-    .B1(_1054_),
-    .B2(_1052_),
+ sky130_fd_sc_hd__inv_2 _2806_ (.A(cfg_clk_ctrl2[10]),
+    .Y(_1022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2807_ (.A(_1016_),
+    .X(_1023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2808_ (.A(wbm_dat_i[10]),
+    .X(_1024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2809_ (.A1_N(_1022_),
+    .A2_N(_1023_),
+    .B1(_1024_),
+    .B2(_1023_),
     .X(_0577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2883_ (.A(_1026_),
-    .X(_1055_),
+ sky130_fd_sc_hd__buf_2 _2810_ (.A(_1021_),
+    .X(_0099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2884_ (.A(_1055_),
-    .X(_0091_),
+ sky130_fd_sc_hd__buf_2 _2811_ (.A(wbm_dat_i[11]),
+    .X(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2885_ (.A(_1051_),
-    .X(_1056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2886_ (.A(wbm_dat_i[18]),
-    .X(_1057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2887_ (.A1_N(_0894_),
-    .A2_N(_1056_),
-    .B1(_1057_),
-    .B2(_1056_),
+ sky130_fd_sc_hd__a2bb2o_4 _2812_ (.A1_N(_0919_),
+    .A2_N(_1023_),
+    .B1(_1025_),
+    .B2(_1023_),
     .X(_0576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2888_ (.A(_1055_),
-    .X(_0090_),
+ sky130_fd_sc_hd__buf_2 _2813_ (.A(_1021_),
+    .X(_0098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2889_ (.A(cfg_clk_ctrl2[1]),
-    .Y(_1058_),
+ sky130_fd_sc_hd__buf_2 _2814_ (.A(_1016_),
+    .X(_1026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2890_ (.A(wbm_dat_i[1]),
-    .X(_1059_),
+ sky130_fd_sc_hd__buf_2 _2815_ (.A(wbm_dat_i[12]),
+    .X(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2891_ (.A1_N(_1058_),
-    .A2_N(_1056_),
-    .B1(_1059_),
-    .B2(_1056_),
+ sky130_fd_sc_hd__a2bb2o_4 _2816_ (.A1_N(_0914_),
+    .A2_N(_1026_),
+    .B1(_1027_),
+    .B2(_1026_),
     .X(_0575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2892_ (.A(_1055_),
-    .X(_0089_),
+ sky130_fd_sc_hd__buf_2 _2817_ (.A(_1021_),
+    .X(_0097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2893_ (.A(_1051_),
-    .X(_1060_),
+ sky130_fd_sc_hd__buf_2 _2818_ (.A(wbm_dat_i[13]),
+    .X(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2894_ (.A(wbm_dat_i[20]),
-    .X(_1061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2895_ (.A1_N(_0880_),
-    .A2_N(_1060_),
-    .B1(_1061_),
-    .B2(_1060_),
+ sky130_fd_sc_hd__a2bb2o_4 _2819_ (.A1_N(_0907_),
+    .A2_N(_1026_),
+    .B1(_1028_),
+    .B2(_1026_),
     .X(_0574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2896_ (.A(_1055_),
-    .X(_0088_),
+ sky130_fd_sc_hd__buf_2 _2820_ (.A(_0998_),
+    .X(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2897_ (.A(wbm_dat_i[21]),
-    .X(_1062_),
+ sky130_fd_sc_hd__buf_2 _2821_ (.A(_1029_),
+    .X(_0096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2898_ (.A1_N(_0874_),
-    .A2_N(_1060_),
-    .B1(_1062_),
-    .B2(_1060_),
+ sky130_fd_sc_hd__buf_2 _2822_ (.A(_1016_),
+    .X(_1030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2823_ (.A(wbm_dat_i[14]),
+    .X(_1031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2824_ (.A1_N(_0902_),
+    .A2_N(_1030_),
+    .B1(_1031_),
+    .B2(_1030_),
     .X(_0573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2899_ (.A(_1025_),
-    .X(_1063_),
+ sky130_fd_sc_hd__buf_2 _2825_ (.A(_1029_),
+    .X(_0095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2900_ (.A(_1063_),
-    .X(_1064_),
+ sky130_fd_sc_hd__buf_2 _2826_ (.A(wbm_dat_i[15]),
+    .X(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2901_ (.A(_1064_),
-    .X(_0087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2902_ (.A(_1051_),
-    .X(_1065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2903_ (.A(wbm_dat_i[22]),
-    .X(_1066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2904_ (.A1_N(_0869_),
-    .A2_N(_1065_),
-    .B1(_1066_),
-    .B2(_1065_),
+ sky130_fd_sc_hd__a2bb2o_4 _2827_ (.A1_N(_0894_),
+    .A2_N(_1030_),
+    .B1(_1032_),
+    .B2(_1030_),
     .X(_0572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2905_ (.A(_1064_),
-    .X(_0086_),
+ sky130_fd_sc_hd__buf_2 _2828_ (.A(_1029_),
+    .X(_0094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2906_ (.A(wbm_dat_i[23]),
-    .X(_1067_),
+ sky130_fd_sc_hd__buf_2 _2829_ (.A(_0993_),
+    .X(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2907_ (.A1_N(_0861_),
-    .A2_N(_1065_),
-    .B1(_1067_),
-    .B2(_1065_),
+ sky130_fd_sc_hd__buf_2 _2830_ (.A(_1033_),
+    .X(_1034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2831_ (.A(wbm_dat_i[16]),
+    .X(_1035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2832_ (.A1_N(_0888_),
+    .A2_N(_1034_),
+    .B1(_1035_),
+    .B2(_1034_),
     .X(_0571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2908_ (.A(_1064_),
-    .X(_0085_),
+ sky130_fd_sc_hd__buf_2 _2833_ (.A(_1029_),
+    .X(_0093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2909_ (.A(_1010_),
-    .X(_1068_),
+ sky130_fd_sc_hd__buf_2 _2834_ (.A(wbm_dat_i[17]),
+    .X(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2910_ (.A(_1068_),
-    .X(_1069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2911_ (.A(wbm_dat_i[24]),
-    .X(_1070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2912_ (.A1_N(_0855_),
-    .A2_N(_1069_),
-    .B1(_1070_),
-    .B2(_1069_),
+ sky130_fd_sc_hd__a2bb2o_4 _2835_ (.A1_N(_0882_),
+    .A2_N(_1034_),
+    .B1(_1036_),
+    .B2(_1034_),
     .X(_0570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2913_ (.A(_1064_),
-    .X(_0084_),
+ sky130_fd_sc_hd__buf_2 _2836_ (.A(_0807_),
+    .X(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2914_ (.A(wbm_dat_i[25]),
-    .X(_1071_),
+ sky130_fd_sc_hd__buf_2 _2837_ (.A(_1037_),
+    .X(_1038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2915_ (.A1_N(_0848_),
-    .A2_N(_1069_),
-    .B1(_1071_),
-    .B2(_1069_),
+ sky130_fd_sc_hd__buf_2 _2838_ (.A(_1038_),
+    .X(_0092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2839_ (.A(_1033_),
+    .X(_1039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2840_ (.A(wbm_dat_i[18]),
+    .X(_1040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2841_ (.A1_N(_0877_),
+    .A2_N(_1039_),
+    .B1(_1040_),
+    .B2(_1039_),
     .X(_0569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2916_ (.A(_1063_),
-    .X(_1072_),
+ sky130_fd_sc_hd__buf_2 _2842_ (.A(_1038_),
+    .X(_0091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2917_ (.A(_1072_),
-    .X(_0083_),
+ sky130_fd_sc_hd__inv_2 _2843_ (.A(cfg_clk_ctrl2[1]),
+    .Y(_1041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2918_ (.A(_1068_),
-    .X(_1073_),
+ sky130_fd_sc_hd__buf_2 _2844_ (.A(wbm_dat_i[1]),
+    .X(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2919_ (.A(wbm_dat_i[26]),
-    .X(_1074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2920_ (.A1_N(_0843_),
-    .A2_N(_1073_),
-    .B1(_1074_),
-    .B2(_1073_),
+ sky130_fd_sc_hd__a2bb2o_4 _2845_ (.A1_N(_1041_),
+    .A2_N(_1039_),
+    .B1(_1042_),
+    .B2(_1039_),
     .X(_0568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2921_ (.A(_1072_),
-    .X(_0082_),
+ sky130_fd_sc_hd__buf_2 _2846_ (.A(_1038_),
+    .X(_0090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2922_ (.A(wbm_dat_i[27]),
-    .X(_1075_),
+ sky130_fd_sc_hd__buf_2 _2847_ (.A(_1033_),
+    .X(_1043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2923_ (.A1_N(_0834_),
-    .A2_N(_1073_),
-    .B1(_1075_),
-    .B2(_1073_),
+ sky130_fd_sc_hd__buf_2 _2848_ (.A(wbm_dat_i[20]),
+    .X(_1044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2849_ (.A1_N(_0863_),
+    .A2_N(_1043_),
+    .B1(_1044_),
+    .B2(_1043_),
     .X(_0567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2924_ (.A(_1072_),
-    .X(_0081_),
+ sky130_fd_sc_hd__buf_2 _2850_ (.A(_1038_),
+    .X(_0089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2925_ (.A(_1068_),
-    .X(_1076_),
+ sky130_fd_sc_hd__buf_2 _2851_ (.A(wbm_dat_i[21]),
+    .X(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2926_ (.A(wbm_dat_i[28]),
-    .X(_1077_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2927_ (.A1_N(_0827_),
-    .A2_N(_1076_),
-    .B1(_1077_),
-    .B2(_1076_),
+ sky130_fd_sc_hd__a2bb2o_4 _2852_ (.A1_N(_0857_),
+    .A2_N(_1043_),
+    .B1(_1045_),
+    .B2(_1043_),
     .X(_0566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2928_ (.A(_1072_),
-    .X(_0080_),
+ sky130_fd_sc_hd__buf_2 _2853_ (.A(_1037_),
+    .X(_1046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2929_ (.A(cfg_clk_ctrl2[2]),
-    .Y(_1078_),
+ sky130_fd_sc_hd__buf_2 _2854_ (.A(_1046_),
+    .X(_0088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2930_ (.A(wbm_dat_i[2]),
-    .X(_1079_),
+ sky130_fd_sc_hd__buf_2 _2855_ (.A(_1033_),
+    .X(_1047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2931_ (.A1_N(_1078_),
-    .A2_N(_1076_),
-    .B1(_1079_),
-    .B2(_1076_),
+ sky130_fd_sc_hd__buf_2 _2856_ (.A(wbm_dat_i[22]),
+    .X(_1048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2857_ (.A1_N(_0852_),
+    .A2_N(_1047_),
+    .B1(_1048_),
+    .B2(_1047_),
     .X(_0565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2932_ (.A(_1063_),
-    .X(_1080_),
+ sky130_fd_sc_hd__buf_2 _2858_ (.A(_1046_),
+    .X(_0087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2933_ (.A(_1080_),
-    .X(_0079_),
+ sky130_fd_sc_hd__buf_2 _2859_ (.A(wbm_dat_i[23]),
+    .X(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2934_ (.A(_1068_),
-    .X(_1081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2935_ (.A(wbm_dat_i[30]),
-    .X(_1082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2936_ (.A1_N(_0816_),
-    .A2_N(_1081_),
-    .B1(_1082_),
-    .B2(_1081_),
+ sky130_fd_sc_hd__a2bb2o_4 _2860_ (.A1_N(_0844_),
+    .A2_N(_1047_),
+    .B1(_1049_),
+    .B2(_1047_),
     .X(_0564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2937_ (.A(_1080_),
-    .X(_0078_),
+ sky130_fd_sc_hd__buf_2 _2861_ (.A(_1046_),
+    .X(_0086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2938_ (.A(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
-    .Y(_1083_),
+ sky130_fd_sc_hd__buf_2 _2862_ (.A(_0993_),
+    .X(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _2939_ (.A(_0790_),
-    .B(_1009_),
-    .C(_0958_),
-    .D(_0808_),
-    .X(_1084_),
+ sky130_fd_sc_hd__buf_2 _2863_ (.A(_1050_),
+    .X(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2940_ (.A(_1084_),
-    .X(_1085_),
+ sky130_fd_sc_hd__buf_2 _2864_ (.A(wbm_dat_i[24]),
+    .X(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2941_ (.A1_N(_1083_),
-    .A2_N(_1085_),
-    .B1(_1032_),
-    .B2(_1085_),
+ sky130_fd_sc_hd__a2bb2o_4 _2865_ (.A1_N(_0838_),
+    .A2_N(_1051_),
+    .B1(_1052_),
+    .B2(_1051_),
     .X(_0563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2942_ (.A(_1080_),
-    .X(_0077_),
+ sky130_fd_sc_hd__buf_2 _2866_ (.A(_1046_),
+    .X(_0085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2943_ (.A1_N(_0888_),
-    .A2_N(_1008_),
-    .B1(_1013_),
-    .B2(_1008_),
+ sky130_fd_sc_hd__buf_2 _2867_ (.A(wbm_dat_i[25]),
+    .X(_1053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2868_ (.A1_N(_0832_),
+    .A2_N(_1051_),
+    .B1(_1053_),
+    .B2(_1051_),
     .X(_0562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2944_ (.A(_1080_),
-    .X(_0076_),
+ sky130_fd_sc_hd__buf_2 _2869_ (.A(_1037_),
+    .X(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2945_ (.A(_1007_),
-    .X(_1086_),
+ sky130_fd_sc_hd__buf_2 _2870_ (.A(_1054_),
+    .X(_0084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2946_ (.A1_N(_0822_),
-    .A2_N(_1086_),
-    .B1(_1014_),
-    .B2(_1086_),
+ sky130_fd_sc_hd__buf_2 _2871_ (.A(_1050_),
+    .X(_1055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2872_ (.A(wbm_dat_i[26]),
+    .X(_1056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2873_ (.A1_N(_0827_),
+    .A2_N(_1055_),
+    .B1(_1056_),
+    .B2(_1055_),
     .X(_0561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2947_ (.A(_1063_),
-    .X(_1087_),
+ sky130_fd_sc_hd__buf_2 _2874_ (.A(_1054_),
+    .X(_0083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2948_ (.A(_1087_),
-    .X(_0075_),
+ sky130_fd_sc_hd__buf_2 _2875_ (.A(wbm_dat_i[27]),
+    .X(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2949_ (.A1_N(_0807_),
-    .A2_N(_1086_),
-    .B1(_1017_),
-    .B2(_1086_),
+ sky130_fd_sc_hd__a2bb2o_4 _2876_ (.A1_N(_0818_),
+    .A2_N(_1055_),
+    .B1(_1057_),
+    .B2(_1055_),
     .X(_0560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2950_ (.A(_1087_),
-    .X(_0074_),
+ sky130_fd_sc_hd__buf_2 _2877_ (.A(_1054_),
+    .X(_0082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2951_ (.A(cfg_clk_ctrl1[3]),
-    .Y(_1088_),
+ sky130_fd_sc_hd__buf_2 _2878_ (.A(_1050_),
+    .X(_1058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2952_ (.A(_1007_),
-    .X(_1089_),
+ sky130_fd_sc_hd__buf_2 _2879_ (.A(wbm_dat_i[28]),
+    .X(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2953_ (.A1_N(_1088_),
-    .A2_N(_1089_),
-    .B1(_1019_),
-    .B2(_1089_),
+ sky130_fd_sc_hd__a2bb2o_4 _2880_ (.A1_N(_0811_),
+    .A2_N(_1058_),
+    .B1(_1059_),
+    .B2(_1058_),
     .X(_0559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2954_ (.A(_1087_),
-    .X(_0073_),
+ sky130_fd_sc_hd__buf_2 _2881_ (.A(_1054_),
+    .X(_0081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2955_ (.A(cfg_clk_ctrl1[4]),
-    .Y(_1090_),
+ sky130_fd_sc_hd__inv_2 _2882_ (.A(cfg_clk_ctrl2[2]),
+    .Y(_1060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2956_ (.A1_N(_1090_),
-    .A2_N(_1089_),
-    .B1(_1022_),
-    .B2(_1089_),
+ sky130_fd_sc_hd__buf_2 _2883_ (.A(wbm_dat_i[2]),
+    .X(_1061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2884_ (.A1_N(_1060_),
+    .A2_N(_1058_),
+    .B1(_1061_),
+    .B2(_1058_),
     .X(_0558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2957_ (.A(_1087_),
-    .X(_0072_),
+ sky130_fd_sc_hd__buf_2 _2885_ (.A(_1037_),
+    .X(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2958_ (.A(cfg_clk_ctrl1[5]),
-    .Y(_1091_),
+ sky130_fd_sc_hd__buf_2 _2886_ (.A(_1062_),
+    .X(_0080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2959_ (.A(_1007_),
-    .X(_1092_),
+ sky130_fd_sc_hd__buf_2 _2887_ (.A(_1050_),
+    .X(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2960_ (.A1_N(_1091_),
-    .A2_N(_1092_),
-    .B1(_1024_),
-    .B2(_1092_),
+ sky130_fd_sc_hd__buf_2 _2888_ (.A(wbm_dat_i[30]),
+    .X(_1064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2889_ (.A1_N(_0798_),
+    .A2_N(_1063_),
+    .B1(_1064_),
+    .B2(_1063_),
     .X(_0557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2961_ (.A(_1025_),
-    .X(_1093_),
+ sky130_fd_sc_hd__buf_2 _2890_ (.A(_1062_),
+    .X(_0079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2962_ (.A(_1093_),
-    .X(_1094_),
+ sky130_fd_sc_hd__inv_2 _2891_ (.A(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
+    .Y(_1065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2963_ (.A(_1094_),
-    .X(_0071_),
+ sky130_fd_sc_hd__and4_4 _2892_ (.A(_0772_),
+    .B(_0992_),
+    .C(_0941_),
+    .D(_0790_),
+    .X(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2964_ (.A(cfg_clk_ctrl1[6]),
-    .Y(_1095_),
+ sky130_fd_sc_hd__buf_2 _2893_ (.A(_1066_),
+    .X(_1067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2965_ (.A1_N(_1095_),
-    .A2_N(_1092_),
-    .B1(_1030_),
-    .B2(_1092_),
+ sky130_fd_sc_hd__a2bb2o_4 _2894_ (.A1_N(_1065_),
+    .A2_N(_1067_),
+    .B1(_1014_),
+    .B2(_1067_),
     .X(_0556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2966_ (.A(_1094_),
-    .X(_0070_),
+ sky130_fd_sc_hd__buf_2 _2895_ (.A(_1062_),
+    .X(_0078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2967_ (.A(cfg_clk_ctrl1[7]),
-    .Y(_1096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2968_ (.A(_1006_),
-    .X(_1097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2969_ (.A(_1097_),
-    .X(_1098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2970_ (.A1_N(_1096_),
-    .A2_N(_1098_),
-    .B1(_1032_),
-    .B2(_1098_),
+ sky130_fd_sc_hd__a2bb2o_4 _2896_ (.A1_N(_0871_),
+    .A2_N(_0991_),
+    .B1(_0996_),
+    .B2(_0991_),
     .X(_0555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2971_ (.A(_1094_),
-    .X(_0069_),
+ sky130_fd_sc_hd__buf_2 _2897_ (.A(_1062_),
+    .X(_0077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2972_ (.A(cfg_clk_ctrl1[8]),
-    .Y(_1099_),
+ sky130_fd_sc_hd__buf_2 _2898_ (.A(_0990_),
+    .X(_1068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2973_ (.A1_N(_1099_),
-    .A2_N(_1098_),
-    .B1(_1036_),
-    .B2(_1098_),
+ sky130_fd_sc_hd__a2bb2o_4 _2899_ (.A1_N(_0804_),
+    .A2_N(_1068_),
+    .B1(_0997_),
+    .B2(_1068_),
     .X(_0554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2974_ (.A(_1094_),
-    .X(_0068_),
+ sky130_fd_sc_hd__buf_2 _2900_ (.A(_0650_),
+    .X(_1069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2975_ (.A(cfg_clk_ctrl1[0]),
-    .Y(_1100_),
+ sky130_fd_sc_hd__buf_2 _2901_ (.A(_1069_),
+    .X(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2976_ (.A(_1097_),
-    .X(_1101_),
+ sky130_fd_sc_hd__buf_2 _2902_ (.A(_1070_),
+    .X(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2977_ (.A1_N(_1100_),
-    .A2_N(_1101_),
-    .B1(_1038_),
-    .B2(_1101_),
+ sky130_fd_sc_hd__buf_2 _2903_ (.A(_1071_),
+    .X(_0076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2904_ (.A1_N(_0789_),
+    .A2_N(_1068_),
+    .B1(_1001_),
+    .B2(_1068_),
     .X(_0553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2978_ (.A(_1093_),
-    .X(_1102_),
+ sky130_fd_sc_hd__buf_2 _2905_ (.A(_1071_),
+    .X(_0075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2979_ (.A(_1102_),
-    .X(_0067_),
+ sky130_fd_sc_hd__inv_2 _2906_ (.A(cfg_clk_ctrl1[3]),
+    .Y(_1072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _2980_ (.A(cfg_clk_ctrl1[10]),
-    .Y(_1103_),
+ sky130_fd_sc_hd__buf_2 _2907_ (.A(_0990_),
+    .X(_1073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2981_ (.A1_N(_1103_),
-    .A2_N(_1101_),
-    .B1(_1042_),
-    .B2(_1101_),
+ sky130_fd_sc_hd__a2bb2o_4 _2908_ (.A1_N(_1072_),
+    .A2_N(_1073_),
+    .B1(_1003_),
+    .B2(_1073_),
     .X(_0552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2982_ (.A(_1102_),
-    .X(_0066_),
+ sky130_fd_sc_hd__buf_2 _2909_ (.A(_1071_),
+    .X(_0074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2983_ (.A(_1097_),
-    .X(_1104_),
+ sky130_fd_sc_hd__inv_2 _2910_ (.A(cfg_clk_ctrl1[4]),
+    .Y(_1074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2984_ (.A1_N(_0936_),
-    .A2_N(_1104_),
-    .B1(_1043_),
-    .B2(_1104_),
+ sky130_fd_sc_hd__a2bb2o_4 _2911_ (.A1_N(_1074_),
+    .A2_N(_1073_),
+    .B1(_1006_),
+    .B2(_1073_),
     .X(_0551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2985_ (.A(_1102_),
-    .X(_0065_),
+ sky130_fd_sc_hd__buf_2 _2912_ (.A(_1071_),
+    .X(_0073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2986_ (.A1_N(_0931_),
-    .A2_N(_1104_),
-    .B1(_1045_),
-    .B2(_1104_),
+ sky130_fd_sc_hd__inv_2 _2913_ (.A(cfg_clk_ctrl1[5]),
+    .Y(_1075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2914_ (.A(_0990_),
+    .X(_1076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2915_ (.A1_N(_1075_),
+    .A2_N(_1076_),
+    .B1(_1008_),
+    .B2(_1076_),
     .X(_0550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2987_ (.A(_1102_),
-    .X(_0064_),
+ sky130_fd_sc_hd__buf_2 _2916_ (.A(_1070_),
+    .X(_1077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2988_ (.A(_1097_),
-    .X(_1105_),
+ sky130_fd_sc_hd__buf_2 _2917_ (.A(_1077_),
+    .X(_0072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2989_ (.A1_N(_0925_),
-    .A2_N(_1105_),
-    .B1(_1046_),
-    .B2(_1105_),
+ sky130_fd_sc_hd__inv_2 _2918_ (.A(cfg_clk_ctrl1[6]),
+    .Y(_1078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2919_ (.A1_N(_1078_),
+    .A2_N(_1076_),
+    .B1(_1012_),
+    .B2(_1076_),
     .X(_0549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2990_ (.A(_1093_),
-    .X(_1106_),
+ sky130_fd_sc_hd__buf_2 _2920_ (.A(_1077_),
+    .X(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2991_ (.A(_1106_),
-    .X(_0063_),
+ sky130_fd_sc_hd__inv_2 _2921_ (.A(cfg_clk_ctrl1[7]),
+    .Y(_1079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2992_ (.A1_N(_0920_),
-    .A2_N(_1105_),
-    .B1(_1049_),
-    .B2(_1105_),
+ sky130_fd_sc_hd__buf_2 _2922_ (.A(_0989_),
+    .X(_1080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2923_ (.A(_1080_),
+    .X(_1081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2924_ (.A1_N(_1079_),
+    .A2_N(_1081_),
+    .B1(_1014_),
+    .B2(_1081_),
     .X(_0548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2993_ (.A(_1106_),
-    .X(_0062_),
+ sky130_fd_sc_hd__buf_2 _2925_ (.A(_1077_),
+    .X(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2994_ (.A(_1006_),
-    .X(_1107_),
+ sky130_fd_sc_hd__inv_2 _2926_ (.A(cfg_clk_ctrl1[8]),
+    .Y(_1082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2995_ (.A(_1107_),
-    .X(_1108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2996_ (.A1_N(_0913_),
-    .A2_N(_1108_),
-    .B1(_1050_),
-    .B2(_1108_),
+ sky130_fd_sc_hd__a2bb2o_4 _2927_ (.A1_N(_1082_),
+    .A2_N(_1081_),
+    .B1(_1018_),
+    .B2(_1081_),
     .X(_0547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2997_ (.A(_1106_),
-    .X(_0061_),
+ sky130_fd_sc_hd__buf_2 _2928_ (.A(_1077_),
+    .X(_0069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _2998_ (.A1_N(_0906_),
-    .A2_N(_1108_),
-    .B1(_1053_),
-    .B2(_1108_),
+ sky130_fd_sc_hd__inv_2 _2929_ (.A(cfg_clk_ctrl1[0]),
+    .Y(_1083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2930_ (.A(_1080_),
+    .X(_1084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2931_ (.A1_N(_1083_),
+    .A2_N(_1084_),
+    .B1(_1020_),
+    .B2(_1084_),
     .X(_0546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _2999_ (.A(_1106_),
-    .X(_0060_),
+ sky130_fd_sc_hd__buf_2 _2932_ (.A(_1070_),
+    .X(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3000_ (.A(_1107_),
-    .X(_1109_),
+ sky130_fd_sc_hd__buf_2 _2933_ (.A(_1085_),
+    .X(_0068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3001_ (.A1_N(_0900_),
-    .A2_N(_1109_),
-    .B1(_1054_),
-    .B2(_1109_),
+ sky130_fd_sc_hd__inv_2 _2934_ (.A(cfg_clk_ctrl1[10]),
+    .Y(_1086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2935_ (.A1_N(_1086_),
+    .A2_N(_1084_),
+    .B1(_1024_),
+    .B2(_1084_),
     .X(_0545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3002_ (.A(_1093_),
-    .X(_1110_),
+ sky130_fd_sc_hd__buf_2 _2936_ (.A(_1085_),
+    .X(_0067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3003_ (.A(_1110_),
-    .X(_0059_),
+ sky130_fd_sc_hd__buf_2 _2937_ (.A(_1080_),
+    .X(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3004_ (.A1_N(_0895_),
-    .A2_N(_1109_),
-    .B1(_1057_),
-    .B2(_1109_),
+ sky130_fd_sc_hd__a2bb2o_4 _2938_ (.A1_N(_0920_),
+    .A2_N(_1087_),
+    .B1(_1025_),
+    .B2(_1087_),
     .X(_0544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3005_ (.A(_1110_),
-    .X(_0058_),
+ sky130_fd_sc_hd__buf_2 _2939_ (.A(_1085_),
+    .X(_0066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3006_ (.A(cfg_clk_ctrl1[1]),
-    .Y(_1111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3007_ (.A(_1107_),
-    .X(_1112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3008_ (.A1_N(_1111_),
-    .A2_N(_1112_),
-    .B1(_1059_),
-    .B2(_1112_),
+ sky130_fd_sc_hd__a2bb2o_4 _2940_ (.A1_N(_0915_),
+    .A2_N(_1087_),
+    .B1(_1027_),
+    .B2(_1087_),
     .X(_0543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3009_ (.A(_1110_),
-    .X(_0057_),
+ sky130_fd_sc_hd__buf_2 _2941_ (.A(_1085_),
+    .X(_0065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3010_ (.A1_N(_0881_),
-    .A2_N(_1112_),
-    .B1(_1061_),
-    .B2(_1112_),
+ sky130_fd_sc_hd__buf_2 _2942_ (.A(_1080_),
+    .X(_1088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2943_ (.A1_N(_0908_),
+    .A2_N(_1088_),
+    .B1(_1028_),
+    .B2(_1088_),
     .X(_0542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3011_ (.A(_1110_),
-    .X(_0056_),
+ sky130_fd_sc_hd__buf_2 _2944_ (.A(_1070_),
+    .X(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3012_ (.A(_1107_),
-    .X(_1113_),
+ sky130_fd_sc_hd__buf_2 _2945_ (.A(_1089_),
+    .X(_0064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3013_ (.A1_N(_0875_),
-    .A2_N(_1113_),
-    .B1(_1062_),
-    .B2(_1113_),
+ sky130_fd_sc_hd__a2bb2o_4 _2946_ (.A1_N(_0903_),
+    .A2_N(_1088_),
+    .B1(_1031_),
+    .B2(_1088_),
     .X(_0541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3014_ (.A(_1025_),
-    .X(_1114_),
+ sky130_fd_sc_hd__buf_2 _2947_ (.A(_1089_),
+    .X(_0063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3015_ (.A(_1114_),
-    .X(_1115_),
+ sky130_fd_sc_hd__buf_2 _2948_ (.A(_0989_),
+    .X(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3016_ (.A(_1115_),
-    .X(_0055_),
+ sky130_fd_sc_hd__buf_2 _2949_ (.A(_1090_),
+    .X(_1091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3017_ (.A1_N(_0870_),
-    .A2_N(_1113_),
-    .B1(_1066_),
-    .B2(_1113_),
+ sky130_fd_sc_hd__a2bb2o_4 _2950_ (.A1_N(_0896_),
+    .A2_N(_1091_),
+    .B1(_1032_),
+    .B2(_1091_),
     .X(_0540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3018_ (.A(_1115_),
+ sky130_fd_sc_hd__buf_2 _2951_ (.A(_1089_),
+    .X(_0062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2952_ (.A1_N(_0889_),
+    .A2_N(_1091_),
+    .B1(_1035_),
+    .B2(_1091_),
+    .X(_0539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2953_ (.A(_1089_),
+    .X(_0061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2954_ (.A(_1090_),
+    .X(_1092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2955_ (.A1_N(_0883_),
+    .A2_N(_1092_),
+    .B1(_1036_),
+    .B2(_1092_),
+    .X(_0538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2956_ (.A(_1069_),
+    .X(_1093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2957_ (.A(_1093_),
+    .X(_1094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2958_ (.A(_1094_),
+    .X(_0060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2959_ (.A1_N(_0878_),
+    .A2_N(_1092_),
+    .B1(_1040_),
+    .B2(_1092_),
+    .X(_0537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2960_ (.A(_1094_),
+    .X(_0059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2961_ (.A(cfg_clk_ctrl1[1]),
+    .Y(_1095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2962_ (.A(_1090_),
+    .X(_1096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2963_ (.A1_N(_1095_),
+    .A2_N(_1096_),
+    .B1(_1042_),
+    .B2(_1096_),
+    .X(_0536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2964_ (.A(_1094_),
+    .X(_0058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2965_ (.A1_N(_0864_),
+    .A2_N(_1096_),
+    .B1(_1044_),
+    .B2(_1096_),
+    .X(_0535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2966_ (.A(_1094_),
+    .X(_0057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2967_ (.A(_1090_),
+    .X(_1097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2968_ (.A1_N(_0858_),
+    .A2_N(_1097_),
+    .B1(_1045_),
+    .B2(_1097_),
+    .X(_0534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2969_ (.A(_1093_),
+    .X(_1098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2970_ (.A(_1098_),
+    .X(_0056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2971_ (.A1_N(_0853_),
+    .A2_N(_1097_),
+    .B1(_1048_),
+    .B2(_1097_),
+    .X(_0533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2972_ (.A(_1098_),
+    .X(_0055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2973_ (.A(_0989_),
+    .X(_1099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2974_ (.A(_1099_),
+    .X(_1100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2975_ (.A1_N(_0846_),
+    .A2_N(_1100_),
+    .B1(_1049_),
+    .B2(_1100_),
+    .X(_0532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2976_ (.A(_1098_),
     .X(_0054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3019_ (.A(_1006_),
+ sky130_fd_sc_hd__a2bb2o_4 _2977_ (.A1_N(_0839_),
+    .A2_N(_1100_),
+    .B1(_1052_),
+    .B2(_1100_),
+    .X(_0531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2978_ (.A(_1098_),
+    .X(_0053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2979_ (.A(_1099_),
+    .X(_1101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2980_ (.A1_N(_0833_),
+    .A2_N(_1101_),
+    .B1(_1053_),
+    .B2(_1101_),
+    .X(_0530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2981_ (.A(_1093_),
+    .X(_1102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2982_ (.A(_1102_),
+    .X(_0052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2983_ (.A1_N(_0828_),
+    .A2_N(_1101_),
+    .B1(_1056_),
+    .B2(_1101_),
+    .X(_0529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2984_ (.A(_1102_),
+    .X(_0051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2985_ (.A(_1099_),
+    .X(_1103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2986_ (.A1_N(_0820_),
+    .A2_N(_1103_),
+    .B1(_1057_),
+    .B2(_1103_),
+    .X(_0528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2987_ (.A(_1102_),
+    .X(_0050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2988_ (.A1_N(_0812_),
+    .A2_N(_1103_),
+    .B1(_1059_),
+    .B2(_1103_),
+    .X(_0527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2989_ (.A(_1102_),
+    .X(_0049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _2990_ (.A(cfg_clk_ctrl1[2]),
+    .Y(_1104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2991_ (.A(_1099_),
+    .X(_1105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2992_ (.A1_N(_1104_),
+    .A2_N(_1105_),
+    .B1(_1061_),
+    .B2(_1105_),
+    .X(_0526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2993_ (.A(_1093_),
+    .X(_1106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2994_ (.A(_1106_),
+    .X(_0048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _2995_ (.A1_N(_0799_),
+    .A2_N(_1105_),
+    .B1(_1064_),
+    .B2(_1105_),
+    .X(_0525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2996_ (.A(_1106_),
+    .X(_0047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _2997_ (.A1(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .A2(_0737_),
+    .B1_N(_0743_),
+    .X(_0524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _2998_ (.A(_1106_),
+    .X(_0046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _2999_ (.A1(_0708_),
+    .A2(_0737_),
+    .B1(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+    .B2(_0736_),
+    .X(_0523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3000_ (.A(_1106_),
+    .X(_0045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3001_ (.A(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+    .Y(_1107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3002_ (.A1_N(_1107_),
+    .A2_N(_1067_),
+    .B1(_1020_),
+    .B2(_1067_),
+    .X(_0522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3003_ (.A(_1069_),
+    .X(_1108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3004_ (.A(_1108_),
+    .X(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3005_ (.A(_1109_),
+    .X(_0044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3006_ (.A(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+    .Y(_1110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3007_ (.A(_1066_),
+    .X(_1111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3008_ (.A1_N(_1110_),
+    .A2_N(_1111_),
+    .B1(_1042_),
+    .B2(_1111_),
+    .X(_0521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3009_ (.A(_1109_),
+    .X(_0043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3010_ (.A(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
+    .Y(_1112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3011_ (.A1_N(_1112_),
+    .A2_N(_1111_),
+    .B1(_1061_),
+    .B2(_1111_),
+    .X(_0520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3012_ (.A(_1109_),
+    .X(_0042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3013_ (.A(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
+    .Y(_1113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3014_ (.A(_1066_),
+    .X(_1114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3015_ (.A1_N(_1113_),
+    .A2_N(_1114_),
+    .B1(_1003_),
+    .B2(_1114_),
+    .X(_0519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3016_ (.A(_1109_),
+    .X(_0041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3017_ (.A(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
+    .Y(_1115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3018_ (.A1_N(_1115_),
+    .A2_N(_1114_),
+    .B1(_1006_),
+    .B2(_1114_),
+    .X(_0518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3019_ (.A(_1108_),
     .X(_1116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__buf_2 _3020_ (.A(_1116_),
-    .X(_1117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3021_ (.A1_N(_0863_),
-    .A2_N(_1117_),
-    .B1(_1067_),
-    .B2(_1117_),
-    .X(_0539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3022_ (.A(_1115_),
-    .X(_0053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3023_ (.A1_N(_0856_),
-    .A2_N(_1117_),
-    .B1(_1070_),
-    .B2(_1117_),
-    .X(_0538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3024_ (.A(_1115_),
-    .X(_0052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3025_ (.A(_1116_),
-    .X(_1118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3026_ (.A1_N(_0849_),
-    .A2_N(_1118_),
-    .B1(_1071_),
-    .B2(_1118_),
-    .X(_0537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3027_ (.A(_1114_),
-    .X(_1119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3028_ (.A(_1119_),
-    .X(_0051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3029_ (.A1_N(_0844_),
-    .A2_N(_1118_),
-    .B1(_1074_),
-    .B2(_1118_),
-    .X(_0536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3030_ (.A(_1119_),
-    .X(_0050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3031_ (.A(_1116_),
-    .X(_1120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3032_ (.A1_N(_0836_),
-    .A2_N(_1120_),
-    .B1(_1075_),
-    .B2(_1120_),
-    .X(_0535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3033_ (.A(_1119_),
-    .X(_0049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3034_ (.A1_N(_0828_),
-    .A2_N(_1120_),
-    .B1(_1077_),
-    .B2(_1120_),
-    .X(_0534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3035_ (.A(_1119_),
-    .X(_0048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3036_ (.A(cfg_clk_ctrl1[2]),
-    .Y(_1121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3037_ (.A(_1116_),
-    .X(_1122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3038_ (.A1_N(_1121_),
-    .A2_N(_1122_),
-    .B1(_1079_),
-    .B2(_1122_),
-    .X(_0533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3039_ (.A(_1114_),
-    .X(_1123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3040_ (.A(_1123_),
-    .X(_0047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3041_ (.A1_N(_0817_),
-    .A2_N(_1122_),
-    .B1(_1082_),
-    .B2(_1122_),
-    .X(_0532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3042_ (.A(_1123_),
-    .X(_0046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _3043_ (.A1(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .A2(_0753_),
-    .B1_N(_0759_),
-    .X(_0531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3044_ (.A(_1123_),
-    .X(_0045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _3045_ (.A1(_0717_),
-    .A2(_0753_),
-    .B1(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .B2(_0752_),
-    .X(_0530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3046_ (.A(_1123_),
-    .X(_0044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3047_ (.A(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
-    .Y(_1124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3048_ (.A1_N(_1124_),
-    .A2_N(_1085_),
-    .B1(_1038_),
-    .B2(_1085_),
-    .X(_0529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3049_ (.A(_1114_),
-    .X(_1125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3050_ (.A(_1125_),
-    .X(_0043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3051_ (.A(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
-    .Y(_1126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3052_ (.A(_1084_),
-    .X(_1127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3053_ (.A1_N(_1126_),
-    .A2_N(_1127_),
-    .B1(_1059_),
-    .B2(_1127_),
-    .X(_0528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3054_ (.A(_1125_),
-    .X(_0042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3055_ (.A(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
-    .Y(_1128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3056_ (.A1_N(_1128_),
-    .A2_N(_1127_),
-    .B1(_1079_),
-    .B2(_1127_),
-    .X(_0527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3057_ (.A(_1125_),
-    .X(_0041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3058_ (.A(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
-    .Y(_1129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3059_ (.A(_1084_),
-    .X(_1130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3060_ (.A1_N(_1129_),
-    .A2_N(_1130_),
-    .B1(_1019_),
-    .B2(_1130_),
-    .X(_0526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3061_ (.A(_1125_),
     .X(_0040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3062_ (.A(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
-    .Y(_1131_),
+ sky130_fd_sc_hd__inv_2 _3021_ (.A(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+    .Y(_1117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3063_ (.A1_N(_1131_),
-    .A2_N(_1130_),
-    .B1(_1022_),
-    .B2(_1130_),
-    .X(_0525_),
+ sky130_fd_sc_hd__buf_2 _3022_ (.A(_1066_),
+    .X(_1118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3064_ (.A(_0658_),
-    .X(_1132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3065_ (.A(_1132_),
-    .X(_1133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3066_ (.A(_1133_),
-    .X(_0039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3067_ (.A(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
-    .Y(_1134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3068_ (.A(_1084_),
-    .X(_1135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3069_ (.A1_N(_1134_),
-    .A2_N(_1135_),
-    .B1(_1024_),
-    .B2(_1135_),
-    .X(_0524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3070_ (.A(_1133_),
-    .X(_0038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3071_ (.A(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
-    .Y(_1136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3072_ (.A1_N(_1136_),
-    .A2_N(_1135_),
-    .B1(_1030_),
-    .B2(_1135_),
-    .X(_0523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3073_ (.A(_1133_),
-    .X(_0037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3074_ (.A(cfg_clk_ctrl2[9]),
-    .Y(_1137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3075_ (.A1_N(_1137_),
-    .A2_N(_1081_),
-    .B1(_0656_),
-    .B2(_1081_),
-    .X(_0522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3076_ (.A(_1133_),
-    .X(_0036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3077_ (.A(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .Y(_1138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3078_ (.A1_N(_1138_),
-    .A2_N(_0655_),
-    .B1(_1042_),
-    .B2(_0655_),
-    .X(_0521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3079_ (.A(_1132_),
-    .X(_1139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3080_ (.A(_1139_),
-    .X(_0035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3081_ (.A(cfg_glb_ctrl[1]),
-    .Y(_1140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3082_ (.A(_0654_),
-    .X(_1141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3083_ (.A1_N(_1140_),
-    .A2_N(_1141_),
-    .B1(_1059_),
-    .B2(_1141_),
-    .X(_0520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3084_ (.A(_1139_),
-    .X(_0034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3085_ (.A(cfg_glb_ctrl[2]),
-    .Y(_1142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3086_ (.A1_N(_1142_),
-    .A2_N(_1141_),
-    .B1(_1079_),
-    .B2(_1141_),
-    .X(_0519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3087_ (.A(_1139_),
-    .X(_0033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3088_ (.A(cfg_glb_ctrl[3]),
-    .Y(_1143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3089_ (.A(_0653_),
-    .X(_1144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3090_ (.A1_N(_1143_),
-    .A2_N(_1144_),
-    .B1(_1019_),
-    .B2(_1144_),
-    .X(_0518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3091_ (.A(_1139_),
-    .X(_0032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3092_ (.A(cfg_glb_ctrl[4]),
-    .Y(_1145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3093_ (.A1_N(_1145_),
-    .A2_N(_1144_),
-    .B1(_1022_),
-    .B2(_1144_),
+ sky130_fd_sc_hd__a2bb2o_4 _3023_ (.A1_N(_1117_),
+    .A2_N(_1118_),
+    .B1(_1008_),
+    .B2(_1118_),
     .X(_0517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3094_ (.A(_1132_),
-    .X(_1146_),
+ sky130_fd_sc_hd__buf_2 _3024_ (.A(_1116_),
+    .X(_0039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3095_ (.A(_1146_),
-    .X(_0031_),
+ sky130_fd_sc_hd__inv_2 _3025_ (.A(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+    .Y(_1119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3096_ (.A(cfg_glb_ctrl[5]),
-    .Y(_1147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3097_ (.A(_0653_),
-    .X(_1148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3098_ (.A1_N(_1147_),
-    .A2_N(_1148_),
-    .B1(_1024_),
-    .B2(_1148_),
+ sky130_fd_sc_hd__a2bb2o_4 _3026_ (.A1_N(_1119_),
+    .A2_N(_1118_),
+    .B1(_1012_),
+    .B2(_1118_),
     .X(_0516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3099_ (.A(_1146_),
-    .X(_0030_),
+ sky130_fd_sc_hd__buf_2 _3027_ (.A(_1116_),
+    .X(_0038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3100_ (.A(cfg_glb_ctrl[6]),
-    .Y(_1149_),
+ sky130_fd_sc_hd__inv_2 _3028_ (.A(cfg_clk_ctrl2[9]),
+    .Y(_1120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3101_ (.A1_N(_1149_),
-    .A2_N(_1148_),
-    .B1(_1030_),
-    .B2(_1148_),
+ sky130_fd_sc_hd__a2bb2o_4 _3029_ (.A1_N(_1120_),
+    .A2_N(_1063_),
+    .B1(_0649_),
+    .B2(_1063_),
     .X(_0515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3102_ (.A(_1146_),
-    .X(_0029_),
+ sky130_fd_sc_hd__buf_2 _3030_ (.A(_1116_),
+    .X(_0037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3103_ (.A(cfg_glb_ctrl[7]),
-    .Y(_1150_),
+ sky130_fd_sc_hd__inv_2 _3031_ (.A(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .Y(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3104_ (.A(_0653_),
-    .X(_1151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3105_ (.A1_N(_1150_),
-    .A2_N(_1151_),
-    .B1(_1032_),
-    .B2(_1151_),
+ sky130_fd_sc_hd__a2bb2o_4 _3032_ (.A1_N(_1121_),
+    .A2_N(_0648_),
+    .B1(_1024_),
+    .B2(_0648_),
     .X(_0514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3106_ (.A(_1146_),
-    .X(_0028_),
+ sky130_fd_sc_hd__buf_2 _3033_ (.A(_1108_),
+    .X(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3107_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
-    .Y(_1152_),
+ sky130_fd_sc_hd__buf_2 _3034_ (.A(_1122_),
+    .X(_0036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3108_ (.A1_N(_1152_),
-    .A2_N(_1151_),
-    .B1(_1036_),
-    .B2(_1151_),
+ sky130_fd_sc_hd__inv_2 _3035_ (.A(cfg_glb_ctrl[1]),
+    .Y(_1123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3036_ (.A(_0647_),
+    .X(_1124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3037_ (.A1_N(_1123_),
+    .A2_N(_1124_),
+    .B1(_1042_),
+    .B2(_1124_),
     .X(_0513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3109_ (.A(_1132_),
-    .X(_1153_),
+ sky130_fd_sc_hd__buf_2 _3038_ (.A(_1122_),
+    .X(_0035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3110_ (.A(_1153_),
-    .X(_0027_),
+ sky130_fd_sc_hd__inv_2 _3039_ (.A(cfg_glb_ctrl[2]),
+    .Y(_1125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3111_ (.A(cfg_glb_ctrl[0]),
-    .Y(_1154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3112_ (.A1_N(_1154_),
-    .A2_N(_0654_),
-    .B1(_1038_),
-    .B2(_0654_),
+ sky130_fd_sc_hd__a2bb2o_4 _3040_ (.A1_N(_1125_),
+    .A2_N(_1124_),
+    .B1(_1061_),
+    .B2(_1124_),
     .X(_0512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3113_ (.A(_1153_),
-    .X(_0026_),
+ sky130_fd_sc_hd__buf_2 _3041_ (.A(_1122_),
+    .X(_0034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3114_ (.A(\u_wbclk.low_count ),
-    .Y(_1155_),
+ sky130_fd_sc_hd__inv_2 _3042_ (.A(cfg_glb_ctrl[3]),
+    .Y(_1126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3115_ (.A(\u_wbclk.high_count ),
-    .Y(_1156_),
+ sky130_fd_sc_hd__buf_2 _3043_ (.A(_0646_),
+    .X(_1127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3116_ (.A1(_0646_),
-    .A2(_1155_),
-    .A3(_1156_),
-    .B1(\u_wbclk.low_count ),
-    .B2(\u_wbclk.high_count ),
+ sky130_fd_sc_hd__a2bb2o_4 _3044_ (.A1_N(_1126_),
+    .A2_N(_1127_),
+    .B1(_1003_),
+    .B2(_1127_),
     .X(_0511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3117_ (.A(_1153_),
-    .X(_0025_),
+ sky130_fd_sc_hd__buf_2 _3045_ (.A(_1122_),
+    .X(_0033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3118_ (.A(_1153_),
-    .X(_0024_),
+ sky130_fd_sc_hd__inv_2 _3046_ (.A(cfg_glb_ctrl[4]),
+    .Y(_1128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3119_ (.A(_0658_),
-    .X(_1157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3120_ (.A(_1157_),
-    .X(_1158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3121_ (.A(_1158_),
-    .X(_0023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3122_ (.A(_1158_),
-    .X(_0022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3123_ (.A(_1158_),
-    .X(_0021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3124_ (.A(_1158_),
-    .X(_0020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3125_ (.A(_1157_),
-    .X(_1159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3126_ (.A(_1159_),
-    .X(_0019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3127_ (.A(_1159_),
-    .X(_0018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3128_ (.A(_1159_),
-    .X(_0017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3129_ (.A(_1159_),
-    .X(_0016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3130_ (.A(_1157_),
-    .X(_1160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3131_ (.A(_1160_),
-    .X(_0015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3132_ (.A(_1160_),
-    .X(_0014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3133_ (.A(_1160_),
-    .X(_0013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3134_ (.A(_1160_),
-    .X(_0012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3135_ (.A(_1157_),
-    .X(_1161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3136_ (.A(_1161_),
-    .X(_0011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3137_ (.A(_1161_),
-    .X(_0010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3138_ (.A(_1161_),
-    .X(_0009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3139_ (.A(_1161_),
-    .X(_0008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3140_ (.A(_0760_),
-    .X(_1162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3141_ (.A(_1162_),
-    .X(_0007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3142_ (.A(_1162_),
-    .X(_0006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3143_ (.A(_1162_),
-    .X(_0005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3144_ (.A(_1162_),
-    .X(_0004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3145_ (.A(_0659_),
-    .X(_0003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3146_ (.A(_0757_),
-    .X(_1163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3147_ (.A(_1163_),
-    .X(_1164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3148_ (.A(_0754_),
-    .B(_0753_),
-    .X(_1165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3149_ (.A(_1165_),
-    .X(_1166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3150_ (.A(_1166_),
-    .X(_1167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3151_ (.A1(_1164_),
-    .A2(_0752_),
-    .A3(wbs_err_i),
-    .B1(\u_async_wb.u_resp_if.mem[0][32] ),
-    .B2(_1167_),
+ sky130_fd_sc_hd__a2bb2o_4 _3047_ (.A1_N(_1128_),
+    .A2_N(_1127_),
+    .B1(_1006_),
+    .B2(_1127_),
     .X(_0510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3152_ (.A(_0750_),
-    .X(_1168_),
+ sky130_fd_sc_hd__buf_2 _3048_ (.A(_1108_),
+    .X(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3153_ (.A(_1168_),
-    .X(_1169_),
+ sky130_fd_sc_hd__buf_2 _3049_ (.A(_1129_),
+    .X(_0032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3154_ (.A1(_1164_),
-    .A2(_1169_),
-    .A3(wbs_dat_i[31]),
-    .B1(\u_async_wb.u_resp_if.mem[0][31] ),
-    .B2(_1167_),
+ sky130_fd_sc_hd__inv_2 _3050_ (.A(cfg_glb_ctrl[5]),
+    .Y(_1130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3051_ (.A(_0646_),
+    .X(_1131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3052_ (.A1_N(_1130_),
+    .A2_N(_1131_),
+    .B1(_1008_),
+    .B2(_1131_),
     .X(_0509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3155_ (.A1(_1164_),
-    .A2(_1169_),
-    .A3(wbs_dat_i[30]),
-    .B1(\u_async_wb.u_resp_if.mem[0][30] ),
-    .B2(_1167_),
+ sky130_fd_sc_hd__buf_2 _3053_ (.A(_1129_),
+    .X(_0031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3054_ (.A(cfg_glb_ctrl[6]),
+    .Y(_1132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3055_ (.A1_N(_1132_),
+    .A2_N(_1131_),
+    .B1(_1012_),
+    .B2(_1131_),
     .X(_0508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3156_ (.A1(_1164_),
-    .A2(_1169_),
-    .A3(wbs_dat_i[29]),
-    .B1(\u_async_wb.u_resp_if.mem[0][29] ),
-    .B2(_1167_),
+ sky130_fd_sc_hd__buf_2 _3056_ (.A(_1129_),
+    .X(_0030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3057_ (.A(cfg_glb_ctrl[7]),
+    .Y(_1133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3058_ (.A(_0646_),
+    .X(_1134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3059_ (.A1_N(_1133_),
+    .A2_N(_1134_),
+    .B1(_1014_),
+    .B2(_1134_),
     .X(_0507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3157_ (.A(_1163_),
-    .X(_1170_),
+ sky130_fd_sc_hd__buf_2 _3060_ (.A(_1129_),
+    .X(_0029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3158_ (.A(_1166_),
-    .X(_1171_),
+ sky130_fd_sc_hd__inv_2 _3061_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
+    .Y(_1135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3159_ (.A1(_1170_),
-    .A2(_1169_),
-    .A3(wbs_dat_i[28]),
-    .B1(\u_async_wb.u_resp_if.mem[0][28] ),
-    .B2(_1171_),
+ sky130_fd_sc_hd__a2bb2o_4 _3062_ (.A1_N(_1135_),
+    .A2_N(_1134_),
+    .B1(_1018_),
+    .B2(_1134_),
     .X(_0506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3160_ (.A(_1168_),
-    .X(_1172_),
+ sky130_fd_sc_hd__buf_2 _3063_ (.A(_1069_),
+    .X(_1136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3161_ (.A1(_1170_),
-    .A2(_1172_),
-    .A3(wbs_dat_i[27]),
-    .B1(\u_async_wb.u_resp_if.mem[0][27] ),
-    .B2(_1171_),
+ sky130_fd_sc_hd__buf_2 _3064_ (.A(_1136_),
+    .X(_1137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3065_ (.A(_1137_),
+    .X(_0028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3066_ (.A(cfg_glb_ctrl[0]),
+    .Y(_1138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3067_ (.A1_N(_1138_),
+    .A2_N(_0647_),
+    .B1(_1020_),
+    .B2(_0647_),
     .X(_0505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3162_ (.A1(_1170_),
-    .A2(_1172_),
-    .A3(wbs_dat_i[26]),
-    .B1(\u_async_wb.u_resp_if.mem[0][26] ),
-    .B2(_1171_),
+ sky130_fd_sc_hd__buf_2 _3068_ (.A(_1137_),
+    .X(_0027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3069_ (.A(\u_wbclk.low_count ),
+    .Y(_1139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3070_ (.A(\u_wbclk.high_count ),
+    .Y(_1140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3071_ (.A1(_0639_),
+    .A2(_1139_),
+    .A3(_1140_),
+    .B1(\u_wbclk.low_count ),
+    .B2(\u_wbclk.high_count ),
     .X(_0504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3163_ (.A1(_1170_),
-    .A2(_1172_),
-    .A3(wbs_dat_i[25]),
-    .B1(\u_async_wb.u_resp_if.mem[0][25] ),
-    .B2(_1171_),
+ sky130_fd_sc_hd__buf_2 _3072_ (.A(_1137_),
+    .X(_0026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3073_ (.A(_1137_),
+    .X(_0025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3074_ (.A(_1136_),
+    .X(_1141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3075_ (.A(_1141_),
+    .X(_0024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3076_ (.A(_1141_),
+    .X(_0023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3077_ (.A(_1141_),
+    .X(_0022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3078_ (.A(_1141_),
+    .X(_0021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3079_ (.A(_1136_),
+    .X(_1142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3080_ (.A(_1142_),
+    .X(_0020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3081_ (.A(_1142_),
+    .X(_0019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3082_ (.A(_1142_),
+    .X(_0018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3083_ (.A(_1142_),
+    .X(_0017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3084_ (.A(_1136_),
+    .X(_1143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3085_ (.A(_1143_),
+    .X(_0016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3086_ (.A(_1143_),
+    .X(_0015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3087_ (.A(_1143_),
+    .X(_0014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3088_ (.A(_1143_),
+    .X(_0013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3089_ (.A(_0651_),
+    .X(_1144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3090_ (.A(_1144_),
+    .X(_0012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3091_ (.A(_1144_),
+    .X(_0011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3092_ (.A(_1144_),
+    .X(_0010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3093_ (.A(_1144_),
+    .X(_0009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3094_ (.A(_0651_),
+    .X(_1145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3095_ (.A(_1145_),
+    .X(_0008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3096_ (.A(_1145_),
+    .X(_0007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3097_ (.A(_1145_),
+    .X(_0006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3098_ (.A(_1145_),
+    .X(_0005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3099_ (.A(_0652_),
+    .X(_0004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3100_ (.A(_0652_),
+    .X(_0003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3101_ (.A(_0741_),
+    .X(_1146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3102_ (.A(_1146_),
+    .X(_1147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _3103_ (.A(_0738_),
+    .B(_0737_),
+    .X(_1148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3104_ (.A(_1148_),
+    .X(_1149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3105_ (.A(_1149_),
+    .X(_1150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3106_ (.A1(_1147_),
+    .A2(_0736_),
+    .A3(wbs_err_i),
+    .B1(\u_async_wb.u_resp_if.mem[0][32] ),
+    .B2(_1150_),
     .X(_0503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3164_ (.A(_1163_),
-    .X(_1173_),
+ sky130_fd_sc_hd__buf_2 _3107_ (.A(_0734_),
+    .X(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3165_ (.A(_1166_),
-    .X(_1174_),
+ sky130_fd_sc_hd__buf_2 _3108_ (.A(_1151_),
+    .X(_1152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3166_ (.A1(_1173_),
-    .A2(_1172_),
-    .A3(wbs_dat_i[24]),
-    .B1(\u_async_wb.u_resp_if.mem[0][24] ),
-    .B2(_1174_),
+ sky130_fd_sc_hd__a32o_4 _3109_ (.A1(_1147_),
+    .A2(_1152_),
+    .A3(wbs_dat_i[31]),
+    .B1(\u_async_wb.u_resp_if.mem[0][31] ),
+    .B2(_1150_),
     .X(_0502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3167_ (.A(_1168_),
-    .X(_1175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3168_ (.A1(_1173_),
-    .A2(_1175_),
-    .A3(wbs_dat_i[23]),
-    .B1(\u_async_wb.u_resp_if.mem[0][23] ),
-    .B2(_1174_),
+ sky130_fd_sc_hd__a32o_4 _3110_ (.A1(_1147_),
+    .A2(_1152_),
+    .A3(wbs_dat_i[30]),
+    .B1(\u_async_wb.u_resp_if.mem[0][30] ),
+    .B2(_1150_),
     .X(_0501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3169_ (.A1(_1173_),
-    .A2(_1175_),
-    .A3(wbs_dat_i[22]),
-    .B1(\u_async_wb.u_resp_if.mem[0][22] ),
-    .B2(_1174_),
+ sky130_fd_sc_hd__a32o_4 _3111_ (.A1(_1147_),
+    .A2(_1152_),
+    .A3(wbs_dat_i[29]),
+    .B1(\u_async_wb.u_resp_if.mem[0][29] ),
+    .B2(_1150_),
     .X(_0500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3170_ (.A1(_1173_),
-    .A2(_1175_),
-    .A3(wbs_dat_i[21]),
-    .B1(\u_async_wb.u_resp_if.mem[0][21] ),
-    .B2(_1174_),
+ sky130_fd_sc_hd__buf_2 _3112_ (.A(_1146_),
+    .X(_1153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3113_ (.A(_1149_),
+    .X(_1154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3114_ (.A1(_1153_),
+    .A2(_1152_),
+    .A3(wbs_dat_i[28]),
+    .B1(\u_async_wb.u_resp_if.mem[0][28] ),
+    .B2(_1154_),
     .X(_0499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3171_ (.A(_0757_),
-    .X(_1176_),
+ sky130_fd_sc_hd__buf_2 _3115_ (.A(_1151_),
+    .X(_1155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3172_ (.A(_1176_),
-    .X(_1177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3173_ (.A(_1165_),
-    .X(_1178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3174_ (.A(_1178_),
-    .X(_1179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3175_ (.A1(_1177_),
-    .A2(_1175_),
-    .A3(wbs_dat_i[20]),
-    .B1(\u_async_wb.u_resp_if.mem[0][20] ),
-    .B2(_1179_),
+ sky130_fd_sc_hd__a32o_4 _3116_ (.A1(_1153_),
+    .A2(_1155_),
+    .A3(wbs_dat_i[27]),
+    .B1(\u_async_wb.u_resp_if.mem[0][27] ),
+    .B2(_1154_),
     .X(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3176_ (.A(_0751_),
-    .X(_1180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3177_ (.A(_1180_),
-    .X(_1181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3178_ (.A1(_1177_),
-    .A2(_1181_),
-    .A3(wbs_dat_i[19]),
-    .B1(\u_async_wb.u_resp_if.mem[0][19] ),
-    .B2(_1179_),
+ sky130_fd_sc_hd__a32o_4 _3117_ (.A1(_1153_),
+    .A2(_1155_),
+    .A3(wbs_dat_i[26]),
+    .B1(\u_async_wb.u_resp_if.mem[0][26] ),
+    .B2(_1154_),
     .X(_0497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3179_ (.A1(_1177_),
-    .A2(_1181_),
-    .A3(wbs_dat_i[18]),
-    .B1(\u_async_wb.u_resp_if.mem[0][18] ),
-    .B2(_1179_),
+ sky130_fd_sc_hd__a32o_4 _3118_ (.A1(_1153_),
+    .A2(_1155_),
+    .A3(wbs_dat_i[25]),
+    .B1(\u_async_wb.u_resp_if.mem[0][25] ),
+    .B2(_1154_),
     .X(_0496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3180_ (.A1(_1177_),
-    .A2(_1181_),
-    .A3(wbs_dat_i[17]),
-    .B1(\u_async_wb.u_resp_if.mem[0][17] ),
-    .B2(_1179_),
+ sky130_fd_sc_hd__buf_2 _3119_ (.A(_1146_),
+    .X(_1156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3120_ (.A(_1149_),
+    .X(_1157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3121_ (.A1(_1156_),
+    .A2(_1155_),
+    .A3(wbs_dat_i[24]),
+    .B1(\u_async_wb.u_resp_if.mem[0][24] ),
+    .B2(_1157_),
     .X(_0495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3181_ (.A(_1176_),
-    .X(_1182_),
+ sky130_fd_sc_hd__buf_2 _3122_ (.A(_1151_),
+    .X(_1158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3182_ (.A(_1178_),
-    .X(_1183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3183_ (.A1(_1182_),
-    .A2(_1181_),
-    .A3(wbs_dat_i[16]),
-    .B1(\u_async_wb.u_resp_if.mem[0][16] ),
-    .B2(_1183_),
+ sky130_fd_sc_hd__a32o_4 _3123_ (.A1(_1156_),
+    .A2(_1158_),
+    .A3(wbs_dat_i[23]),
+    .B1(\u_async_wb.u_resp_if.mem[0][23] ),
+    .B2(_1157_),
     .X(_0494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3184_ (.A(_1180_),
-    .X(_1184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3185_ (.A1(_1182_),
-    .A2(_1184_),
-    .A3(wbs_dat_i[15]),
-    .B1(\u_async_wb.u_resp_if.mem[0][15] ),
-    .B2(_1183_),
+ sky130_fd_sc_hd__a32o_4 _3124_ (.A1(_1156_),
+    .A2(_1158_),
+    .A3(wbs_dat_i[22]),
+    .B1(\u_async_wb.u_resp_if.mem[0][22] ),
+    .B2(_1157_),
     .X(_0493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3186_ (.A1(_1182_),
-    .A2(_1184_),
-    .A3(wbs_dat_i[14]),
-    .B1(\u_async_wb.u_resp_if.mem[0][14] ),
-    .B2(_1183_),
+ sky130_fd_sc_hd__a32o_4 _3125_ (.A1(_1156_),
+    .A2(_1158_),
+    .A3(wbs_dat_i[21]),
+    .B1(\u_async_wb.u_resp_if.mem[0][21] ),
+    .B2(_1157_),
     .X(_0492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3187_ (.A1(_1182_),
-    .A2(_1184_),
-    .A3(wbs_dat_i[13]),
-    .B1(\u_async_wb.u_resp_if.mem[0][13] ),
-    .B2(_1183_),
+ sky130_fd_sc_hd__buf_2 _3126_ (.A(_0741_),
+    .X(_1159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3127_ (.A(_1159_),
+    .X(_1160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3128_ (.A(_1148_),
+    .X(_1161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3129_ (.A(_1161_),
+    .X(_1162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3130_ (.A1(_1160_),
+    .A2(_1158_),
+    .A3(wbs_dat_i[20]),
+    .B1(\u_async_wb.u_resp_if.mem[0][20] ),
+    .B2(_1162_),
     .X(_0491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3188_ (.A(_1176_),
-    .X(_1185_),
+ sky130_fd_sc_hd__buf_2 _3131_ (.A(_0735_),
+    .X(_1163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3189_ (.A(_1178_),
-    .X(_1186_),
+ sky130_fd_sc_hd__buf_2 _3132_ (.A(_1163_),
+    .X(_1164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3190_ (.A1(_1185_),
-    .A2(_1184_),
-    .A3(wbs_dat_i[12]),
-    .B1(\u_async_wb.u_resp_if.mem[0][12] ),
-    .B2(_1186_),
+ sky130_fd_sc_hd__a32o_4 _3133_ (.A1(_1160_),
+    .A2(_1164_),
+    .A3(wbs_dat_i[19]),
+    .B1(\u_async_wb.u_resp_if.mem[0][19] ),
+    .B2(_1162_),
     .X(_0490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3191_ (.A(_1180_),
-    .X(_1187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3192_ (.A1(_1185_),
-    .A2(_1187_),
-    .A3(wbs_dat_i[11]),
-    .B1(\u_async_wb.u_resp_if.mem[0][11] ),
-    .B2(_1186_),
+ sky130_fd_sc_hd__a32o_4 _3134_ (.A1(_1160_),
+    .A2(_1164_),
+    .A3(wbs_dat_i[18]),
+    .B1(\u_async_wb.u_resp_if.mem[0][18] ),
+    .B2(_1162_),
     .X(_0489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3193_ (.A1(_1185_),
-    .A2(_1187_),
-    .A3(wbs_dat_i[10]),
-    .B1(\u_async_wb.u_resp_if.mem[0][10] ),
-    .B2(_1186_),
+ sky130_fd_sc_hd__a32o_4 _3135_ (.A1(_1160_),
+    .A2(_1164_),
+    .A3(wbs_dat_i[17]),
+    .B1(\u_async_wb.u_resp_if.mem[0][17] ),
+    .B2(_1162_),
     .X(_0488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3194_ (.A1(_1185_),
-    .A2(_1187_),
-    .A3(wbs_dat_i[9]),
-    .B1(\u_async_wb.u_resp_if.mem[0][9] ),
-    .B2(_1186_),
+ sky130_fd_sc_hd__buf_2 _3136_ (.A(_1159_),
+    .X(_1165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3137_ (.A(_1161_),
+    .X(_1166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3138_ (.A1(_1165_),
+    .A2(_1164_),
+    .A3(wbs_dat_i[16]),
+    .B1(\u_async_wb.u_resp_if.mem[0][16] ),
+    .B2(_1166_),
     .X(_0487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3195_ (.A(_1176_),
-    .X(_1188_),
+ sky130_fd_sc_hd__buf_2 _3139_ (.A(_1163_),
+    .X(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3196_ (.A(_1178_),
-    .X(_1189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3197_ (.A1(_1188_),
-    .A2(_1187_),
-    .A3(wbs_dat_i[8]),
-    .B1(\u_async_wb.u_resp_if.mem[0][8] ),
-    .B2(_1189_),
+ sky130_fd_sc_hd__a32o_4 _3140_ (.A1(_1165_),
+    .A2(_1167_),
+    .A3(wbs_dat_i[15]),
+    .B1(\u_async_wb.u_resp_if.mem[0][15] ),
+    .B2(_1166_),
     .X(_0486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3198_ (.A(_1180_),
-    .X(_1190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3199_ (.A1(_1188_),
-    .A2(_1190_),
-    .A3(wbs_dat_i[7]),
-    .B1(\u_async_wb.u_resp_if.mem[0][7] ),
-    .B2(_1189_),
+ sky130_fd_sc_hd__a32o_4 _3141_ (.A1(_1165_),
+    .A2(_1167_),
+    .A3(wbs_dat_i[14]),
+    .B1(\u_async_wb.u_resp_if.mem[0][14] ),
+    .B2(_1166_),
     .X(_0485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3200_ (.A1(_1188_),
-    .A2(_1190_),
-    .A3(wbs_dat_i[6]),
-    .B1(\u_async_wb.u_resp_if.mem[0][6] ),
-    .B2(_1189_),
+ sky130_fd_sc_hd__a32o_4 _3142_ (.A1(_1165_),
+    .A2(_1167_),
+    .A3(wbs_dat_i[13]),
+    .B1(\u_async_wb.u_resp_if.mem[0][13] ),
+    .B2(_1166_),
     .X(_0484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3201_ (.A1(_1188_),
-    .A2(_1190_),
-    .A3(wbs_dat_i[5]),
-    .B1(\u_async_wb.u_resp_if.mem[0][5] ),
-    .B2(_1189_),
+ sky130_fd_sc_hd__buf_2 _3143_ (.A(_1159_),
+    .X(_1168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3144_ (.A(_1161_),
+    .X(_1169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3145_ (.A1(_1168_),
+    .A2(_1167_),
+    .A3(wbs_dat_i[12]),
+    .B1(\u_async_wb.u_resp_if.mem[0][12] ),
+    .B2(_1169_),
     .X(_0483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3202_ (.A(_0757_),
-    .X(_1191_),
+ sky130_fd_sc_hd__buf_2 _3146_ (.A(_1163_),
+    .X(_1170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3203_ (.A(_1165_),
-    .X(_1192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3204_ (.A1(_1191_),
-    .A2(_1190_),
-    .A3(wbs_dat_i[4]),
-    .B1(\u_async_wb.u_resp_if.mem[0][4] ),
-    .B2(_1192_),
+ sky130_fd_sc_hd__a32o_4 _3147_ (.A1(_1168_),
+    .A2(_1170_),
+    .A3(wbs_dat_i[11]),
+    .B1(\u_async_wb.u_resp_if.mem[0][11] ),
+    .B2(_1169_),
     .X(_0482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3205_ (.A(_0751_),
-    .X(_1193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3206_ (.A(_1193_),
-    .X(_1194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3207_ (.A1(_1191_),
-    .A2(_1194_),
-    .A3(wbs_dat_i[3]),
-    .B1(\u_async_wb.u_resp_if.mem[0][3] ),
-    .B2(_1192_),
+ sky130_fd_sc_hd__a32o_4 _3148_ (.A1(_1168_),
+    .A2(_1170_),
+    .A3(wbs_dat_i[10]),
+    .B1(\u_async_wb.u_resp_if.mem[0][10] ),
+    .B2(_1169_),
     .X(_0481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3208_ (.A1(_1191_),
-    .A2(_1194_),
-    .A3(wbs_dat_i[2]),
-    .B1(\u_async_wb.u_resp_if.mem[0][2] ),
-    .B2(_1192_),
+ sky130_fd_sc_hd__a32o_4 _3149_ (.A1(_1168_),
+    .A2(_1170_),
+    .A3(wbs_dat_i[9]),
+    .B1(\u_async_wb.u_resp_if.mem[0][9] ),
+    .B2(_1169_),
     .X(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3209_ (.A1(_1191_),
-    .A2(_1194_),
-    .A3(wbs_dat_i[1]),
-    .B1(\u_async_wb.u_resp_if.mem[0][1] ),
-    .B2(_1192_),
+ sky130_fd_sc_hd__buf_2 _3150_ (.A(_1159_),
+    .X(_1171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3151_ (.A(_1161_),
+    .X(_1172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3152_ (.A1(_1171_),
+    .A2(_1170_),
+    .A3(wbs_dat_i[8]),
+    .B1(\u_async_wb.u_resp_if.mem[0][8] ),
+    .B2(_1172_),
     .X(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3210_ (.A1(_1163_),
-    .A2(_1194_),
-    .A3(wbs_dat_i[0]),
-    .B1(\u_async_wb.u_resp_if.mem[0][0] ),
-    .B2(_1166_),
+ sky130_fd_sc_hd__buf_2 _3153_ (.A(_1163_),
+    .X(_1173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3154_ (.A1(_1171_),
+    .A2(_1173_),
+    .A3(wbs_dat_i[7]),
+    .B1(\u_async_wb.u_resp_if.mem[0][7] ),
+    .B2(_1172_),
     .X(_0478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3211_ (.A(\u_async_wb.u_cmd_if.mem[2][70] ),
-    .Y(_1195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _3212_ (.A(_0671_),
-    .B(_0662_),
-    .C(_0678_),
-    .X(_1196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3213_ (.A(_1196_),
-    .Y(_1197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3214_ (.A(_1197_),
-    .X(_1198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3215_ (.A(_1198_),
-    .X(_1199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3216_ (.A1_N(_1195_),
-    .A2_N(_1199_),
-    .B1(wbm_cyc_i),
-    .B2(_1199_),
+ sky130_fd_sc_hd__a32o_4 _3155_ (.A1(_1171_),
+    .A2(_1173_),
+    .A3(wbs_dat_i[6]),
+    .B1(\u_async_wb.u_resp_if.mem[0][6] ),
+    .B2(_1172_),
     .X(_0477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3217_ (.A(\u_async_wb.u_cmd_if.mem[2][69] ),
-    .B(_1198_),
+ sky130_fd_sc_hd__a32o_4 _3156_ (.A1(_1171_),
+    .A2(_1173_),
+    .A3(wbs_dat_i[5]),
+    .B1(\u_async_wb.u_resp_if.mem[0][5] ),
+    .B2(_1172_),
     .X(_0476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3218_ (.A(\u_async_wb.u_cmd_if.mem[2][68] ),
-    .Y(_1200_),
+ sky130_fd_sc_hd__buf_2 _3157_ (.A(_0741_),
+    .X(_1174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3219_ (.A(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
-    .X(_1201_),
+ sky130_fd_sc_hd__buf_2 _3158_ (.A(_1148_),
+    .X(_1175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3220_ (.A1_N(_1200_),
-    .A2_N(_1199_),
-    .B1(_1201_),
-    .B2(_1199_),
+ sky130_fd_sc_hd__a32o_4 _3159_ (.A1(_1174_),
+    .A2(_1173_),
+    .A3(wbs_dat_i[4]),
+    .B1(\u_async_wb.u_resp_if.mem[0][4] ),
+    .B2(_1175_),
     .X(_0475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3221_ (.A(\u_async_wb.u_cmd_if.mem[2][67] ),
-    .Y(_1202_),
+ sky130_fd_sc_hd__buf_2 _3160_ (.A(_0735_),
+    .X(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3222_ (.A(_1197_),
-    .X(_1203_),
+ sky130_fd_sc_hd__buf_2 _3161_ (.A(_1176_),
+    .X(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3223_ (.A(_1203_),
-    .X(_1204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3224_ (.A(_1204_),
-    .X(_1205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3225_ (.A(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
-    .X(_1206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3226_ (.A1_N(_1202_),
-    .A2_N(_1205_),
-    .B1(_1206_),
-    .B2(_1205_),
+ sky130_fd_sc_hd__a32o_4 _3162_ (.A1(_1174_),
+    .A2(_1177_),
+    .A3(wbs_dat_i[3]),
+    .B1(\u_async_wb.u_resp_if.mem[0][3] ),
+    .B2(_1175_),
     .X(_0474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3227_ (.A(\u_async_wb.u_cmd_if.mem[2][66] ),
-    .Y(_1207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3228_ (.A(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
-    .X(_1208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3229_ (.A1_N(_1207_),
-    .A2_N(_1205_),
-    .B1(_1208_),
-    .B2(_1205_),
+ sky130_fd_sc_hd__a32o_4 _3163_ (.A1(_1174_),
+    .A2(_1177_),
+    .A3(wbs_dat_i[2]),
+    .B1(\u_async_wb.u_resp_if.mem[0][2] ),
+    .B2(_1175_),
     .X(_0473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3230_ (.A(\u_async_wb.u_cmd_if.mem[2][65] ),
-    .Y(_1209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3231_ (.A(_1204_),
-    .X(_1210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3232_ (.A(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
-    .X(_1211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3233_ (.A1_N(_1209_),
-    .A2_N(_1210_),
-    .B1(_1211_),
-    .B2(_1210_),
+ sky130_fd_sc_hd__a32o_4 _3164_ (.A1(_1174_),
+    .A2(_1177_),
+    .A3(wbs_dat_i[1]),
+    .B1(\u_async_wb.u_resp_if.mem[0][1] ),
+    .B2(_1175_),
     .X(_0472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3234_ (.A(\u_async_wb.u_cmd_if.mem[2][64] ),
-    .Y(_1212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3235_ (.A(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
-    .X(_1213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3236_ (.A1_N(_1212_),
-    .A2_N(_1210_),
-    .B1(_1213_),
-    .B2(_1210_),
+ sky130_fd_sc_hd__a32o_4 _3165_ (.A1(_1146_),
+    .A2(_1177_),
+    .A3(wbs_dat_i[0]),
+    .B1(\u_async_wb.u_resp_if.mem[0][0] ),
+    .B2(_1149_),
     .X(_0471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3237_ (.A(\u_async_wb.u_cmd_if.mem[2][63] ),
-    .Y(_1214_),
+ sky130_fd_sc_hd__inv_2 _3166_ (.A(\u_async_wb.u_cmd_if.mem[2][68] ),
+    .Y(_1178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3238_ (.A(_1204_),
-    .X(_1215_),
+ sky130_fd_sc_hd__or3_4 _3167_ (.A(_0664_),
+    .B(_0655_),
+    .C(_0678_),
+    .X(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3239_ (.A(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
-    .X(_1216_),
+ sky130_fd_sc_hd__inv_2 _3168_ (.A(_1179_),
+    .Y(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3240_ (.A1_N(_1214_),
-    .A2_N(_1215_),
-    .B1(_1216_),
-    .B2(_1215_),
+ sky130_fd_sc_hd__buf_2 _3169_ (.A(_1180_),
+    .X(_1181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3170_ (.A(_1181_),
+    .X(_1182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3171_ (.A(_1182_),
+    .X(_1183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3172_ (.A(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
+    .X(_1184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3173_ (.A1_N(_1178_),
+    .A2_N(_1183_),
+    .B1(_1184_),
+    .B2(_1183_),
     .X(_0470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3241_ (.A(\u_async_wb.u_cmd_if.mem[2][62] ),
-    .Y(_1217_),
+ sky130_fd_sc_hd__inv_2 _3174_ (.A(\u_async_wb.u_cmd_if.mem[2][67] ),
+    .Y(_1185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3242_ (.A(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
-    .X(_1218_),
+ sky130_fd_sc_hd__buf_2 _3175_ (.A(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_1186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3243_ (.A1_N(_1217_),
-    .A2_N(_1215_),
-    .B1(_1218_),
-    .B2(_1215_),
+ sky130_fd_sc_hd__a2bb2o_4 _3176_ (.A1_N(_1185_),
+    .A2_N(_1183_),
+    .B1(_1186_),
+    .B2(_1183_),
     .X(_0469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3244_ (.A(\u_async_wb.u_cmd_if.mem[2][61] ),
-    .Y(_1219_),
+ sky130_fd_sc_hd__inv_2 _3177_ (.A(\u_async_wb.u_cmd_if.mem[2][66] ),
+    .Y(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3245_ (.A(_1204_),
-    .X(_1220_),
+ sky130_fd_sc_hd__buf_2 _3178_ (.A(_1182_),
+    .X(_1188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3246_ (.A(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
-    .X(_1221_),
+ sky130_fd_sc_hd__buf_2 _3179_ (.A(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+    .X(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3247_ (.A1_N(_1219_),
-    .A2_N(_1220_),
-    .B1(_1221_),
-    .B2(_1220_),
+ sky130_fd_sc_hd__a2bb2o_4 _3180_ (.A1_N(_1187_),
+    .A2_N(_1188_),
+    .B1(_1189_),
+    .B2(_1188_),
     .X(_0468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3248_ (.A(\u_async_wb.u_cmd_if.mem[2][60] ),
-    .B(_1196_),
+ sky130_fd_sc_hd__inv_2 _3181_ (.A(\u_async_wb.u_cmd_if.mem[2][65] ),
+    .Y(_1190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3182_ (.A(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
+    .X(_1191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3183_ (.A1_N(_1190_),
+    .A2_N(_1188_),
+    .B1(_1191_),
+    .B2(_1188_),
     .X(_0467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3249_ (.A(\u_async_wb.u_cmd_if.mem[2][59] ),
-    .Y(_1222_),
+ sky130_fd_sc_hd__inv_2 _3184_ (.A(\u_async_wb.u_cmd_if.mem[2][64] ),
+    .Y(_1192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3250_ (.A1_N(_1222_),
-    .A2_N(_1220_),
-    .B1(wbm_adr_i[22]),
-    .B2(_1220_),
+ sky130_fd_sc_hd__buf_2 _3185_ (.A(_1182_),
+    .X(_1193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3186_ (.A(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
+    .X(_1194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3187_ (.A1_N(_1192_),
+    .A2_N(_1193_),
+    .B1(_1194_),
+    .B2(_1193_),
     .X(_0466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3251_ (.A(\u_async_wb.u_cmd_if.mem[2][58] ),
-    .Y(_1223_),
+ sky130_fd_sc_hd__inv_2 _3188_ (.A(\u_async_wb.u_cmd_if.mem[2][63] ),
+    .Y(_1195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3252_ (.A(_1203_),
-    .X(_1224_),
+ sky130_fd_sc_hd__buf_2 _3189_ (.A(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3253_ (.A(_1224_),
-    .X(_1225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3254_ (.A1_N(_1223_),
-    .A2_N(_1225_),
-    .B1(wbm_adr_i[21]),
-    .B2(_1225_),
+ sky130_fd_sc_hd__a2bb2o_4 _3190_ (.A1_N(_1195_),
+    .A2_N(_1193_),
+    .B1(_1196_),
+    .B2(_1193_),
     .X(_0465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3255_ (.A(\u_async_wb.u_cmd_if.mem[2][57] ),
-    .Y(_1226_),
+ sky130_fd_sc_hd__inv_2 _3191_ (.A(\u_async_wb.u_cmd_if.mem[2][62] ),
+    .Y(_1197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3256_ (.A1_N(_1226_),
-    .A2_N(_1225_),
-    .B1(wbm_adr_i[20]),
-    .B2(_1225_),
+ sky130_fd_sc_hd__buf_2 _3192_ (.A(_1182_),
+    .X(_1198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3193_ (.A(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+    .X(_1199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3194_ (.A1_N(_1197_),
+    .A2_N(_1198_),
+    .B1(_1199_),
+    .B2(_1198_),
     .X(_0464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3257_ (.A(\u_async_wb.u_cmd_if.mem[2][56] ),
-    .Y(_1227_),
+ sky130_fd_sc_hd__inv_2 _3195_ (.A(\u_async_wb.u_cmd_if.mem[2][61] ),
+    .Y(_1200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3258_ (.A(_1224_),
-    .X(_1228_),
+ sky130_fd_sc_hd__buf_2 _3196_ (.A(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+    .X(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3259_ (.A1_N(_1227_),
-    .A2_N(_1228_),
-    .B1(wbm_adr_i[19]),
-    .B2(_1228_),
+ sky130_fd_sc_hd__a2bb2o_4 _3197_ (.A1_N(_1200_),
+    .A2_N(_1198_),
+    .B1(_1201_),
+    .B2(_1198_),
     .X(_0463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3260_ (.A(\u_async_wb.u_cmd_if.mem[2][55] ),
-    .Y(_1229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3261_ (.A1_N(_1229_),
-    .A2_N(_1228_),
-    .B1(wbm_adr_i[18]),
-    .B2(_1228_),
+ sky130_fd_sc_hd__and2_4 _3198_ (.A(\u_async_wb.u_cmd_if.mem[2][60] ),
+    .B(_1179_),
     .X(_0462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3262_ (.A(\u_async_wb.u_cmd_if.mem[2][54] ),
-    .Y(_1230_),
+ sky130_fd_sc_hd__inv_2 _3199_ (.A(\u_async_wb.u_cmd_if.mem[2][59] ),
+    .Y(_1202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3263_ (.A(_1224_),
-    .X(_1231_),
+ sky130_fd_sc_hd__buf_2 _3200_ (.A(_1181_),
+    .X(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3264_ (.A1_N(_1230_),
-    .A2_N(_1231_),
-    .B1(wbm_adr_i[17]),
-    .B2(_1231_),
+ sky130_fd_sc_hd__buf_2 _3201_ (.A(_1203_),
+    .X(_1204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3202_ (.A1_N(_1202_),
+    .A2_N(_1204_),
+    .B1(wbm_adr_i[22]),
+    .B2(_1204_),
     .X(_0461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3265_ (.A(\u_async_wb.u_cmd_if.mem[2][53] ),
-    .Y(_1232_),
+ sky130_fd_sc_hd__inv_2 _3203_ (.A(\u_async_wb.u_cmd_if.mem[2][58] ),
+    .Y(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3266_ (.A1_N(_1232_),
-    .A2_N(_1231_),
-    .B1(wbm_adr_i[16]),
-    .B2(_1231_),
+ sky130_fd_sc_hd__a2bb2o_4 _3204_ (.A1_N(_1205_),
+    .A2_N(_1204_),
+    .B1(wbm_adr_i[21]),
+    .B2(_1204_),
     .X(_0460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3267_ (.A(\u_async_wb.u_cmd_if.mem[2][52] ),
-    .Y(_1233_),
+ sky130_fd_sc_hd__inv_2 _3205_ (.A(\u_async_wb.u_cmd_if.mem[2][57] ),
+    .Y(_1206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3268_ (.A(_1224_),
-    .X(_1234_),
+ sky130_fd_sc_hd__buf_2 _3206_ (.A(_1203_),
+    .X(_1207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3269_ (.A1_N(_1233_),
-    .A2_N(_1234_),
-    .B1(wbm_adr_i[15]),
-    .B2(_1234_),
+ sky130_fd_sc_hd__a2bb2o_4 _3207_ (.A1_N(_1206_),
+    .A2_N(_1207_),
+    .B1(wbm_adr_i[20]),
+    .B2(_1207_),
     .X(_0459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3270_ (.A(\u_async_wb.u_cmd_if.mem[2][51] ),
-    .Y(_1235_),
+ sky130_fd_sc_hd__inv_2 _3208_ (.A(\u_async_wb.u_cmd_if.mem[2][56] ),
+    .Y(_1208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3271_ (.A1_N(_1235_),
-    .A2_N(_1234_),
-    .B1(wbm_adr_i[14]),
-    .B2(_1234_),
+ sky130_fd_sc_hd__a2bb2o_4 _3209_ (.A1_N(_1208_),
+    .A2_N(_1207_),
+    .B1(wbm_adr_i[19]),
+    .B2(_1207_),
     .X(_0458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3272_ (.A(\u_async_wb.u_cmd_if.mem[2][50] ),
-    .Y(_1236_),
+ sky130_fd_sc_hd__inv_2 _3210_ (.A(\u_async_wb.u_cmd_if.mem[2][55] ),
+    .Y(_1209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3273_ (.A(_1203_),
-    .X(_1237_),
+ sky130_fd_sc_hd__buf_2 _3211_ (.A(_1203_),
+    .X(_1210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3274_ (.A(_1237_),
-    .X(_1238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3275_ (.A1_N(_1236_),
-    .A2_N(_1238_),
-    .B1(wbm_adr_i[13]),
-    .B2(_1238_),
+ sky130_fd_sc_hd__a2bb2o_4 _3212_ (.A1_N(_1209_),
+    .A2_N(_1210_),
+    .B1(wbm_adr_i[18]),
+    .B2(_1210_),
     .X(_0457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3276_ (.A(\u_async_wb.u_cmd_if.mem[2][49] ),
-    .Y(_1239_),
+ sky130_fd_sc_hd__inv_2 _3213_ (.A(\u_async_wb.u_cmd_if.mem[2][54] ),
+    .Y(_1211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3277_ (.A1_N(_1239_),
-    .A2_N(_1238_),
-    .B1(wbm_adr_i[12]),
-    .B2(_1238_),
+ sky130_fd_sc_hd__a2bb2o_4 _3214_ (.A1_N(_1211_),
+    .A2_N(_1210_),
+    .B1(wbm_adr_i[17]),
+    .B2(_1210_),
     .X(_0456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3278_ (.A(\u_async_wb.u_cmd_if.mem[2][48] ),
-    .Y(_1240_),
+ sky130_fd_sc_hd__inv_2 _3215_ (.A(\u_async_wb.u_cmd_if.mem[2][53] ),
+    .Y(_1212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3279_ (.A(_1237_),
-    .X(_1241_),
+ sky130_fd_sc_hd__buf_2 _3216_ (.A(_1203_),
+    .X(_1213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3280_ (.A1_N(_1240_),
-    .A2_N(_1241_),
-    .B1(wbm_adr_i[11]),
-    .B2(_1241_),
+ sky130_fd_sc_hd__a2bb2o_4 _3217_ (.A1_N(_1212_),
+    .A2_N(_1213_),
+    .B1(wbm_adr_i[16]),
+    .B2(_1213_),
     .X(_0455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3281_ (.A(\u_async_wb.u_cmd_if.mem[2][47] ),
-    .Y(_1242_),
+ sky130_fd_sc_hd__inv_2 _3218_ (.A(\u_async_wb.u_cmd_if.mem[2][52] ),
+    .Y(_1214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3282_ (.A1_N(_1242_),
-    .A2_N(_1241_),
-    .B1(wbm_adr_i[10]),
-    .B2(_1241_),
+ sky130_fd_sc_hd__a2bb2o_4 _3219_ (.A1_N(_1214_),
+    .A2_N(_1213_),
+    .B1(wbm_adr_i[15]),
+    .B2(_1213_),
     .X(_0454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3283_ (.A(\u_async_wb.u_cmd_if.mem[2][46] ),
-    .Y(_1243_),
+ sky130_fd_sc_hd__inv_2 _3220_ (.A(\u_async_wb.u_cmd_if.mem[2][51] ),
+    .Y(_1215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3284_ (.A(_1237_),
-    .X(_1244_),
+ sky130_fd_sc_hd__buf_2 _3221_ (.A(_1180_),
+    .X(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3285_ (.A1_N(_1243_),
-    .A2_N(_1244_),
-    .B1(wbm_adr_i[9]),
-    .B2(_1244_),
+ sky130_fd_sc_hd__buf_2 _3222_ (.A(_1216_),
+    .X(_1217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3223_ (.A(_1217_),
+    .X(_1218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3224_ (.A1_N(_1215_),
+    .A2_N(_1218_),
+    .B1(wbm_adr_i[14]),
+    .B2(_1218_),
     .X(_0453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3286_ (.A(\u_async_wb.u_cmd_if.mem[2][45] ),
-    .Y(_1245_),
+ sky130_fd_sc_hd__inv_2 _3225_ (.A(\u_async_wb.u_cmd_if.mem[2][50] ),
+    .Y(_1219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3287_ (.A1_N(_1245_),
-    .A2_N(_1244_),
-    .B1(wbm_adr_i[8]),
-    .B2(_1244_),
+ sky130_fd_sc_hd__a2bb2o_4 _3226_ (.A1_N(_1219_),
+    .A2_N(_1218_),
+    .B1(wbm_adr_i[13]),
+    .B2(_1218_),
     .X(_0452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3288_ (.A(\u_async_wb.u_cmd_if.mem[2][44] ),
-    .Y(_1246_),
+ sky130_fd_sc_hd__inv_2 _3227_ (.A(\u_async_wb.u_cmd_if.mem[2][49] ),
+    .Y(_1220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3289_ (.A(_1237_),
-    .X(_1247_),
+ sky130_fd_sc_hd__buf_2 _3228_ (.A(_1217_),
+    .X(_1221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3290_ (.A1_N(_1246_),
-    .A2_N(_1247_),
-    .B1(wbm_adr_i[7]),
-    .B2(_1247_),
+ sky130_fd_sc_hd__a2bb2o_4 _3229_ (.A1_N(_1220_),
+    .A2_N(_1221_),
+    .B1(wbm_adr_i[12]),
+    .B2(_1221_),
     .X(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3291_ (.A(\u_async_wb.u_cmd_if.mem[2][43] ),
-    .Y(_1248_),
+ sky130_fd_sc_hd__inv_2 _3230_ (.A(\u_async_wb.u_cmd_if.mem[2][48] ),
+    .Y(_1222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3292_ (.A1_N(_1248_),
-    .A2_N(_1247_),
-    .B1(wbm_adr_i[6]),
-    .B2(_1247_),
+ sky130_fd_sc_hd__a2bb2o_4 _3231_ (.A1_N(_1222_),
+    .A2_N(_1221_),
+    .B1(wbm_adr_i[11]),
+    .B2(_1221_),
     .X(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3293_ (.A(\u_async_wb.u_cmd_if.mem[2][42] ),
-    .Y(_1249_),
+ sky130_fd_sc_hd__inv_2 _3232_ (.A(\u_async_wb.u_cmd_if.mem[2][47] ),
+    .Y(_1223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3294_ (.A(_1197_),
-    .X(_1250_),
+ sky130_fd_sc_hd__buf_2 _3233_ (.A(_1217_),
+    .X(_1224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3295_ (.A(_1250_),
-    .X(_1251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3296_ (.A(_1251_),
-    .X(_1252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3297_ (.A1_N(_1249_),
-    .A2_N(_1252_),
-    .B1(wbm_adr_i[5]),
-    .B2(_1252_),
+ sky130_fd_sc_hd__a2bb2o_4 _3234_ (.A1_N(_1223_),
+    .A2_N(_1224_),
+    .B1(wbm_adr_i[10]),
+    .B2(_1224_),
     .X(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3298_ (.A(\u_async_wb.u_cmd_if.mem[2][41] ),
-    .Y(_1253_),
+ sky130_fd_sc_hd__inv_2 _3235_ (.A(\u_async_wb.u_cmd_if.mem[2][46] ),
+    .Y(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3299_ (.A1_N(_1253_),
-    .A2_N(_1252_),
-    .B1(wbm_adr_i[4]),
-    .B2(_1252_),
+ sky130_fd_sc_hd__a2bb2o_4 _3236_ (.A1_N(_1225_),
+    .A2_N(_1224_),
+    .B1(wbm_adr_i[9]),
+    .B2(_1224_),
     .X(_0448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3300_ (.A(\u_async_wb.u_cmd_if.mem[2][40] ),
-    .Y(_1254_),
+ sky130_fd_sc_hd__inv_2 _3237_ (.A(\u_async_wb.u_cmd_if.mem[2][45] ),
+    .Y(_1226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3301_ (.A(_1251_),
-    .X(_1255_),
+ sky130_fd_sc_hd__buf_2 _3238_ (.A(_1217_),
+    .X(_1227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3302_ (.A(_0943_),
-    .X(_1256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3303_ (.A1_N(_1254_),
-    .A2_N(_1255_),
-    .B1(_1256_),
-    .B2(_1255_),
+ sky130_fd_sc_hd__a2bb2o_4 _3239_ (.A1_N(_1226_),
+    .A2_N(_1227_),
+    .B1(wbm_adr_i[8]),
+    .B2(_1227_),
     .X(_0447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3304_ (.A(\u_async_wb.u_cmd_if.mem[2][39] ),
-    .Y(_1257_),
+ sky130_fd_sc_hd__inv_2 _3240_ (.A(\u_async_wb.u_cmd_if.mem[2][44] ),
+    .Y(_1228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3305_ (.A(_0808_),
-    .X(_1258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3306_ (.A1_N(_1257_),
-    .A2_N(_1255_),
-    .B1(_1258_),
-    .B2(_1255_),
+ sky130_fd_sc_hd__a2bb2o_4 _3241_ (.A1_N(_1228_),
+    .A2_N(_1227_),
+    .B1(wbm_adr_i[7]),
+    .B2(_1227_),
     .X(_0446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3307_ (.A(\u_async_wb.u_cmd_if.mem[2][38] ),
-    .Y(_1259_),
+ sky130_fd_sc_hd__inv_2 _3242_ (.A(\u_async_wb.u_cmd_if.mem[2][43] ),
+    .Y(_1229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3308_ (.A(_1251_),
-    .X(_1260_),
+ sky130_fd_sc_hd__buf_2 _3243_ (.A(_1216_),
+    .X(_1230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3309_ (.A1_N(_1259_),
-    .A2_N(_1260_),
-    .B1(wbm_adr_i[1]),
-    .B2(_1260_),
+ sky130_fd_sc_hd__buf_2 _3244_ (.A(_1230_),
+    .X(_1231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3245_ (.A1_N(_1229_),
+    .A2_N(_1231_),
+    .B1(wbm_adr_i[6]),
+    .B2(_1231_),
     .X(_0445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3310_ (.A(\u_async_wb.u_cmd_if.mem[2][37] ),
-    .Y(_1261_),
+ sky130_fd_sc_hd__inv_2 _3246_ (.A(\u_async_wb.u_cmd_if.mem[2][42] ),
+    .Y(_1232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3311_ (.A1_N(_1261_),
-    .A2_N(_1260_),
-    .B1(wbm_adr_i[0]),
-    .B2(_1260_),
+ sky130_fd_sc_hd__a2bb2o_4 _3247_ (.A1_N(_1232_),
+    .A2_N(_1231_),
+    .B1(wbm_adr_i[5]),
+    .B2(_1231_),
     .X(_0444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3312_ (.A(\u_async_wb.u_cmd_if.mem[2][36] ),
-    .Y(_1262_),
+ sky130_fd_sc_hd__inv_2 _3248_ (.A(\u_async_wb.u_cmd_if.mem[2][41] ),
+    .Y(_1233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3313_ (.A(_1251_),
-    .X(_1263_),
+ sky130_fd_sc_hd__buf_2 _3249_ (.A(_1230_),
+    .X(_1234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3314_ (.A(_0790_),
-    .X(_1264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3315_ (.A1_N(_1262_),
-    .A2_N(_1263_),
-    .B1(_1264_),
-    .B2(_1263_),
+ sky130_fd_sc_hd__a2bb2o_4 _3250_ (.A1_N(_1233_),
+    .A2_N(_1234_),
+    .B1(wbm_adr_i[4]),
+    .B2(_1234_),
     .X(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3316_ (.A(\u_async_wb.u_cmd_if.mem[2][35] ),
-    .Y(_1265_),
+ sky130_fd_sc_hd__inv_2 _3251_ (.A(\u_async_wb.u_cmd_if.mem[2][40] ),
+    .Y(_1235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3317_ (.A1_N(_1265_),
-    .A2_N(_1263_),
-    .B1(_1017_),
-    .B2(_1263_),
+ sky130_fd_sc_hd__buf_2 _3252_ (.A(_0927_),
+    .X(_1236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3253_ (.A1_N(_1235_),
+    .A2_N(_1234_),
+    .B1(_1236_),
+    .B2(_1234_),
     .X(_0442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3318_ (.A(\u_async_wb.u_cmd_if.mem[2][34] ),
-    .Y(_1266_),
+ sky130_fd_sc_hd__inv_2 _3254_ (.A(\u_async_wb.u_cmd_if.mem[2][39] ),
+    .Y(_1237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3319_ (.A(_1250_),
-    .X(_1267_),
+ sky130_fd_sc_hd__buf_2 _3255_ (.A(_1230_),
+    .X(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3320_ (.A(_1267_),
-    .X(_1268_),
+ sky130_fd_sc_hd__buf_2 _3256_ (.A(_0790_),
+    .X(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3321_ (.A1_N(_1266_),
-    .A2_N(_1268_),
-    .B1(_1082_),
-    .B2(_1268_),
+ sky130_fd_sc_hd__a2bb2o_4 _3257_ (.A1_N(_1237_),
+    .A2_N(_1238_),
+    .B1(_1239_),
+    .B2(_1238_),
     .X(_0441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3322_ (.A(\u_async_wb.u_cmd_if.mem[2][33] ),
-    .Y(_1269_),
+ sky130_fd_sc_hd__inv_2 _3258_ (.A(\u_async_wb.u_cmd_if.mem[2][38] ),
+    .Y(_1240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3323_ (.A1_N(_1269_),
-    .A2_N(_1268_),
-    .B1(_1014_),
-    .B2(_1268_),
+ sky130_fd_sc_hd__a2bb2o_4 _3259_ (.A1_N(_1240_),
+    .A2_N(_1238_),
+    .B1(wbm_adr_i[1]),
+    .B2(_1238_),
     .X(_0440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3324_ (.A(\u_async_wb.u_cmd_if.mem[2][32] ),
-    .Y(_1270_),
+ sky130_fd_sc_hd__inv_2 _3260_ (.A(\u_async_wb.u_cmd_if.mem[2][37] ),
+    .Y(_1241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3325_ (.A(_1267_),
-    .X(_1271_),
+ sky130_fd_sc_hd__buf_2 _3261_ (.A(_1230_),
+    .X(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3326_ (.A1_N(_1270_),
-    .A2_N(_1271_),
-    .B1(_1077_),
-    .B2(_1271_),
+ sky130_fd_sc_hd__a2bb2o_4 _3262_ (.A1_N(_1241_),
+    .A2_N(_1242_),
+    .B1(wbm_adr_i[0]),
+    .B2(_1242_),
     .X(_0439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3327_ (.A(\u_async_wb.u_cmd_if.mem[2][31] ),
-    .Y(_1272_),
+ sky130_fd_sc_hd__inv_2 _3263_ (.A(\u_async_wb.u_cmd_if.mem[2][36] ),
+    .Y(_1243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3328_ (.A1_N(_1272_),
-    .A2_N(_1271_),
-    .B1(_1075_),
-    .B2(_1271_),
+ sky130_fd_sc_hd__a2bb2o_4 _3264_ (.A1_N(_1243_),
+    .A2_N(_1242_),
+    .B1(_0773_),
+    .B2(_1242_),
     .X(_0438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3329_ (.A(\u_async_wb.u_cmd_if.mem[2][30] ),
-    .Y(_1273_),
+ sky130_fd_sc_hd__inv_2 _3265_ (.A(\u_async_wb.u_cmd_if.mem[2][35] ),
+    .Y(_1244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3330_ (.A(_1267_),
-    .X(_1274_),
+ sky130_fd_sc_hd__buf_2 _3266_ (.A(_1216_),
+    .X(_1245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3331_ (.A1_N(_1273_),
-    .A2_N(_1274_),
-    .B1(_1074_),
-    .B2(_1274_),
+ sky130_fd_sc_hd__buf_2 _3267_ (.A(_1245_),
+    .X(_1246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3268_ (.A1_N(_1244_),
+    .A2_N(_1246_),
+    .B1(_1001_),
+    .B2(_1246_),
     .X(_0437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3332_ (.A(\u_async_wb.u_cmd_if.mem[2][29] ),
-    .Y(_1275_),
+ sky130_fd_sc_hd__inv_2 _3269_ (.A(\u_async_wb.u_cmd_if.mem[2][34] ),
+    .Y(_1247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3333_ (.A1_N(_1275_),
-    .A2_N(_1274_),
-    .B1(_1071_),
-    .B2(_1274_),
+ sky130_fd_sc_hd__a2bb2o_4 _3270_ (.A1_N(_1247_),
+    .A2_N(_1246_),
+    .B1(_1064_),
+    .B2(_1246_),
     .X(_0436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3334_ (.A(\u_async_wb.u_cmd_if.mem[2][28] ),
-    .Y(_1276_),
+ sky130_fd_sc_hd__inv_2 _3271_ (.A(\u_async_wb.u_cmd_if.mem[2][33] ),
+    .Y(_1248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3335_ (.A(_1267_),
-    .X(_1277_),
+ sky130_fd_sc_hd__buf_2 _3272_ (.A(_1245_),
+    .X(_1249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3336_ (.A1_N(_1276_),
-    .A2_N(_1277_),
-    .B1(_1070_),
-    .B2(_1277_),
+ sky130_fd_sc_hd__a2bb2o_4 _3273_ (.A1_N(_1248_),
+    .A2_N(_1249_),
+    .B1(_0997_),
+    .B2(_1249_),
     .X(_0435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3337_ (.A(\u_async_wb.u_cmd_if.mem[2][27] ),
-    .Y(_1278_),
+ sky130_fd_sc_hd__inv_2 _3274_ (.A(\u_async_wb.u_cmd_if.mem[2][32] ),
+    .Y(_1250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3338_ (.A1_N(_1278_),
-    .A2_N(_1277_),
-    .B1(_1067_),
-    .B2(_1277_),
+ sky130_fd_sc_hd__a2bb2o_4 _3275_ (.A1_N(_1250_),
+    .A2_N(_1249_),
+    .B1(_1059_),
+    .B2(_1249_),
     .X(_0434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3339_ (.A(\u_async_wb.u_cmd_if.mem[2][26] ),
-    .Y(_1279_),
+ sky130_fd_sc_hd__inv_2 _3276_ (.A(\u_async_wb.u_cmd_if.mem[2][31] ),
+    .Y(_1251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3340_ (.A(_1250_),
-    .X(_1280_),
+ sky130_fd_sc_hd__buf_2 _3277_ (.A(_1245_),
+    .X(_1252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3341_ (.A(_1280_),
-    .X(_1281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3342_ (.A1_N(_1279_),
-    .A2_N(_1281_),
-    .B1(_1066_),
-    .B2(_1281_),
+ sky130_fd_sc_hd__a2bb2o_4 _3278_ (.A1_N(_1251_),
+    .A2_N(_1252_),
+    .B1(_1057_),
+    .B2(_1252_),
     .X(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3343_ (.A(\u_async_wb.u_cmd_if.mem[2][25] ),
-    .Y(_1282_),
+ sky130_fd_sc_hd__inv_2 _3279_ (.A(\u_async_wb.u_cmd_if.mem[2][30] ),
+    .Y(_1253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3344_ (.A1_N(_1282_),
-    .A2_N(_1281_),
-    .B1(_1062_),
-    .B2(_1281_),
+ sky130_fd_sc_hd__a2bb2o_4 _3280_ (.A1_N(_1253_),
+    .A2_N(_1252_),
+    .B1(_1056_),
+    .B2(_1252_),
     .X(_0432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3345_ (.A(\u_async_wb.u_cmd_if.mem[2][24] ),
-    .Y(_1283_),
+ sky130_fd_sc_hd__inv_2 _3281_ (.A(\u_async_wb.u_cmd_if.mem[2][29] ),
+    .Y(_1254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3346_ (.A(_1280_),
-    .X(_1284_),
+ sky130_fd_sc_hd__buf_2 _3282_ (.A(_1245_),
+    .X(_1255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3347_ (.A1_N(_1283_),
-    .A2_N(_1284_),
-    .B1(_1061_),
-    .B2(_1284_),
+ sky130_fd_sc_hd__a2bb2o_4 _3283_ (.A1_N(_1254_),
+    .A2_N(_1255_),
+    .B1(_1053_),
+    .B2(_1255_),
     .X(_0431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3348_ (.A(\u_async_wb.u_cmd_if.mem[2][23] ),
-    .Y(_1285_),
+ sky130_fd_sc_hd__inv_2 _3284_ (.A(\u_async_wb.u_cmd_if.mem[2][28] ),
+    .Y(_1256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3349_ (.A1_N(_1285_),
-    .A2_N(_1284_),
-    .B1(_1013_),
-    .B2(_1284_),
+ sky130_fd_sc_hd__a2bb2o_4 _3285_ (.A1_N(_1256_),
+    .A2_N(_1255_),
+    .B1(_1052_),
+    .B2(_1255_),
     .X(_0430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3350_ (.A(\u_async_wb.u_cmd_if.mem[2][22] ),
-    .Y(_1286_),
+ sky130_fd_sc_hd__inv_2 _3286_ (.A(\u_async_wb.u_cmd_if.mem[2][27] ),
+    .Y(_1257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3351_ (.A(_1280_),
-    .X(_1287_),
+ sky130_fd_sc_hd__buf_2 _3287_ (.A(_1216_),
+    .X(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3352_ (.A1_N(_1286_),
-    .A2_N(_1287_),
-    .B1(_1057_),
-    .B2(_1287_),
+ sky130_fd_sc_hd__buf_2 _3288_ (.A(_1258_),
+    .X(_1259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3289_ (.A1_N(_1257_),
+    .A2_N(_1259_),
+    .B1(_1049_),
+    .B2(_1259_),
     .X(_0429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3353_ (.A(\u_async_wb.u_cmd_if.mem[2][21] ),
-    .Y(_1288_),
+ sky130_fd_sc_hd__inv_2 _3290_ (.A(\u_async_wb.u_cmd_if.mem[2][26] ),
+    .Y(_1260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3354_ (.A1_N(_1288_),
-    .A2_N(_1287_),
-    .B1(_1054_),
-    .B2(_1287_),
+ sky130_fd_sc_hd__a2bb2o_4 _3291_ (.A1_N(_1260_),
+    .A2_N(_1259_),
+    .B1(_1048_),
+    .B2(_1259_),
     .X(_0428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3355_ (.A(\u_async_wb.u_cmd_if.mem[2][20] ),
-    .Y(_1289_),
+ sky130_fd_sc_hd__inv_2 _3292_ (.A(\u_async_wb.u_cmd_if.mem[2][25] ),
+    .Y(_1261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3356_ (.A(_1280_),
-    .X(_1290_),
+ sky130_fd_sc_hd__buf_2 _3293_ (.A(_1258_),
+    .X(_1262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3357_ (.A1_N(_1289_),
-    .A2_N(_1290_),
-    .B1(_1053_),
-    .B2(_1290_),
+ sky130_fd_sc_hd__a2bb2o_4 _3294_ (.A1_N(_1261_),
+    .A2_N(_1262_),
+    .B1(_1045_),
+    .B2(_1262_),
     .X(_0427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3358_ (.A(\u_async_wb.u_cmd_if.mem[2][19] ),
-    .Y(_1291_),
+ sky130_fd_sc_hd__inv_2 _3295_ (.A(\u_async_wb.u_cmd_if.mem[2][24] ),
+    .Y(_1263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3359_ (.A1_N(_1291_),
-    .A2_N(_1290_),
-    .B1(_1050_),
-    .B2(_1290_),
+ sky130_fd_sc_hd__a2bb2o_4 _3296_ (.A1_N(_1263_),
+    .A2_N(_1262_),
+    .B1(_1044_),
+    .B2(_1262_),
     .X(_0426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3360_ (.A(\u_async_wb.u_cmd_if.mem[2][18] ),
-    .Y(_1292_),
+ sky130_fd_sc_hd__inv_2 _3297_ (.A(\u_async_wb.u_cmd_if.mem[2][23] ),
+    .Y(_1264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3361_ (.A(_1250_),
-    .X(_1293_),
+ sky130_fd_sc_hd__buf_2 _3298_ (.A(_1258_),
+    .X(_1265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3362_ (.A(_1293_),
-    .X(_1294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3363_ (.A1_N(_1292_),
-    .A2_N(_1294_),
-    .B1(_1049_),
-    .B2(_1294_),
+ sky130_fd_sc_hd__a2bb2o_4 _3299_ (.A1_N(_1264_),
+    .A2_N(_1265_),
+    .B1(_0996_),
+    .B2(_1265_),
     .X(_0425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3364_ (.A(\u_async_wb.u_cmd_if.mem[2][17] ),
-    .Y(_1295_),
+ sky130_fd_sc_hd__inv_2 _3300_ (.A(\u_async_wb.u_cmd_if.mem[2][22] ),
+    .Y(_1266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3365_ (.A1_N(_1295_),
-    .A2_N(_1294_),
-    .B1(_1046_),
-    .B2(_1294_),
+ sky130_fd_sc_hd__a2bb2o_4 _3301_ (.A1_N(_1266_),
+    .A2_N(_1265_),
+    .B1(_1040_),
+    .B2(_1265_),
     .X(_0424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3366_ (.A(\u_async_wb.u_cmd_if.mem[2][16] ),
-    .Y(_1296_),
+ sky130_fd_sc_hd__inv_2 _3302_ (.A(\u_async_wb.u_cmd_if.mem[2][21] ),
+    .Y(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3367_ (.A(_1293_),
-    .X(_1297_),
+ sky130_fd_sc_hd__buf_2 _3303_ (.A(_1258_),
+    .X(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3368_ (.A1_N(_1296_),
-    .A2_N(_1297_),
-    .B1(_1045_),
-    .B2(_1297_),
+ sky130_fd_sc_hd__a2bb2o_4 _3304_ (.A1_N(_1267_),
+    .A2_N(_1268_),
+    .B1(_1036_),
+    .B2(_1268_),
     .X(_0423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3369_ (.A(\u_async_wb.u_cmd_if.mem[2][15] ),
-    .Y(_1298_),
+ sky130_fd_sc_hd__inv_2 _3305_ (.A(\u_async_wb.u_cmd_if.mem[2][20] ),
+    .Y(_1269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3370_ (.A1_N(_1298_),
-    .A2_N(_1297_),
-    .B1(_1043_),
-    .B2(_1297_),
+ sky130_fd_sc_hd__a2bb2o_4 _3306_ (.A1_N(_1269_),
+    .A2_N(_1268_),
+    .B1(_1035_),
+    .B2(_1268_),
     .X(_0422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3371_ (.A(\u_async_wb.u_cmd_if.mem[2][14] ),
-    .Y(_1299_),
+ sky130_fd_sc_hd__inv_2 _3307_ (.A(\u_async_wb.u_cmd_if.mem[2][19] ),
+    .Y(_1270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3372_ (.A(_1293_),
-    .X(_1300_),
+ sky130_fd_sc_hd__buf_2 _3308_ (.A(_1180_),
+    .X(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3373_ (.A1_N(_1299_),
-    .A2_N(_1300_),
-    .B1(_1042_),
-    .B2(_1300_),
+ sky130_fd_sc_hd__buf_2 _3309_ (.A(_1271_),
+    .X(_1272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3310_ (.A1_N(_1270_),
+    .A2_N(_1272_),
+    .B1(_1032_),
+    .B2(_1272_),
     .X(_0421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3374_ (.A(\u_async_wb.u_cmd_if.mem[2][13] ),
-    .Y(_1301_),
+ sky130_fd_sc_hd__inv_2 _3311_ (.A(\u_async_wb.u_cmd_if.mem[2][18] ),
+    .Y(_1273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3375_ (.A1_N(_1301_),
-    .A2_N(_1300_),
-    .B1(_0656_),
-    .B2(_1300_),
+ sky130_fd_sc_hd__a2bb2o_4 _3312_ (.A1_N(_1273_),
+    .A2_N(_1272_),
+    .B1(_1031_),
+    .B2(_1272_),
     .X(_0420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3376_ (.A(\u_async_wb.u_cmd_if.mem[2][12] ),
-    .Y(_1302_),
+ sky130_fd_sc_hd__inv_2 _3313_ (.A(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .Y(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3377_ (.A(_1293_),
-    .X(_1303_),
+ sky130_fd_sc_hd__buf_2 _3314_ (.A(_1271_),
+    .X(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3378_ (.A1_N(_1302_),
-    .A2_N(_1303_),
-    .B1(_1036_),
-    .B2(_1303_),
+ sky130_fd_sc_hd__a2bb2o_4 _3315_ (.A1_N(_1274_),
+    .A2_N(_1275_),
+    .B1(_1028_),
+    .B2(_1275_),
     .X(_0419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3379_ (.A(\u_async_wb.u_cmd_if.mem[2][11] ),
-    .Y(_1304_),
+ sky130_fd_sc_hd__inv_2 _3316_ (.A(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .Y(_1276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3380_ (.A(wbm_dat_i[7]),
-    .X(_1305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3381_ (.A1_N(_1304_),
-    .A2_N(_1303_),
-    .B1(_1305_),
-    .B2(_1303_),
+ sky130_fd_sc_hd__a2bb2o_4 _3317_ (.A1_N(_1276_),
+    .A2_N(_1275_),
+    .B1(_1027_),
+    .B2(_1275_),
     .X(_0418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3382_ (.A(\u_async_wb.u_cmd_if.mem[2][10] ),
-    .Y(_1306_),
+ sky130_fd_sc_hd__inv_2 _3318_ (.A(\u_async_wb.u_cmd_if.mem[2][15] ),
+    .Y(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3383_ (.A(_1197_),
-    .X(_1307_),
+ sky130_fd_sc_hd__buf_2 _3319_ (.A(_1271_),
+    .X(_1278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3384_ (.A(_1307_),
-    .X(_1308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3385_ (.A(wbm_dat_i[6]),
-    .X(_1309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3386_ (.A1_N(_1306_),
-    .A2_N(_1308_),
-    .B1(_1309_),
-    .B2(_1308_),
+ sky130_fd_sc_hd__a2bb2o_4 _3320_ (.A1_N(_1277_),
+    .A2_N(_1278_),
+    .B1(_1025_),
+    .B2(_1278_),
     .X(_0417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3387_ (.A(\u_async_wb.u_cmd_if.mem[2][9] ),
-    .Y(_1310_),
+ sky130_fd_sc_hd__inv_2 _3321_ (.A(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .Y(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3388_ (.A(wbm_dat_i[5]),
-    .X(_1311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3389_ (.A1_N(_1310_),
-    .A2_N(_1308_),
-    .B1(_1311_),
-    .B2(_1308_),
+ sky130_fd_sc_hd__a2bb2o_4 _3322_ (.A1_N(_1279_),
+    .A2_N(_1278_),
+    .B1(_1024_),
+    .B2(_1278_),
     .X(_0416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3390_ (.A(\u_async_wb.u_cmd_if.mem[2][8] ),
-    .Y(_1312_),
+ sky130_fd_sc_hd__inv_2 _3323_ (.A(\u_async_wb.u_cmd_if.mem[2][13] ),
+    .Y(_1280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3391_ (.A(_1307_),
-    .X(_1313_),
+ sky130_fd_sc_hd__buf_2 _3324_ (.A(_1271_),
+    .X(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3392_ (.A(wbm_dat_i[4]),
-    .X(_1314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3393_ (.A1_N(_1312_),
-    .A2_N(_1313_),
-    .B1(_1314_),
-    .B2(_1313_),
+ sky130_fd_sc_hd__a2bb2o_4 _3325_ (.A1_N(_1280_),
+    .A2_N(_1281_),
+    .B1(_0649_),
+    .B2(_1281_),
     .X(_0415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3394_ (.A(\u_async_wb.u_cmd_if.mem[2][7] ),
-    .Y(_1315_),
+ sky130_fd_sc_hd__inv_2 _3326_ (.A(\u_async_wb.u_cmd_if.mem[2][12] ),
+    .Y(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3395_ (.A(wbm_dat_i[3]),
-    .X(_1316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3396_ (.A1_N(_1315_),
-    .A2_N(_1313_),
-    .B1(_1316_),
-    .B2(_1313_),
+ sky130_fd_sc_hd__a2bb2o_4 _3327_ (.A1_N(_1282_),
+    .A2_N(_1281_),
+    .B1(_1018_),
+    .B2(_1281_),
     .X(_0414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3397_ (.A(\u_async_wb.u_cmd_if.mem[2][6] ),
-    .Y(_1317_),
+ sky130_fd_sc_hd__inv_2 _3328_ (.A(\u_async_wb.u_cmd_if.mem[2][11] ),
+    .Y(_1283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3398_ (.A(_1307_),
-    .X(_1318_),
+ sky130_fd_sc_hd__buf_2 _3329_ (.A(_1180_),
+    .X(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3399_ (.A(wbm_dat_i[2]),
-    .X(_1319_),
+ sky130_fd_sc_hd__buf_2 _3330_ (.A(_1284_),
+    .X(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3400_ (.A1_N(_1317_),
-    .A2_N(_1318_),
-    .B1(_1319_),
-    .B2(_1318_),
+ sky130_fd_sc_hd__buf_2 _3331_ (.A(wbm_dat_i[7]),
+    .X(_1286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3332_ (.A1_N(_1283_),
+    .A2_N(_1285_),
+    .B1(_1286_),
+    .B2(_1285_),
     .X(_0413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3401_ (.A(\u_async_wb.u_cmd_if.mem[2][5] ),
-    .Y(_1320_),
+ sky130_fd_sc_hd__inv_2 _3333_ (.A(\u_async_wb.u_cmd_if.mem[2][10] ),
+    .Y(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3402_ (.A(wbm_dat_i[1]),
-    .X(_1321_),
+ sky130_fd_sc_hd__buf_2 _3334_ (.A(wbm_dat_i[6]),
+    .X(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3403_ (.A1_N(_1320_),
-    .A2_N(_1318_),
-    .B1(_1321_),
-    .B2(_1318_),
+ sky130_fd_sc_hd__a2bb2o_4 _3335_ (.A1_N(_1287_),
+    .A2_N(_1285_),
+    .B1(_1288_),
+    .B2(_1285_),
     .X(_0412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3404_ (.A(\u_async_wb.u_cmd_if.mem[2][4] ),
-    .Y(_1322_),
+ sky130_fd_sc_hd__inv_2 _3336_ (.A(\u_async_wb.u_cmd_if.mem[2][9] ),
+    .Y(_1289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3405_ (.A(_1307_),
-    .X(_1323_),
+ sky130_fd_sc_hd__buf_2 _3337_ (.A(_1284_),
+    .X(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3406_ (.A(wbm_dat_i[0]),
-    .X(_1324_),
+ sky130_fd_sc_hd__buf_2 _3338_ (.A(wbm_dat_i[5]),
+    .X(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3407_ (.A1_N(_1322_),
-    .A2_N(_1323_),
-    .B1(_1324_),
-    .B2(_1323_),
+ sky130_fd_sc_hd__a2bb2o_4 _3339_ (.A1_N(_1289_),
+    .A2_N(_1290_),
+    .B1(_1291_),
+    .B2(_1290_),
     .X(_0411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3408_ (.A(\u_async_wb.u_cmd_if.mem[2][3] ),
-    .Y(_1325_),
+ sky130_fd_sc_hd__inv_2 _3340_ (.A(\u_async_wb.u_cmd_if.mem[2][8] ),
+    .Y(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3409_ (.A1_N(_1325_),
-    .A2_N(_1323_),
-    .B1(wbm_sel_i[3]),
-    .B2(_1323_),
+ sky130_fd_sc_hd__buf_2 _3341_ (.A(wbm_dat_i[4]),
+    .X(_1293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3342_ (.A1_N(_1292_),
+    .A2_N(_1290_),
+    .B1(_1293_),
+    .B2(_1290_),
     .X(_0410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3410_ (.A(\u_async_wb.u_cmd_if.mem[2][2] ),
-    .Y(_1326_),
+ sky130_fd_sc_hd__inv_2 _3343_ (.A(\u_async_wb.u_cmd_if.mem[2][7] ),
+    .Y(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3411_ (.A(_1203_),
-    .X(_1327_),
+ sky130_fd_sc_hd__buf_2 _3344_ (.A(_1284_),
+    .X(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3412_ (.A1_N(_1326_),
-    .A2_N(_1327_),
-    .B1(wbm_sel_i[2]),
-    .B2(_1327_),
+ sky130_fd_sc_hd__buf_2 _3345_ (.A(wbm_dat_i[3]),
+    .X(_1296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3346_ (.A1_N(_1294_),
+    .A2_N(_1295_),
+    .B1(_1296_),
+    .B2(_1295_),
     .X(_0409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3413_ (.A(\u_async_wb.u_cmd_if.mem[2][1] ),
-    .Y(_1328_),
+ sky130_fd_sc_hd__inv_2 _3347_ (.A(\u_async_wb.u_cmd_if.mem[2][6] ),
+    .Y(_1297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3414_ (.A1_N(_1328_),
-    .A2_N(_1327_),
-    .B1(wbm_sel_i[1]),
-    .B2(_1327_),
+ sky130_fd_sc_hd__buf_2 _3348_ (.A(wbm_dat_i[2]),
+    .X(_1298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3349_ (.A1_N(_1297_),
+    .A2_N(_1295_),
+    .B1(_1298_),
+    .B2(_1295_),
     .X(_0408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3415_ (.A(\u_async_wb.u_cmd_if.mem[2][0] ),
-    .Y(_1329_),
+ sky130_fd_sc_hd__inv_2 _3350_ (.A(\u_async_wb.u_cmd_if.mem[2][5] ),
+    .Y(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3416_ (.A1_N(_1329_),
-    .A2_N(_1198_),
-    .B1(wbm_sel_i[0]),
-    .B2(_1198_),
+ sky130_fd_sc_hd__buf_2 _3351_ (.A(_1284_),
+    .X(_1300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3352_ (.A(wbm_dat_i[1]),
+    .X(_1301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3353_ (.A1_N(_1299_),
+    .A2_N(_1300_),
+    .B1(_1301_),
+    .B2(_1300_),
     .X(_0407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3417_ (.A(\u_async_wb.u_cmd_if.mem[1][70] ),
-    .Y(_1330_),
+ sky130_fd_sc_hd__inv_2 _3354_ (.A(\u_async_wb.u_cmd_if.mem[2][4] ),
+    .Y(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3418_ (.A1_N(_1330_),
-    .A2_N(_0684_),
-    .B1(wbm_cyc_i),
-    .B2(_0684_),
+ sky130_fd_sc_hd__buf_2 _3355_ (.A(wbm_dat_i[0]),
+    .X(_1303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3356_ (.A1_N(_1302_),
+    .A2_N(_1300_),
+    .B1(_1303_),
+    .B2(_1300_),
     .X(_0406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3419_ (.A(_0682_),
-    .X(_1331_),
+ sky130_fd_sc_hd__inv_2 _3357_ (.A(\u_async_wb.u_cmd_if.mem[2][3] ),
+    .Y(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3420_ (.A(\u_async_wb.u_cmd_if.mem[1][69] ),
-    .B(_1331_),
+ sky130_fd_sc_hd__buf_2 _3358_ (.A(_1181_),
+    .X(_1305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3359_ (.A1_N(_1304_),
+    .A2_N(_1305_),
+    .B1(wbm_sel_i[3]),
+    .B2(_1305_),
     .X(_0405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3421_ (.A(\u_async_wb.u_cmd_if.mem[1][68] ),
-    .Y(_1332_),
+ sky130_fd_sc_hd__inv_2 _3360_ (.A(\u_async_wb.u_cmd_if.mem[2][2] ),
+    .Y(_1306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3422_ (.A(_0683_),
-    .X(_1333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3423_ (.A1_N(_1332_),
-    .A2_N(_0684_),
-    .B1(_1201_),
-    .B2(_1333_),
+ sky130_fd_sc_hd__a2bb2o_4 _3361_ (.A1_N(_1306_),
+    .A2_N(_1305_),
+    .B1(wbm_sel_i[2]),
+    .B2(_1305_),
     .X(_0404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3424_ (.A(\u_async_wb.u_cmd_if.mem[1][67] ),
-    .Y(_1334_),
+ sky130_fd_sc_hd__inv_2 _3362_ (.A(\u_async_wb.u_cmd_if.mem[2][1] ),
+    .Y(_1307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3425_ (.A1_N(_1334_),
-    .A2_N(_1333_),
-    .B1(_1206_),
-    .B2(_1333_),
+ sky130_fd_sc_hd__buf_2 _3363_ (.A(_1181_),
+    .X(_1308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3364_ (.A1_N(_1307_),
+    .A2_N(_1308_),
+    .B1(wbm_sel_i[1]),
+    .B2(_1308_),
     .X(_0403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3426_ (.A(\u_async_wb.u_cmd_if.mem[1][66] ),
-    .Y(_1335_),
+ sky130_fd_sc_hd__inv_2 _3365_ (.A(\u_async_wb.u_cmd_if.mem[2][0] ),
+    .Y(_1309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3427_ (.A(_0683_),
-    .X(_1336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3428_ (.A1_N(_1335_),
-    .A2_N(_1333_),
-    .B1(_1208_),
-    .B2(_1336_),
+ sky130_fd_sc_hd__a2bb2o_4 _3366_ (.A1_N(_1309_),
+    .A2_N(_1308_),
+    .B1(wbm_sel_i[0]),
+    .B2(_1308_),
     .X(_0402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3429_ (.A(\u_async_wb.u_cmd_if.mem[1][65] ),
-    .Y(_1337_),
+ sky130_fd_sc_hd__inv_2 _3367_ (.A(\u_async_wb.u_cmd_if.mem[3][68] ),
+    .Y(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3430_ (.A1_N(_1337_),
-    .A2_N(_1336_),
-    .B1(_1211_),
-    .B2(_1336_),
+ sky130_fd_sc_hd__buf_2 _3368_ (.A(_0767_),
+    .X(_1311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3369_ (.A(_1311_),
+    .X(_1312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3370_ (.A1_N(_1310_),
+    .A2_N(_1312_),
+    .B1(_1184_),
+    .B2(_1312_),
     .X(_0401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3431_ (.A(\u_async_wb.u_cmd_if.mem[1][64] ),
-    .Y(_1338_),
+ sky130_fd_sc_hd__inv_2 _3371_ (.A(\u_async_wb.u_cmd_if.mem[3][67] ),
+    .Y(_1313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3432_ (.A(_0683_),
-    .X(_1339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3433_ (.A1_N(_1338_),
-    .A2_N(_1336_),
-    .B1(_1213_),
-    .B2(_1339_),
+ sky130_fd_sc_hd__a2bb2o_4 _3372_ (.A1_N(_1313_),
+    .A2_N(_1312_),
+    .B1(_1186_),
+    .B2(_1312_),
     .X(_0400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3434_ (.A(\u_async_wb.u_cmd_if.mem[1][63] ),
-    .Y(_1340_),
+ sky130_fd_sc_hd__inv_2 _3373_ (.A(\u_async_wb.u_cmd_if.mem[3][66] ),
+    .Y(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3435_ (.A1_N(_1340_),
-    .A2_N(_1339_),
-    .B1(_1216_),
-    .B2(_1339_),
+ sky130_fd_sc_hd__buf_2 _3374_ (.A(_1311_),
+    .X(_1315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3375_ (.A1_N(_1314_),
+    .A2_N(_1315_),
+    .B1(_1189_),
+    .B2(_1315_),
     .X(_0399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3436_ (.A(\u_async_wb.u_cmd_if.mem[1][62] ),
-    .Y(_1341_),
+ sky130_fd_sc_hd__inv_2 _3376_ (.A(\u_async_wb.u_cmd_if.mem[3][65] ),
+    .Y(_1316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3437_ (.A(_0681_),
-    .X(_1342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3438_ (.A(_1342_),
-    .X(_1343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3439_ (.A(_1343_),
-    .X(_1344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3440_ (.A(_1344_),
-    .X(_1345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3441_ (.A1_N(_1341_),
-    .A2_N(_1339_),
-    .B1(_1218_),
-    .B2(_1345_),
+ sky130_fd_sc_hd__a2bb2o_4 _3377_ (.A1_N(_1316_),
+    .A2_N(_1315_),
+    .B1(_1191_),
+    .B2(_1315_),
     .X(_0398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3442_ (.A(\u_async_wb.u_cmd_if.mem[1][61] ),
-    .Y(_1346_),
+ sky130_fd_sc_hd__inv_2 _3378_ (.A(\u_async_wb.u_cmd_if.mem[3][64] ),
+    .Y(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3443_ (.A1_N(_1346_),
-    .A2_N(_1345_),
-    .B1(_1221_),
-    .B2(_1345_),
+ sky130_fd_sc_hd__buf_2 _3379_ (.A(_1311_),
+    .X(_1318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3380_ (.A1_N(_1317_),
+    .A2_N(_1318_),
+    .B1(_1194_),
+    .B2(_1318_),
     .X(_0397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3444_ (.A(\u_async_wb.u_cmd_if.mem[1][60] ),
-    .B(_0680_),
+ sky130_fd_sc_hd__inv_2 _3381_ (.A(\u_async_wb.u_cmd_if.mem[3][63] ),
+    .Y(_1319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3382_ (.A1_N(_1319_),
+    .A2_N(_1318_),
+    .B1(_1196_),
+    .B2(_1318_),
     .X(_0396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3445_ (.A(\u_async_wb.u_cmd_if.mem[1][59] ),
-    .Y(_1347_),
+ sky130_fd_sc_hd__inv_2 _3383_ (.A(\u_async_wb.u_cmd_if.mem[3][62] ),
+    .Y(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3446_ (.A(_1344_),
-    .X(_1348_),
+ sky130_fd_sc_hd__buf_2 _3384_ (.A(_1311_),
+    .X(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3447_ (.A1_N(_1347_),
-    .A2_N(_1345_),
-    .B1(wbm_adr_i[22]),
-    .B2(_1348_),
+ sky130_fd_sc_hd__a2bb2o_4 _3385_ (.A1_N(_1320_),
+    .A2_N(_1321_),
+    .B1(_1199_),
+    .B2(_1321_),
     .X(_0395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3448_ (.A(\u_async_wb.u_cmd_if.mem[1][58] ),
-    .Y(_1349_),
+ sky130_fd_sc_hd__inv_2 _3386_ (.A(\u_async_wb.u_cmd_if.mem[3][61] ),
+    .Y(_1322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3449_ (.A1_N(_1349_),
-    .A2_N(_1348_),
-    .B1(wbm_adr_i[21]),
-    .B2(_1348_),
+ sky130_fd_sc_hd__a2bb2o_4 _3387_ (.A1_N(_1322_),
+    .A2_N(_1321_),
+    .B1(_1201_),
+    .B2(_1321_),
     .X(_0394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3450_ (.A(\u_async_wb.u_cmd_if.mem[1][57] ),
-    .Y(_1350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3451_ (.A(_1344_),
-    .X(_1351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3452_ (.A1_N(_1350_),
-    .A2_N(_1348_),
-    .B1(wbm_adr_i[20]),
-    .B2(_1351_),
+ sky130_fd_sc_hd__and2_4 _3388_ (.A(\u_async_wb.u_cmd_if.mem[3][60] ),
+    .B(_0765_),
     .X(_0393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3453_ (.A(\u_async_wb.u_cmd_if.mem[1][56] ),
-    .Y(_1352_),
+ sky130_fd_sc_hd__inv_2 _3389_ (.A(\u_async_wb.u_cmd_if.mem[3][59] ),
+    .Y(_1323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3454_ (.A1_N(_1352_),
-    .A2_N(_1351_),
-    .B1(wbm_adr_i[19]),
-    .B2(_1351_),
+ sky130_fd_sc_hd__buf_2 _3390_ (.A(_0767_),
+    .X(_1324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3391_ (.A(_1324_),
+    .X(_1325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3392_ (.A1_N(_1323_),
+    .A2_N(_1325_),
+    .B1(wbm_adr_i[22]),
+    .B2(_1325_),
     .X(_0392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3455_ (.A(\u_async_wb.u_cmd_if.mem[1][55] ),
-    .Y(_1353_),
+ sky130_fd_sc_hd__inv_2 _3393_ (.A(\u_async_wb.u_cmd_if.mem[3][58] ),
+    .Y(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3456_ (.A(_1344_),
-    .X(_1354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3457_ (.A1_N(_1353_),
-    .A2_N(_1351_),
-    .B1(wbm_adr_i[18]),
-    .B2(_1354_),
+ sky130_fd_sc_hd__a2bb2o_4 _3394_ (.A1_N(_1326_),
+    .A2_N(_1325_),
+    .B1(wbm_adr_i[21]),
+    .B2(_1325_),
     .X(_0391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3458_ (.A(\u_async_wb.u_cmd_if.mem[1][54] ),
-    .Y(_1355_),
+ sky130_fd_sc_hd__inv_2 _3395_ (.A(\u_async_wb.u_cmd_if.mem[3][57] ),
+    .Y(_1327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3459_ (.A1_N(_1355_),
-    .A2_N(_1354_),
-    .B1(wbm_adr_i[17]),
-    .B2(_1354_),
+ sky130_fd_sc_hd__buf_2 _3396_ (.A(_1324_),
+    .X(_1328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3397_ (.A1_N(_1327_),
+    .A2_N(_1328_),
+    .B1(wbm_adr_i[20]),
+    .B2(_1328_),
     .X(_0390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3460_ (.A(\u_async_wb.u_cmd_if.mem[1][53] ),
-    .Y(_1356_),
+ sky130_fd_sc_hd__inv_2 _3398_ (.A(\u_async_wb.u_cmd_if.mem[3][56] ),
+    .Y(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3461_ (.A(_1343_),
-    .X(_1357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3462_ (.A(_1357_),
-    .X(_1358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3463_ (.A1_N(_1356_),
-    .A2_N(_1354_),
-    .B1(wbm_adr_i[16]),
-    .B2(_1358_),
+ sky130_fd_sc_hd__a2bb2o_4 _3399_ (.A1_N(_1329_),
+    .A2_N(_1328_),
+    .B1(wbm_adr_i[19]),
+    .B2(_1328_),
     .X(_0389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3464_ (.A(\u_async_wb.u_cmd_if.mem[1][52] ),
-    .Y(_1359_),
+ sky130_fd_sc_hd__inv_2 _3400_ (.A(\u_async_wb.u_cmd_if.mem[3][55] ),
+    .Y(_1330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3465_ (.A1_N(_1359_),
-    .A2_N(_1358_),
-    .B1(wbm_adr_i[15]),
-    .B2(_1358_),
+ sky130_fd_sc_hd__buf_2 _3401_ (.A(_1324_),
+    .X(_1331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3402_ (.A1_N(_1330_),
+    .A2_N(_1331_),
+    .B1(wbm_adr_i[18]),
+    .B2(_1331_),
     .X(_0388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3466_ (.A(\u_async_wb.u_cmd_if.mem[1][51] ),
-    .Y(_1360_),
+ sky130_fd_sc_hd__inv_2 _3403_ (.A(\u_async_wb.u_cmd_if.mem[3][54] ),
+    .Y(_1332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3467_ (.A(_1357_),
-    .X(_1361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3468_ (.A1_N(_1360_),
-    .A2_N(_1358_),
-    .B1(wbm_adr_i[14]),
-    .B2(_1361_),
+ sky130_fd_sc_hd__a2bb2o_4 _3404_ (.A1_N(_1332_),
+    .A2_N(_1331_),
+    .B1(wbm_adr_i[17]),
+    .B2(_1331_),
     .X(_0387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3469_ (.A(\u_async_wb.u_cmd_if.mem[1][50] ),
-    .Y(_1362_),
+ sky130_fd_sc_hd__inv_2 _3405_ (.A(\u_async_wb.u_cmd_if.mem[3][53] ),
+    .Y(_1333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3470_ (.A1_N(_1362_),
-    .A2_N(_1361_),
-    .B1(wbm_adr_i[13]),
-    .B2(_1361_),
+ sky130_fd_sc_hd__buf_2 _3406_ (.A(_1324_),
+    .X(_1334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3407_ (.A1_N(_1333_),
+    .A2_N(_1334_),
+    .B1(wbm_adr_i[16]),
+    .B2(_1334_),
     .X(_0386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3471_ (.A(\u_async_wb.u_cmd_if.mem[1][49] ),
-    .Y(_1363_),
+ sky130_fd_sc_hd__inv_2 _3408_ (.A(\u_async_wb.u_cmd_if.mem[3][52] ),
+    .Y(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3472_ (.A(_1357_),
-    .X(_1364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3473_ (.A1_N(_1363_),
-    .A2_N(_1361_),
-    .B1(wbm_adr_i[12]),
-    .B2(_1364_),
+ sky130_fd_sc_hd__a2bb2o_4 _3409_ (.A1_N(_1335_),
+    .A2_N(_1334_),
+    .B1(wbm_adr_i[15]),
+    .B2(_1334_),
     .X(_0385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3474_ (.A(\u_async_wb.u_cmd_if.mem[1][48] ),
-    .Y(_1365_),
+ sky130_fd_sc_hd__inv_2 _3410_ (.A(\u_async_wb.u_cmd_if.mem[3][51] ),
+    .Y(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3475_ (.A1_N(_1365_),
-    .A2_N(_1364_),
-    .B1(wbm_adr_i[11]),
-    .B2(_1364_),
+ sky130_fd_sc_hd__buf_2 _3411_ (.A(_0766_),
+    .X(_1337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3412_ (.A(_1337_),
+    .X(_1338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3413_ (.A(_1338_),
+    .X(_1339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3414_ (.A1_N(_1336_),
+    .A2_N(_1339_),
+    .B1(wbm_adr_i[14]),
+    .B2(_1339_),
     .X(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3476_ (.A(\u_async_wb.u_cmd_if.mem[1][47] ),
-    .Y(_1366_),
+ sky130_fd_sc_hd__inv_2 _3415_ (.A(\u_async_wb.u_cmd_if.mem[3][50] ),
+    .Y(_1340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3477_ (.A(_1357_),
-    .X(_1367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3478_ (.A1_N(_1366_),
-    .A2_N(_1364_),
-    .B1(wbm_adr_i[10]),
-    .B2(_1367_),
+ sky130_fd_sc_hd__a2bb2o_4 _3416_ (.A1_N(_1340_),
+    .A2_N(_1339_),
+    .B1(wbm_adr_i[13]),
+    .B2(_1339_),
     .X(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3479_ (.A(\u_async_wb.u_cmd_if.mem[1][46] ),
-    .Y(_1368_),
+ sky130_fd_sc_hd__inv_2 _3417_ (.A(\u_async_wb.u_cmd_if.mem[3][49] ),
+    .Y(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3480_ (.A1_N(_1368_),
-    .A2_N(_1367_),
-    .B1(wbm_adr_i[9]),
-    .B2(_1367_),
+ sky130_fd_sc_hd__buf_2 _3418_ (.A(_1338_),
+    .X(_1342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3419_ (.A1_N(_1341_),
+    .A2_N(_1342_),
+    .B1(wbm_adr_i[12]),
+    .B2(_1342_),
     .X(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3481_ (.A(\u_async_wb.u_cmd_if.mem[1][45] ),
-    .Y(_1369_),
+ sky130_fd_sc_hd__inv_2 _3420_ (.A(\u_async_wb.u_cmd_if.mem[3][48] ),
+    .Y(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3482_ (.A(_1343_),
-    .X(_1370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3483_ (.A(_1370_),
-    .X(_1371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3484_ (.A1_N(_1369_),
-    .A2_N(_1367_),
-    .B1(wbm_adr_i[8]),
-    .B2(_1371_),
+ sky130_fd_sc_hd__a2bb2o_4 _3421_ (.A1_N(_1343_),
+    .A2_N(_1342_),
+    .B1(wbm_adr_i[11]),
+    .B2(_1342_),
     .X(_0381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3485_ (.A(\u_async_wb.u_cmd_if.mem[1][44] ),
-    .Y(_1372_),
+ sky130_fd_sc_hd__inv_2 _3422_ (.A(\u_async_wb.u_cmd_if.mem[3][47] ),
+    .Y(_1344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3486_ (.A1_N(_1372_),
-    .A2_N(_1371_),
-    .B1(wbm_adr_i[7]),
-    .B2(_1371_),
+ sky130_fd_sc_hd__buf_2 _3423_ (.A(_1338_),
+    .X(_1345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3424_ (.A1_N(_1344_),
+    .A2_N(_1345_),
+    .B1(wbm_adr_i[10]),
+    .B2(_1345_),
     .X(_0380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3487_ (.A(\u_async_wb.u_cmd_if.mem[1][43] ),
-    .Y(_1373_),
+ sky130_fd_sc_hd__inv_2 _3425_ (.A(\u_async_wb.u_cmd_if.mem[3][46] ),
+    .Y(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3488_ (.A(_1370_),
-    .X(_1374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3489_ (.A1_N(_1373_),
-    .A2_N(_1371_),
-    .B1(wbm_adr_i[6]),
-    .B2(_1374_),
+ sky130_fd_sc_hd__a2bb2o_4 _3426_ (.A1_N(_1346_),
+    .A2_N(_1345_),
+    .B1(wbm_adr_i[9]),
+    .B2(_1345_),
     .X(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3490_ (.A(\u_async_wb.u_cmd_if.mem[1][42] ),
-    .Y(_1375_),
+ sky130_fd_sc_hd__inv_2 _3427_ (.A(\u_async_wb.u_cmd_if.mem[3][45] ),
+    .Y(_1347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3491_ (.A1_N(_1375_),
-    .A2_N(_1374_),
-    .B1(wbm_adr_i[5]),
-    .B2(_1374_),
+ sky130_fd_sc_hd__buf_2 _3428_ (.A(_1338_),
+    .X(_1348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3429_ (.A1_N(_1347_),
+    .A2_N(_1348_),
+    .B1(wbm_adr_i[8]),
+    .B2(_1348_),
     .X(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3492_ (.A(\u_async_wb.u_cmd_if.mem[1][41] ),
-    .Y(_1376_),
+ sky130_fd_sc_hd__inv_2 _3430_ (.A(\u_async_wb.u_cmd_if.mem[3][44] ),
+    .Y(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3493_ (.A(_1370_),
-    .X(_1377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3494_ (.A1_N(_1376_),
-    .A2_N(_1374_),
-    .B1(wbm_adr_i[4]),
-    .B2(_1377_),
+ sky130_fd_sc_hd__a2bb2o_4 _3431_ (.A1_N(_1349_),
+    .A2_N(_1348_),
+    .B1(wbm_adr_i[7]),
+    .B2(_1348_),
     .X(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3495_ (.A(\u_async_wb.u_cmd_if.mem[1][40] ),
-    .Y(_1378_),
+ sky130_fd_sc_hd__inv_2 _3432_ (.A(\u_async_wb.u_cmd_if.mem[3][43] ),
+    .Y(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3496_ (.A1_N(_1378_),
-    .A2_N(_1377_),
-    .B1(_1256_),
-    .B2(_1377_),
+ sky130_fd_sc_hd__buf_2 _3433_ (.A(_1337_),
+    .X(_1351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3434_ (.A(_1351_),
+    .X(_1352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3435_ (.A1_N(_1350_),
+    .A2_N(_1352_),
+    .B1(wbm_adr_i[6]),
+    .B2(_1352_),
     .X(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3497_ (.A(\u_async_wb.u_cmd_if.mem[1][39] ),
-    .Y(_1379_),
+ sky130_fd_sc_hd__inv_2 _3436_ (.A(\u_async_wb.u_cmd_if.mem[3][42] ),
+    .Y(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3498_ (.A(_1370_),
-    .X(_1380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3499_ (.A1_N(_1379_),
-    .A2_N(_1377_),
-    .B1(_1258_),
-    .B2(_1380_),
+ sky130_fd_sc_hd__a2bb2o_4 _3437_ (.A1_N(_1353_),
+    .A2_N(_1352_),
+    .B1(wbm_adr_i[5]),
+    .B2(_1352_),
     .X(_0375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3500_ (.A(\u_async_wb.u_cmd_if.mem[1][38] ),
-    .Y(_1381_),
+ sky130_fd_sc_hd__inv_2 _3438_ (.A(\u_async_wb.u_cmd_if.mem[3][41] ),
+    .Y(_1354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3501_ (.A1_N(_1381_),
-    .A2_N(_1380_),
-    .B1(wbm_adr_i[1]),
-    .B2(_1380_),
+ sky130_fd_sc_hd__buf_2 _3439_ (.A(_1351_),
+    .X(_1355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3440_ (.A1_N(_1354_),
+    .A2_N(_1355_),
+    .B1(wbm_adr_i[4]),
+    .B2(_1355_),
     .X(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3502_ (.A(\u_async_wb.u_cmd_if.mem[1][37] ),
-    .Y(_1382_),
+ sky130_fd_sc_hd__inv_2 _3441_ (.A(\u_async_wb.u_cmd_if.mem[3][40] ),
+    .Y(_1356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3503_ (.A(_1343_),
-    .X(_1383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3504_ (.A(_1383_),
-    .X(_1384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3505_ (.A1_N(_1382_),
-    .A2_N(_1380_),
-    .B1(wbm_adr_i[0]),
-    .B2(_1384_),
+ sky130_fd_sc_hd__a2bb2o_4 _3442_ (.A1_N(_1356_),
+    .A2_N(_1355_),
+    .B1(_1236_),
+    .B2(_1355_),
     .X(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3506_ (.A(\u_async_wb.u_cmd_if.mem[1][36] ),
-    .Y(_1385_),
+ sky130_fd_sc_hd__inv_2 _3443_ (.A(\u_async_wb.u_cmd_if.mem[3][39] ),
+    .Y(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3507_ (.A1_N(_1385_),
-    .A2_N(_1384_),
-    .B1(_1264_),
-    .B2(_1384_),
+ sky130_fd_sc_hd__buf_2 _3444_ (.A(_1351_),
+    .X(_1358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3445_ (.A1_N(_1357_),
+    .A2_N(_1358_),
+    .B1(_1239_),
+    .B2(_1358_),
     .X(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3508_ (.A(\u_async_wb.u_cmd_if.mem[1][35] ),
-    .Y(_1386_),
+ sky130_fd_sc_hd__inv_2 _3446_ (.A(\u_async_wb.u_cmd_if.mem[3][38] ),
+    .Y(_1359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3509_ (.A(_1383_),
-    .X(_1387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3510_ (.A1_N(_1386_),
-    .A2_N(_1384_),
-    .B1(_1017_),
-    .B2(_1387_),
+ sky130_fd_sc_hd__a2bb2o_4 _3447_ (.A1_N(_1359_),
+    .A2_N(_1358_),
+    .B1(wbm_adr_i[1]),
+    .B2(_1358_),
     .X(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3511_ (.A(\u_async_wb.u_cmd_if.mem[1][34] ),
-    .Y(_1388_),
+ sky130_fd_sc_hd__inv_2 _3448_ (.A(\u_async_wb.u_cmd_if.mem[3][37] ),
+    .Y(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3512_ (.A1_N(_1388_),
-    .A2_N(_1387_),
-    .B1(_1082_),
-    .B2(_1387_),
+ sky130_fd_sc_hd__buf_2 _3449_ (.A(_1351_),
+    .X(_1361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3450_ (.A1_N(_1360_),
+    .A2_N(_1361_),
+    .B1(wbm_adr_i[0]),
+    .B2(_1361_),
     .X(_0370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3513_ (.A(\u_async_wb.u_cmd_if.mem[1][33] ),
-    .Y(_1389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3514_ (.A(_1383_),
-    .X(_1390_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3515_ (.A1_N(_1389_),
-    .A2_N(_1387_),
-    .B1(_1014_),
-    .B2(_1390_),
+ sky130_fd_sc_hd__o22a_4 _3451_ (.A1(\u_async_wb.u_cmd_if.mem[3][36] ),
+    .A2(_0768_),
+    .B1(_0773_),
+    .B2(_0765_),
     .X(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3516_ (.A(\u_async_wb.u_cmd_if.mem[1][32] ),
-    .Y(_1391_),
+ sky130_fd_sc_hd__inv_2 _3452_ (.A(\u_async_wb.u_cmd_if.mem[3][35] ),
+    .Y(_1362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3517_ (.A1_N(_1391_),
-    .A2_N(_1390_),
-    .B1(_1077_),
-    .B2(_1390_),
+ sky130_fd_sc_hd__a2bb2o_4 _3453_ (.A1_N(_1362_),
+    .A2_N(_1361_),
+    .B1(_1001_),
+    .B2(_1361_),
     .X(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3518_ (.A(\u_async_wb.u_cmd_if.mem[1][31] ),
-    .Y(_1392_),
+ sky130_fd_sc_hd__inv_2 _3454_ (.A(\u_async_wb.u_cmd_if.mem[3][34] ),
+    .Y(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3519_ (.A(_1383_),
-    .X(_1393_),
+ sky130_fd_sc_hd__buf_2 _3455_ (.A(_1337_),
+    .X(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3520_ (.A1_N(_1392_),
-    .A2_N(_1390_),
-    .B1(_1075_),
-    .B2(_1393_),
+ sky130_fd_sc_hd__buf_2 _3456_ (.A(_1364_),
+    .X(_1365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3457_ (.A1_N(_1363_),
+    .A2_N(_1365_),
+    .B1(_1064_),
+    .B2(_1365_),
     .X(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3521_ (.A(\u_async_wb.u_cmd_if.mem[1][30] ),
-    .Y(_1394_),
+ sky130_fd_sc_hd__inv_2 _3458_ (.A(\u_async_wb.u_cmd_if.mem[3][33] ),
+    .Y(_1366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3522_ (.A1_N(_1394_),
-    .A2_N(_1393_),
-    .B1(_1074_),
-    .B2(_1393_),
+ sky130_fd_sc_hd__a2bb2o_4 _3459_ (.A1_N(_1366_),
+    .A2_N(_1365_),
+    .B1(_0997_),
+    .B2(_1365_),
     .X(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3523_ (.A(\u_async_wb.u_cmd_if.mem[1][29] ),
-    .Y(_1395_),
+ sky130_fd_sc_hd__inv_2 _3460_ (.A(\u_async_wb.u_cmd_if.mem[3][32] ),
+    .Y(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3524_ (.A(_1342_),
-    .X(_1396_),
+ sky130_fd_sc_hd__buf_2 _3461_ (.A(_1364_),
+    .X(_1368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3525_ (.A(_1396_),
-    .X(_1397_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3526_ (.A1_N(_1395_),
-    .A2_N(_1393_),
-    .B1(_1071_),
-    .B2(_1397_),
+ sky130_fd_sc_hd__a2bb2o_4 _3462_ (.A1_N(_1367_),
+    .A2_N(_1368_),
+    .B1(_1059_),
+    .B2(_1368_),
     .X(_0365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3527_ (.A(\u_async_wb.u_cmd_if.mem[1][28] ),
-    .Y(_1398_),
+ sky130_fd_sc_hd__inv_2 _3463_ (.A(\u_async_wb.u_cmd_if.mem[3][31] ),
+    .Y(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3528_ (.A1_N(_1398_),
-    .A2_N(_1397_),
-    .B1(_1070_),
-    .B2(_1397_),
+ sky130_fd_sc_hd__a2bb2o_4 _3464_ (.A1_N(_1369_),
+    .A2_N(_1368_),
+    .B1(_1057_),
+    .B2(_1368_),
     .X(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3529_ (.A(\u_async_wb.u_cmd_if.mem[1][27] ),
-    .Y(_1399_),
+ sky130_fd_sc_hd__inv_2 _3465_ (.A(\u_async_wb.u_cmd_if.mem[3][30] ),
+    .Y(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3530_ (.A(_1396_),
-    .X(_1400_),
+ sky130_fd_sc_hd__buf_2 _3466_ (.A(_1364_),
+    .X(_1371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3531_ (.A1_N(_1399_),
-    .A2_N(_1397_),
-    .B1(_1067_),
-    .B2(_1400_),
+ sky130_fd_sc_hd__a2bb2o_4 _3467_ (.A1_N(_1370_),
+    .A2_N(_1371_),
+    .B1(_1056_),
+    .B2(_1371_),
     .X(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3532_ (.A(\u_async_wb.u_cmd_if.mem[1][26] ),
-    .Y(_1401_),
+ sky130_fd_sc_hd__inv_2 _3468_ (.A(\u_async_wb.u_cmd_if.mem[3][29] ),
+    .Y(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3533_ (.A1_N(_1401_),
-    .A2_N(_1400_),
-    .B1(_1066_),
-    .B2(_1400_),
+ sky130_fd_sc_hd__a2bb2o_4 _3469_ (.A1_N(_1372_),
+    .A2_N(_1371_),
+    .B1(_1053_),
+    .B2(_1371_),
     .X(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3534_ (.A(\u_async_wb.u_cmd_if.mem[1][25] ),
-    .Y(_1402_),
+ sky130_fd_sc_hd__inv_2 _3470_ (.A(\u_async_wb.u_cmd_if.mem[3][28] ),
+    .Y(_1373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3535_ (.A(_1396_),
-    .X(_1403_),
+ sky130_fd_sc_hd__buf_2 _3471_ (.A(_1364_),
+    .X(_1374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3536_ (.A1_N(_1402_),
-    .A2_N(_1400_),
-    .B1(_1062_),
-    .B2(_1403_),
+ sky130_fd_sc_hd__a2bb2o_4 _3472_ (.A1_N(_1373_),
+    .A2_N(_1374_),
+    .B1(_1052_),
+    .B2(_1374_),
     .X(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3537_ (.A(\u_async_wb.u_cmd_if.mem[1][24] ),
-    .Y(_1404_),
+ sky130_fd_sc_hd__inv_2 _3473_ (.A(\u_async_wb.u_cmd_if.mem[3][27] ),
+    .Y(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3538_ (.A1_N(_1404_),
-    .A2_N(_1403_),
-    .B1(_1061_),
-    .B2(_1403_),
+ sky130_fd_sc_hd__a2bb2o_4 _3474_ (.A1_N(_1375_),
+    .A2_N(_1374_),
+    .B1(_1049_),
+    .B2(_1374_),
     .X(_0360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3539_ (.A(\u_async_wb.u_cmd_if.mem[1][23] ),
-    .Y(_1405_),
+ sky130_fd_sc_hd__inv_2 _3475_ (.A(\u_async_wb.u_cmd_if.mem[3][26] ),
+    .Y(_1376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3540_ (.A(_1396_),
-    .X(_1406_),
+ sky130_fd_sc_hd__buf_2 _3476_ (.A(_1337_),
+    .X(_1377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3541_ (.A1_N(_1405_),
-    .A2_N(_1403_),
-    .B1(_1013_),
-    .B2(_1406_),
+ sky130_fd_sc_hd__buf_2 _3477_ (.A(_1377_),
+    .X(_1378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3478_ (.A1_N(_1376_),
+    .A2_N(_1378_),
+    .B1(_1048_),
+    .B2(_1378_),
     .X(_0359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3542_ (.A(\u_async_wb.u_cmd_if.mem[1][22] ),
-    .Y(_1407_),
+ sky130_fd_sc_hd__inv_2 _3479_ (.A(\u_async_wb.u_cmd_if.mem[3][25] ),
+    .Y(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3543_ (.A1_N(_1407_),
-    .A2_N(_1406_),
-    .B1(_1057_),
-    .B2(_1406_),
+ sky130_fd_sc_hd__a2bb2o_4 _3480_ (.A1_N(_1379_),
+    .A2_N(_1378_),
+    .B1(_1045_),
+    .B2(_1378_),
     .X(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3544_ (.A(\u_async_wb.u_cmd_if.mem[1][21] ),
-    .Y(_1408_),
+ sky130_fd_sc_hd__inv_2 _3481_ (.A(\u_async_wb.u_cmd_if.mem[3][24] ),
+    .Y(_1380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3545_ (.A(_1342_),
-    .X(_1409_),
+ sky130_fd_sc_hd__buf_2 _3482_ (.A(_1377_),
+    .X(_1381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3546_ (.A(_1409_),
-    .X(_1410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3547_ (.A1_N(_1408_),
-    .A2_N(_1406_),
-    .B1(_1054_),
-    .B2(_1410_),
+ sky130_fd_sc_hd__a2bb2o_4 _3483_ (.A1_N(_1380_),
+    .A2_N(_1381_),
+    .B1(_1044_),
+    .B2(_1381_),
     .X(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3548_ (.A(\u_async_wb.u_cmd_if.mem[1][20] ),
-    .Y(_1411_),
+ sky130_fd_sc_hd__inv_2 _3484_ (.A(\u_async_wb.u_cmd_if.mem[3][23] ),
+    .Y(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3549_ (.A1_N(_1411_),
-    .A2_N(_1410_),
-    .B1(_1053_),
-    .B2(_1410_),
+ sky130_fd_sc_hd__a2bb2o_4 _3485_ (.A1_N(_1382_),
+    .A2_N(_1381_),
+    .B1(_0996_),
+    .B2(_1381_),
     .X(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3550_ (.A(\u_async_wb.u_cmd_if.mem[1][19] ),
-    .Y(_1412_),
+ sky130_fd_sc_hd__inv_2 _3486_ (.A(\u_async_wb.u_cmd_if.mem[3][22] ),
+    .Y(_1383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3551_ (.A(_1409_),
-    .X(_1413_),
+ sky130_fd_sc_hd__buf_2 _3487_ (.A(_1377_),
+    .X(_1384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3552_ (.A1_N(_1412_),
-    .A2_N(_1410_),
-    .B1(_1050_),
-    .B2(_1413_),
+ sky130_fd_sc_hd__a2bb2o_4 _3488_ (.A1_N(_1383_),
+    .A2_N(_1384_),
+    .B1(_1040_),
+    .B2(_1384_),
     .X(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3553_ (.A(\u_async_wb.u_cmd_if.mem[1][18] ),
-    .Y(_1414_),
+ sky130_fd_sc_hd__inv_2 _3489_ (.A(\u_async_wb.u_cmd_if.mem[3][21] ),
+    .Y(_1385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3554_ (.A1_N(_1414_),
-    .A2_N(_1413_),
-    .B1(_1049_),
-    .B2(_1413_),
+ sky130_fd_sc_hd__a2bb2o_4 _3490_ (.A1_N(_1385_),
+    .A2_N(_1384_),
+    .B1(_1036_),
+    .B2(_1384_),
     .X(_0354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3555_ (.A(\u_async_wb.u_cmd_if.mem[1][17] ),
-    .Y(_1415_),
+ sky130_fd_sc_hd__inv_2 _3491_ (.A(\u_async_wb.u_cmd_if.mem[3][20] ),
+    .Y(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3556_ (.A(_1409_),
-    .X(_1416_),
+ sky130_fd_sc_hd__buf_2 _3492_ (.A(_1377_),
+    .X(_1387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3557_ (.A1_N(_1415_),
-    .A2_N(_1413_),
-    .B1(_1046_),
-    .B2(_1416_),
+ sky130_fd_sc_hd__a2bb2o_4 _3493_ (.A1_N(_1386_),
+    .A2_N(_1387_),
+    .B1(_1035_),
+    .B2(_1387_),
     .X(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3558_ (.A(\u_async_wb.u_cmd_if.mem[1][16] ),
-    .Y(_1417_),
+ sky130_fd_sc_hd__inv_2 _3494_ (.A(\u_async_wb.u_cmd_if.mem[3][19] ),
+    .Y(_1388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3559_ (.A1_N(_1417_),
-    .A2_N(_1416_),
-    .B1(_1045_),
-    .B2(_1416_),
+ sky130_fd_sc_hd__a2bb2o_4 _3495_ (.A1_N(_1388_),
+    .A2_N(_1387_),
+    .B1(_1032_),
+    .B2(_1387_),
     .X(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3560_ (.A(\u_async_wb.u_cmd_if.mem[1][15] ),
-    .Y(_1418_),
+ sky130_fd_sc_hd__inv_2 _3496_ (.A(\u_async_wb.u_cmd_if.mem[3][18] ),
+    .Y(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3561_ (.A(_1409_),
-    .X(_1419_),
+ sky130_fd_sc_hd__buf_2 _3497_ (.A(_0766_),
+    .X(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3562_ (.A1_N(_1418_),
-    .A2_N(_1416_),
-    .B1(_1043_),
-    .B2(_1419_),
+ sky130_fd_sc_hd__buf_2 _3498_ (.A(_1390_),
+    .X(_1391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3499_ (.A1_N(_1389_),
+    .A2_N(_1391_),
+    .B1(_1031_),
+    .B2(_1391_),
     .X(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3563_ (.A(\u_async_wb.u_cmd_if.mem[1][14] ),
-    .Y(_1420_),
+ sky130_fd_sc_hd__inv_2 _3500_ (.A(\u_async_wb.u_cmd_if.mem[3][17] ),
+    .Y(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3564_ (.A1_N(_1420_),
-    .A2_N(_1419_),
-    .B1(wbm_dat_i[10]),
-    .B2(_1419_),
+ sky130_fd_sc_hd__a2bb2o_4 _3501_ (.A1_N(_1392_),
+    .A2_N(_1391_),
+    .B1(_1028_),
+    .B2(_1391_),
     .X(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3565_ (.A(\u_async_wb.u_cmd_if.mem[1][13] ),
-    .Y(_1421_),
+ sky130_fd_sc_hd__inv_2 _3502_ (.A(\u_async_wb.u_cmd_if.mem[3][16] ),
+    .Y(_1393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3566_ (.A(_1342_),
-    .X(_1422_),
+ sky130_fd_sc_hd__buf_2 _3503_ (.A(_1390_),
+    .X(_1394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3567_ (.A(_1422_),
-    .X(_1423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3568_ (.A1_N(_1421_),
-    .A2_N(_1419_),
-    .B1(wbm_dat_i[9]),
-    .B2(_1423_),
+ sky130_fd_sc_hd__a2bb2o_4 _3504_ (.A1_N(_1393_),
+    .A2_N(_1394_),
+    .B1(_1027_),
+    .B2(_1394_),
     .X(_0349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3569_ (.A(\u_async_wb.u_cmd_if.mem[1][12] ),
-    .Y(_1424_),
+ sky130_fd_sc_hd__inv_2 _3505_ (.A(\u_async_wb.u_cmd_if.mem[3][15] ),
+    .Y(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3570_ (.A1_N(_1424_),
-    .A2_N(_1423_),
-    .B1(wbm_dat_i[8]),
-    .B2(_1423_),
+ sky130_fd_sc_hd__a2bb2o_4 _3506_ (.A1_N(_1395_),
+    .A2_N(_1394_),
+    .B1(_1025_),
+    .B2(_1394_),
     .X(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3571_ (.A(\u_async_wb.u_cmd_if.mem[1][11] ),
-    .Y(_1425_),
+ sky130_fd_sc_hd__inv_2 _3507_ (.A(\u_async_wb.u_cmd_if.mem[3][14] ),
+    .Y(_1396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3572_ (.A(_1422_),
-    .X(_1426_),
+ sky130_fd_sc_hd__buf_2 _3508_ (.A(_1390_),
+    .X(_1397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3573_ (.A1_N(_1425_),
-    .A2_N(_1423_),
-    .B1(_1305_),
-    .B2(_1426_),
+ sky130_fd_sc_hd__a2bb2o_4 _3509_ (.A1_N(_1396_),
+    .A2_N(_1397_),
+    .B1(wbm_dat_i[10]),
+    .B2(_1397_),
     .X(_0347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3574_ (.A(\u_async_wb.u_cmd_if.mem[1][10] ),
-    .Y(_1427_),
+ sky130_fd_sc_hd__inv_2 _3510_ (.A(\u_async_wb.u_cmd_if.mem[3][13] ),
+    .Y(_1398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3575_ (.A1_N(_1427_),
-    .A2_N(_1426_),
-    .B1(_1309_),
-    .B2(_1426_),
+ sky130_fd_sc_hd__a2bb2o_4 _3511_ (.A1_N(_1398_),
+    .A2_N(_1397_),
+    .B1(wbm_dat_i[9]),
+    .B2(_1397_),
     .X(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3576_ (.A(\u_async_wb.u_cmd_if.mem[1][9] ),
-    .Y(_1428_),
+ sky130_fd_sc_hd__inv_2 _3512_ (.A(\u_async_wb.u_cmd_if.mem[3][12] ),
+    .Y(_1399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3577_ (.A(_1422_),
-    .X(_1429_),
+ sky130_fd_sc_hd__buf_2 _3513_ (.A(_1390_),
+    .X(_1400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3578_ (.A1_N(_1428_),
-    .A2_N(_1426_),
-    .B1(_1311_),
-    .B2(_1429_),
+ sky130_fd_sc_hd__a2bb2o_4 _3514_ (.A1_N(_1399_),
+    .A2_N(_1400_),
+    .B1(wbm_dat_i[8]),
+    .B2(_1400_),
     .X(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3579_ (.A(\u_async_wb.u_cmd_if.mem[1][8] ),
-    .Y(_1430_),
+ sky130_fd_sc_hd__inv_2 _3515_ (.A(\u_async_wb.u_cmd_if.mem[3][11] ),
+    .Y(_1401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3580_ (.A1_N(_1430_),
-    .A2_N(_1429_),
-    .B1(_1314_),
-    .B2(_1429_),
+ sky130_fd_sc_hd__a2bb2o_4 _3516_ (.A1_N(_1401_),
+    .A2_N(_1400_),
+    .B1(_1286_),
+    .B2(_1400_),
     .X(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3581_ (.A(\u_async_wb.u_cmd_if.mem[1][7] ),
-    .Y(_1431_),
+ sky130_fd_sc_hd__inv_2 _3517_ (.A(\u_async_wb.u_cmd_if.mem[3][10] ),
+    .Y(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3582_ (.A(_1422_),
-    .X(_1432_),
+ sky130_fd_sc_hd__buf_2 _3518_ (.A(_0766_),
+    .X(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3583_ (.A1_N(_1431_),
-    .A2_N(_1429_),
-    .B1(_1316_),
-    .B2(_1432_),
+ sky130_fd_sc_hd__buf_2 _3519_ (.A(_1403_),
+    .X(_1404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3520_ (.A1_N(_1402_),
+    .A2_N(_1404_),
+    .B1(_1288_),
+    .B2(_1404_),
     .X(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3584_ (.A(\u_async_wb.u_cmd_if.mem[1][6] ),
-    .Y(_1433_),
+ sky130_fd_sc_hd__inv_2 _3521_ (.A(\u_async_wb.u_cmd_if.mem[3][9] ),
+    .Y(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3585_ (.A1_N(_1433_),
-    .A2_N(_1432_),
-    .B1(_1319_),
-    .B2(_1432_),
+ sky130_fd_sc_hd__a2bb2o_4 _3522_ (.A1_N(_1405_),
+    .A2_N(_1404_),
+    .B1(_1291_),
+    .B2(_1404_),
     .X(_0342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3586_ (.A(\u_async_wb.u_cmd_if.mem[1][5] ),
-    .Y(_1434_),
+ sky130_fd_sc_hd__inv_2 _3523_ (.A(\u_async_wb.u_cmd_if.mem[3][8] ),
+    .Y(_1406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3587_ (.A(_0682_),
-    .X(_1435_),
+ sky130_fd_sc_hd__buf_2 _3524_ (.A(_1403_),
+    .X(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3588_ (.A1_N(_1434_),
-    .A2_N(_1432_),
-    .B1(_1321_),
-    .B2(_1435_),
+ sky130_fd_sc_hd__a2bb2o_4 _3525_ (.A1_N(_1406_),
+    .A2_N(_1407_),
+    .B1(_1293_),
+    .B2(_1407_),
     .X(_0341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3589_ (.A(\u_async_wb.u_cmd_if.mem[1][4] ),
-    .Y(_1436_),
+ sky130_fd_sc_hd__inv_2 _3526_ (.A(\u_async_wb.u_cmd_if.mem[3][7] ),
+    .Y(_1408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3590_ (.A1_N(_1436_),
-    .A2_N(_1435_),
-    .B1(_1324_),
-    .B2(_1435_),
+ sky130_fd_sc_hd__a2bb2o_4 _3527_ (.A1_N(_1408_),
+    .A2_N(_1407_),
+    .B1(_1296_),
+    .B2(_1407_),
     .X(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3591_ (.A(\u_async_wb.u_cmd_if.mem[1][3] ),
-    .Y(_1437_),
+ sky130_fd_sc_hd__inv_2 _3528_ (.A(\u_async_wb.u_cmd_if.mem[3][6] ),
+    .Y(_1409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3592_ (.A(_0682_),
-    .X(_1438_),
+ sky130_fd_sc_hd__buf_2 _3529_ (.A(_1403_),
+    .X(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3593_ (.A1_N(_1437_),
-    .A2_N(_1435_),
-    .B1(wbm_sel_i[3]),
-    .B2(_1438_),
+ sky130_fd_sc_hd__a2bb2o_4 _3530_ (.A1_N(_1409_),
+    .A2_N(_1410_),
+    .B1(_1298_),
+    .B2(_1410_),
     .X(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3594_ (.A(\u_async_wb.u_cmd_if.mem[1][2] ),
-    .Y(_1439_),
+ sky130_fd_sc_hd__inv_2 _3531_ (.A(\u_async_wb.u_cmd_if.mem[3][5] ),
+    .Y(_1411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3595_ (.A1_N(_1439_),
-    .A2_N(_1438_),
-    .B1(wbm_sel_i[2]),
-    .B2(_1438_),
+ sky130_fd_sc_hd__a2bb2o_4 _3532_ (.A1_N(_1411_),
+    .A2_N(_1410_),
+    .B1(_1301_),
+    .B2(_1410_),
     .X(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3596_ (.A(\u_async_wb.u_cmd_if.mem[1][1] ),
-    .Y(_1440_),
+ sky130_fd_sc_hd__inv_2 _3533_ (.A(\u_async_wb.u_cmd_if.mem[3][4] ),
+    .Y(_1412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3597_ (.A1_N(_1440_),
-    .A2_N(_1438_),
-    .B1(wbm_sel_i[1]),
-    .B2(_1331_),
+ sky130_fd_sc_hd__buf_2 _3534_ (.A(_1403_),
+    .X(_1413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3535_ (.A1_N(_1412_),
+    .A2_N(_1413_),
+    .B1(_1303_),
+    .B2(_1413_),
     .X(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3598_ (.A(\u_async_wb.u_cmd_if.mem[1][0] ),
-    .Y(_1441_),
+ sky130_fd_sc_hd__inv_2 _3536_ (.A(\u_async_wb.u_cmd_if.mem[3][3] ),
+    .Y(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3599_ (.A1_N(_1441_),
-    .A2_N(_1331_),
-    .B1(wbm_sel_i[0]),
-    .B2(_1331_),
+ sky130_fd_sc_hd__a2bb2o_4 _3537_ (.A1_N(_1414_),
+    .A2_N(_1413_),
+    .B1(wbm_sel_i[3]),
+    .B2(_1413_),
     .X(_0336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3600_ (.A(\u_async_wb.u_cmd_if.mem[0][70] ),
-    .Y(_1442_),
+ sky130_fd_sc_hd__inv_2 _3538_ (.A(\u_async_wb.u_cmd_if.mem[3][2] ),
+    .Y(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3601_ (.A(_0675_),
-    .B(_0685_),
-    .X(_1443_),
+ sky130_fd_sc_hd__buf_2 _3539_ (.A(_0767_),
+    .X(_1416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3602_ (.A(_1443_),
-    .Y(_1444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3603_ (.A(_1444_),
-    .X(_1445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3604_ (.A(_1445_),
-    .X(_1446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3605_ (.A1_N(_1442_),
-    .A2_N(_1446_),
-    .B1(wbm_cyc_i),
-    .B2(_1446_),
+ sky130_fd_sc_hd__a2bb2o_4 _3540_ (.A1_N(_1415_),
+    .A2_N(_1416_),
+    .B1(wbm_sel_i[2]),
+    .B2(_1416_),
     .X(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3606_ (.A(\u_async_wb.u_cmd_if.mem[0][69] ),
-    .B(_1445_),
+ sky130_fd_sc_hd__inv_2 _3541_ (.A(\u_async_wb.u_cmd_if.mem[3][1] ),
+    .Y(_1417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3542_ (.A1_N(_1417_),
+    .A2_N(_1416_),
+    .B1(wbm_sel_i[1]),
+    .B2(_1416_),
     .X(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3607_ (.A(\u_async_wb.u_cmd_if.mem[0][68] ),
-    .Y(_1447_),
+ sky130_fd_sc_hd__inv_2 _3543_ (.A(\u_async_wb.u_cmd_if.mem[3][0] ),
+    .Y(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3608_ (.A1_N(_1447_),
-    .A2_N(_1446_),
-    .B1(_1201_),
-    .B2(_1446_),
+ sky130_fd_sc_hd__a2bb2o_4 _3544_ (.A1_N(_1418_),
+    .A2_N(_0768_),
+    .B1(wbm_sel_i[0]),
+    .B2(_0768_),
     .X(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3609_ (.A(\u_async_wb.u_cmd_if.mem[0][67] ),
-    .Y(_1448_),
+ sky130_fd_sc_hd__inv_2 _3545_ (.A(\u_async_wb.u_cmd_if.mem[1][68] ),
+    .Y(_1419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3610_ (.A(_1444_),
-    .X(_1449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3611_ (.A(_1449_),
-    .X(_1450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3612_ (.A(_1450_),
-    .X(_1451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3613_ (.A1_N(_1448_),
-    .A2_N(_1451_),
-    .B1(_1206_),
-    .B2(_1451_),
+ sky130_fd_sc_hd__a2bb2o_4 _3546_ (.A1_N(_1419_),
+    .A2_N(_0677_),
+    .B1(_1184_),
+    .B2(_0677_),
     .X(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3614_ (.A(\u_async_wb.u_cmd_if.mem[0][66] ),
-    .Y(_1452_),
+ sky130_fd_sc_hd__inv_2 _3547_ (.A(\u_async_wb.u_cmd_if.mem[1][67] ),
+    .Y(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3615_ (.A1_N(_1452_),
-    .A2_N(_1451_),
-    .B1(_1208_),
-    .B2(_1451_),
+ sky130_fd_sc_hd__buf_2 _3548_ (.A(_0676_),
+    .X(_1421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3549_ (.A1_N(_1420_),
+    .A2_N(_0677_),
+    .B1(_1186_),
+    .B2(_1421_),
     .X(_0331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3616_ (.A(\u_async_wb.u_cmd_if.mem[0][65] ),
-    .Y(_1453_),
+ sky130_fd_sc_hd__inv_2 _3550_ (.A(\u_async_wb.u_cmd_if.mem[1][66] ),
+    .Y(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3617_ (.A(_1450_),
-    .X(_1454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3618_ (.A1_N(_1453_),
-    .A2_N(_1454_),
-    .B1(_1211_),
-    .B2(_1454_),
+ sky130_fd_sc_hd__a2bb2o_4 _3551_ (.A1_N(_1422_),
+    .A2_N(_1421_),
+    .B1(_1189_),
+    .B2(_1421_),
     .X(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3619_ (.A(\u_async_wb.u_cmd_if.mem[0][64] ),
-    .Y(_1455_),
+ sky130_fd_sc_hd__inv_2 _3552_ (.A(\u_async_wb.u_cmd_if.mem[1][65] ),
+    .Y(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3620_ (.A1_N(_1455_),
-    .A2_N(_1454_),
-    .B1(_1213_),
-    .B2(_1454_),
+ sky130_fd_sc_hd__buf_2 _3553_ (.A(_0676_),
+    .X(_1424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3554_ (.A1_N(_1423_),
+    .A2_N(_1421_),
+    .B1(_1191_),
+    .B2(_1424_),
     .X(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3621_ (.A(\u_async_wb.u_cmd_if.mem[0][63] ),
-    .Y(_1456_),
+ sky130_fd_sc_hd__inv_2 _3555_ (.A(\u_async_wb.u_cmd_if.mem[1][64] ),
+    .Y(_1425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3622_ (.A(_1450_),
-    .X(_1457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3623_ (.A1_N(_1456_),
-    .A2_N(_1457_),
-    .B1(_1216_),
-    .B2(_1457_),
+ sky130_fd_sc_hd__a2bb2o_4 _3556_ (.A1_N(_1425_),
+    .A2_N(_1424_),
+    .B1(_1194_),
+    .B2(_1424_),
     .X(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3624_ (.A(\u_async_wb.u_cmd_if.mem[0][62] ),
-    .Y(_1458_),
+ sky130_fd_sc_hd__inv_2 _3557_ (.A(\u_async_wb.u_cmd_if.mem[1][63] ),
+    .Y(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3625_ (.A1_N(_1458_),
-    .A2_N(_1457_),
-    .B1(_1218_),
-    .B2(_1457_),
+ sky130_fd_sc_hd__buf_2 _3558_ (.A(_0674_),
+    .X(_1427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3559_ (.A(_1427_),
+    .X(_1428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3560_ (.A(_1428_),
+    .X(_1429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3561_ (.A1_N(_1426_),
+    .A2_N(_1424_),
+    .B1(_1196_),
+    .B2(_1429_),
     .X(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3626_ (.A(\u_async_wb.u_cmd_if.mem[0][61] ),
-    .Y(_1459_),
+ sky130_fd_sc_hd__inv_2 _3562_ (.A(\u_async_wb.u_cmd_if.mem[1][62] ),
+    .Y(_1430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3627_ (.A(_1450_),
-    .X(_1460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3628_ (.A1_N(_1459_),
-    .A2_N(_1460_),
-    .B1(_1221_),
-    .B2(_1460_),
+ sky130_fd_sc_hd__a2bb2o_4 _3563_ (.A1_N(_1430_),
+    .A2_N(_1429_),
+    .B1(_1199_),
+    .B2(_1429_),
     .X(_0326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3629_ (.A(\u_async_wb.u_cmd_if.mem[0][60] ),
-    .B(_1443_),
+ sky130_fd_sc_hd__inv_2 _3564_ (.A(\u_async_wb.u_cmd_if.mem[1][61] ),
+    .Y(_1431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3565_ (.A(_1428_),
+    .X(_1432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3566_ (.A1_N(_1431_),
+    .A2_N(_1429_),
+    .B1(_1201_),
+    .B2(_1432_),
     .X(_0325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3630_ (.A(\u_async_wb.u_cmd_if.mem[0][59] ),
-    .Y(_1461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3631_ (.A1_N(_1461_),
-    .A2_N(_1460_),
-    .B1(wbm_adr_i[22]),
-    .B2(_1460_),
+ sky130_fd_sc_hd__and2_4 _3567_ (.A(\u_async_wb.u_cmd_if.mem[1][60] ),
+    .B(_0673_),
     .X(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3632_ (.A(\u_async_wb.u_cmd_if.mem[0][58] ),
-    .Y(_1462_),
+ sky130_fd_sc_hd__inv_2 _3568_ (.A(\u_async_wb.u_cmd_if.mem[1][59] ),
+    .Y(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3633_ (.A(_1449_),
-    .X(_1463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3634_ (.A(_1463_),
-    .X(_1464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3635_ (.A1_N(_1462_),
-    .A2_N(_1464_),
-    .B1(wbm_adr_i[21]),
-    .B2(_1464_),
+ sky130_fd_sc_hd__a2bb2o_4 _3569_ (.A1_N(_1433_),
+    .A2_N(_1432_),
+    .B1(wbm_adr_i[22]),
+    .B2(_1432_),
     .X(_0323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3636_ (.A(\u_async_wb.u_cmd_if.mem[0][57] ),
-    .Y(_1465_),
+ sky130_fd_sc_hd__inv_2 _3570_ (.A(\u_async_wb.u_cmd_if.mem[1][58] ),
+    .Y(_1434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3637_ (.A1_N(_1465_),
-    .A2_N(_1464_),
-    .B1(wbm_adr_i[20]),
-    .B2(_1464_),
+ sky130_fd_sc_hd__buf_2 _3571_ (.A(_1428_),
+    .X(_1435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3572_ (.A1_N(_1434_),
+    .A2_N(_1432_),
+    .B1(wbm_adr_i[21]),
+    .B2(_1435_),
     .X(_0322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3638_ (.A(\u_async_wb.u_cmd_if.mem[0][56] ),
-    .Y(_1466_),
+ sky130_fd_sc_hd__inv_2 _3573_ (.A(\u_async_wb.u_cmd_if.mem[1][57] ),
+    .Y(_1436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3639_ (.A(_1463_),
-    .X(_1467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3640_ (.A1_N(_1466_),
-    .A2_N(_1467_),
-    .B1(wbm_adr_i[19]),
-    .B2(_1467_),
+ sky130_fd_sc_hd__a2bb2o_4 _3574_ (.A1_N(_1436_),
+    .A2_N(_1435_),
+    .B1(wbm_adr_i[20]),
+    .B2(_1435_),
     .X(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3641_ (.A(\u_async_wb.u_cmd_if.mem[0][55] ),
-    .Y(_1468_),
+ sky130_fd_sc_hd__inv_2 _3575_ (.A(\u_async_wb.u_cmd_if.mem[1][56] ),
+    .Y(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3642_ (.A1_N(_1468_),
-    .A2_N(_1467_),
-    .B1(wbm_adr_i[18]),
-    .B2(_1467_),
+ sky130_fd_sc_hd__buf_2 _3576_ (.A(_1428_),
+    .X(_1438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3577_ (.A1_N(_1437_),
+    .A2_N(_1435_),
+    .B1(wbm_adr_i[19]),
+    .B2(_1438_),
     .X(_0320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3643_ (.A(\u_async_wb.u_cmd_if.mem[0][54] ),
-    .Y(_1469_),
+ sky130_fd_sc_hd__inv_2 _3578_ (.A(\u_async_wb.u_cmd_if.mem[1][55] ),
+    .Y(_1439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3644_ (.A(_1463_),
-    .X(_1470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3645_ (.A1_N(_1469_),
-    .A2_N(_1470_),
-    .B1(wbm_adr_i[17]),
-    .B2(_1470_),
+ sky130_fd_sc_hd__a2bb2o_4 _3579_ (.A1_N(_1439_),
+    .A2_N(_1438_),
+    .B1(wbm_adr_i[18]),
+    .B2(_1438_),
     .X(_0319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3646_ (.A(\u_async_wb.u_cmd_if.mem[0][53] ),
-    .Y(_1471_),
+ sky130_fd_sc_hd__inv_2 _3580_ (.A(\u_async_wb.u_cmd_if.mem[1][54] ),
+    .Y(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3647_ (.A1_N(_1471_),
-    .A2_N(_1470_),
-    .B1(wbm_adr_i[16]),
-    .B2(_1470_),
+ sky130_fd_sc_hd__buf_2 _3581_ (.A(_0675_),
+    .X(_1441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3582_ (.A(_1441_),
+    .X(_1442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3583_ (.A(_1442_),
+    .X(_1443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3584_ (.A1_N(_1440_),
+    .A2_N(_1438_),
+    .B1(wbm_adr_i[17]),
+    .B2(_1443_),
     .X(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3648_ (.A(\u_async_wb.u_cmd_if.mem[0][52] ),
-    .Y(_1472_),
+ sky130_fd_sc_hd__inv_2 _3585_ (.A(\u_async_wb.u_cmd_if.mem[1][53] ),
+    .Y(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3649_ (.A(_1463_),
-    .X(_1473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3650_ (.A1_N(_1472_),
-    .A2_N(_1473_),
-    .B1(wbm_adr_i[15]),
-    .B2(_1473_),
+ sky130_fd_sc_hd__a2bb2o_4 _3586_ (.A1_N(_1444_),
+    .A2_N(_1443_),
+    .B1(wbm_adr_i[16]),
+    .B2(_1443_),
     .X(_0317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3651_ (.A(\u_async_wb.u_cmd_if.mem[0][51] ),
-    .Y(_1474_),
+ sky130_fd_sc_hd__inv_2 _3587_ (.A(\u_async_wb.u_cmd_if.mem[1][52] ),
+    .Y(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3652_ (.A1_N(_1474_),
-    .A2_N(_1473_),
-    .B1(wbm_adr_i[14]),
-    .B2(_1473_),
+ sky130_fd_sc_hd__buf_2 _3588_ (.A(_1442_),
+    .X(_1446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3589_ (.A1_N(_1445_),
+    .A2_N(_1443_),
+    .B1(wbm_adr_i[15]),
+    .B2(_1446_),
     .X(_0316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3653_ (.A(\u_async_wb.u_cmd_if.mem[0][50] ),
-    .Y(_1475_),
+ sky130_fd_sc_hd__inv_2 _3590_ (.A(\u_async_wb.u_cmd_if.mem[1][51] ),
+    .Y(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3654_ (.A(_1449_),
-    .X(_1476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3655_ (.A(_1476_),
-    .X(_1477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3656_ (.A1_N(_1475_),
-    .A2_N(_1477_),
-    .B1(wbm_adr_i[13]),
-    .B2(_1477_),
+ sky130_fd_sc_hd__a2bb2o_4 _3591_ (.A1_N(_1447_),
+    .A2_N(_1446_),
+    .B1(wbm_adr_i[14]),
+    .B2(_1446_),
     .X(_0315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3657_ (.A(\u_async_wb.u_cmd_if.mem[0][49] ),
-    .Y(_1478_),
+ sky130_fd_sc_hd__inv_2 _3592_ (.A(\u_async_wb.u_cmd_if.mem[1][50] ),
+    .Y(_1448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3658_ (.A1_N(_1478_),
-    .A2_N(_1477_),
-    .B1(wbm_adr_i[12]),
-    .B2(_1477_),
+ sky130_fd_sc_hd__buf_2 _3593_ (.A(_1442_),
+    .X(_1449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3594_ (.A1_N(_1448_),
+    .A2_N(_1446_),
+    .B1(wbm_adr_i[13]),
+    .B2(_1449_),
     .X(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3659_ (.A(\u_async_wb.u_cmd_if.mem[0][48] ),
-    .Y(_1479_),
+ sky130_fd_sc_hd__inv_2 _3595_ (.A(\u_async_wb.u_cmd_if.mem[1][49] ),
+    .Y(_1450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3660_ (.A(_1476_),
-    .X(_1480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3661_ (.A1_N(_1479_),
-    .A2_N(_1480_),
-    .B1(wbm_adr_i[11]),
-    .B2(_1480_),
+ sky130_fd_sc_hd__a2bb2o_4 _3596_ (.A1_N(_1450_),
+    .A2_N(_1449_),
+    .B1(wbm_adr_i[12]),
+    .B2(_1449_),
     .X(_0313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3662_ (.A(\u_async_wb.u_cmd_if.mem[0][47] ),
-    .Y(_1481_),
+ sky130_fd_sc_hd__inv_2 _3597_ (.A(\u_async_wb.u_cmd_if.mem[1][48] ),
+    .Y(_1451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3663_ (.A1_N(_1481_),
-    .A2_N(_1480_),
-    .B1(wbm_adr_i[10]),
-    .B2(_1480_),
+ sky130_fd_sc_hd__buf_2 _3598_ (.A(_1442_),
+    .X(_1452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3599_ (.A1_N(_1451_),
+    .A2_N(_1449_),
+    .B1(wbm_adr_i[11]),
+    .B2(_1452_),
     .X(_0312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3664_ (.A(\u_async_wb.u_cmd_if.mem[0][46] ),
-    .Y(_1482_),
+ sky130_fd_sc_hd__inv_2 _3600_ (.A(\u_async_wb.u_cmd_if.mem[1][47] ),
+    .Y(_1453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3665_ (.A(_1476_),
-    .X(_1483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3666_ (.A1_N(_1482_),
-    .A2_N(_1483_),
-    .B1(wbm_adr_i[9]),
-    .B2(_1483_),
+ sky130_fd_sc_hd__a2bb2o_4 _3601_ (.A1_N(_1453_),
+    .A2_N(_1452_),
+    .B1(wbm_adr_i[10]),
+    .B2(_1452_),
     .X(_0311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3667_ (.A(\u_async_wb.u_cmd_if.mem[0][45] ),
-    .Y(_1484_),
+ sky130_fd_sc_hd__inv_2 _3602_ (.A(\u_async_wb.u_cmd_if.mem[1][46] ),
+    .Y(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3668_ (.A1_N(_1484_),
-    .A2_N(_1483_),
-    .B1(wbm_adr_i[8]),
-    .B2(_1483_),
+ sky130_fd_sc_hd__buf_2 _3603_ (.A(_1441_),
+    .X(_1455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3604_ (.A(_1455_),
+    .X(_1456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3605_ (.A1_N(_1454_),
+    .A2_N(_1452_),
+    .B1(wbm_adr_i[9]),
+    .B2(_1456_),
     .X(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3669_ (.A(\u_async_wb.u_cmd_if.mem[0][44] ),
-    .Y(_1485_),
+ sky130_fd_sc_hd__inv_2 _3606_ (.A(\u_async_wb.u_cmd_if.mem[1][45] ),
+    .Y(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3670_ (.A(_1476_),
-    .X(_1486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3671_ (.A1_N(_1485_),
-    .A2_N(_1486_),
-    .B1(wbm_adr_i[7]),
-    .B2(_1486_),
+ sky130_fd_sc_hd__a2bb2o_4 _3607_ (.A1_N(_1457_),
+    .A2_N(_1456_),
+    .B1(wbm_adr_i[8]),
+    .B2(_1456_),
     .X(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3672_ (.A(\u_async_wb.u_cmd_if.mem[0][43] ),
-    .Y(_1487_),
+ sky130_fd_sc_hd__inv_2 _3608_ (.A(\u_async_wb.u_cmd_if.mem[1][44] ),
+    .Y(_1458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3673_ (.A1_N(_1487_),
-    .A2_N(_1486_),
-    .B1(wbm_adr_i[6]),
-    .B2(_1486_),
+ sky130_fd_sc_hd__buf_2 _3609_ (.A(_1455_),
+    .X(_1459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3610_ (.A1_N(_1458_),
+    .A2_N(_1456_),
+    .B1(wbm_adr_i[7]),
+    .B2(_1459_),
     .X(_0308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3674_ (.A(\u_async_wb.u_cmd_if.mem[0][42] ),
-    .Y(_1488_),
+ sky130_fd_sc_hd__inv_2 _3611_ (.A(\u_async_wb.u_cmd_if.mem[1][43] ),
+    .Y(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3675_ (.A(_1444_),
-    .X(_1489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3676_ (.A(_1489_),
-    .X(_1490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3677_ (.A(_1490_),
-    .X(_1491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3678_ (.A1_N(_1488_),
-    .A2_N(_1491_),
-    .B1(wbm_adr_i[5]),
-    .B2(_1491_),
+ sky130_fd_sc_hd__a2bb2o_4 _3612_ (.A1_N(_1460_),
+    .A2_N(_1459_),
+    .B1(wbm_adr_i[6]),
+    .B2(_1459_),
     .X(_0307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3679_ (.A(\u_async_wb.u_cmd_if.mem[0][41] ),
-    .Y(_1492_),
+ sky130_fd_sc_hd__inv_2 _3613_ (.A(\u_async_wb.u_cmd_if.mem[1][42] ),
+    .Y(_1461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3680_ (.A1_N(_1492_),
-    .A2_N(_1491_),
-    .B1(wbm_adr_i[4]),
-    .B2(_1491_),
+ sky130_fd_sc_hd__buf_2 _3614_ (.A(_1455_),
+    .X(_1462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3615_ (.A1_N(_1461_),
+    .A2_N(_1459_),
+    .B1(wbm_adr_i[5]),
+    .B2(_1462_),
     .X(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3681_ (.A(\u_async_wb.u_cmd_if.mem[0][40] ),
-    .Y(_1493_),
+ sky130_fd_sc_hd__inv_2 _3616_ (.A(\u_async_wb.u_cmd_if.mem[1][41] ),
+    .Y(_1463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3682_ (.A(_1490_),
-    .X(_1494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3683_ (.A1_N(_1493_),
-    .A2_N(_1494_),
-    .B1(_1256_),
-    .B2(_1494_),
+ sky130_fd_sc_hd__a2bb2o_4 _3617_ (.A1_N(_1463_),
+    .A2_N(_1462_),
+    .B1(wbm_adr_i[4]),
+    .B2(_1462_),
     .X(_0305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3684_ (.A(\u_async_wb.u_cmd_if.mem[0][39] ),
-    .Y(_1495_),
+ sky130_fd_sc_hd__inv_2 _3618_ (.A(\u_async_wb.u_cmd_if.mem[1][40] ),
+    .Y(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3685_ (.A1_N(_1495_),
-    .A2_N(_1494_),
-    .B1(_1258_),
-    .B2(_1494_),
+ sky130_fd_sc_hd__buf_2 _3619_ (.A(_1455_),
+    .X(_1465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3620_ (.A1_N(_1464_),
+    .A2_N(_1462_),
+    .B1(_1236_),
+    .B2(_1465_),
     .X(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3686_ (.A(\u_async_wb.u_cmd_if.mem[0][38] ),
-    .Y(_1496_),
+ sky130_fd_sc_hd__inv_2 _3621_ (.A(\u_async_wb.u_cmd_if.mem[1][39] ),
+    .Y(_1466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3687_ (.A(_1490_),
-    .X(_1497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3688_ (.A1_N(_1496_),
-    .A2_N(_1497_),
-    .B1(wbm_adr_i[1]),
-    .B2(_1497_),
+ sky130_fd_sc_hd__a2bb2o_4 _3622_ (.A1_N(_1466_),
+    .A2_N(_1465_),
+    .B1(_1239_),
+    .B2(_1465_),
     .X(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3689_ (.A(\u_async_wb.u_cmd_if.mem[0][37] ),
-    .Y(_1498_),
+ sky130_fd_sc_hd__inv_2 _3623_ (.A(\u_async_wb.u_cmd_if.mem[1][38] ),
+    .Y(_1467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3690_ (.A1_N(_1498_),
-    .A2_N(_1497_),
-    .B1(wbm_adr_i[0]),
-    .B2(_1497_),
+ sky130_fd_sc_hd__buf_2 _3624_ (.A(_1441_),
+    .X(_1468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3625_ (.A(_1468_),
+    .X(_1469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3626_ (.A1_N(_1467_),
+    .A2_N(_1465_),
+    .B1(wbm_adr_i[1]),
+    .B2(_1469_),
     .X(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3691_ (.A(\u_async_wb.u_cmd_if.mem[0][36] ),
-    .Y(_1499_),
+ sky130_fd_sc_hd__inv_2 _3627_ (.A(\u_async_wb.u_cmd_if.mem[1][37] ),
+    .Y(_1470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3692_ (.A(_1490_),
-    .X(_1500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3693_ (.A1_N(_1499_),
-    .A2_N(_1500_),
-    .B1(_1264_),
-    .B2(_1500_),
+ sky130_fd_sc_hd__a2bb2o_4 _3628_ (.A1_N(_1470_),
+    .A2_N(_1469_),
+    .B1(wbm_adr_i[0]),
+    .B2(_1469_),
     .X(_0301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3694_ (.A(\u_async_wb.u_cmd_if.mem[0][35] ),
-    .Y(_1501_),
+ sky130_fd_sc_hd__inv_2 _3629_ (.A(\u_async_wb.u_cmd_if.mem[1][36] ),
+    .Y(_1471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3695_ (.A1_N(_1501_),
-    .A2_N(_1500_),
-    .B1(wbm_dat_i[31]),
-    .B2(_1500_),
+ sky130_fd_sc_hd__buf_2 _3630_ (.A(_1468_),
+    .X(_1472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3631_ (.A1_N(_1471_),
+    .A2_N(_1469_),
+    .B1(_0773_),
+    .B2(_1472_),
     .X(_0300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3696_ (.A(\u_async_wb.u_cmd_if.mem[0][34] ),
-    .Y(_1502_),
+ sky130_fd_sc_hd__inv_2 _3632_ (.A(\u_async_wb.u_cmd_if.mem[1][35] ),
+    .Y(_1473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3697_ (.A(_1489_),
-    .X(_1503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3698_ (.A(_1503_),
-    .X(_1504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3699_ (.A1_N(_1502_),
-    .A2_N(_1504_),
-    .B1(wbm_dat_i[30]),
-    .B2(_1504_),
+ sky130_fd_sc_hd__a2bb2o_4 _3633_ (.A1_N(_1473_),
+    .A2_N(_1472_),
+    .B1(wbm_dat_i[31]),
+    .B2(_1472_),
     .X(_0299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3700_ (.A(\u_async_wb.u_cmd_if.mem[0][33] ),
-    .Y(_1505_),
+ sky130_fd_sc_hd__inv_2 _3634_ (.A(\u_async_wb.u_cmd_if.mem[1][34] ),
+    .Y(_1474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3701_ (.A1_N(_1505_),
-    .A2_N(_1504_),
-    .B1(wbm_dat_i[29]),
-    .B2(_1504_),
+ sky130_fd_sc_hd__buf_2 _3635_ (.A(_1468_),
+    .X(_1475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3636_ (.A1_N(_1474_),
+    .A2_N(_1472_),
+    .B1(wbm_dat_i[30]),
+    .B2(_1475_),
     .X(_0298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3702_ (.A(\u_async_wb.u_cmd_if.mem[0][32] ),
-    .Y(_1506_),
+ sky130_fd_sc_hd__inv_2 _3637_ (.A(\u_async_wb.u_cmd_if.mem[1][33] ),
+    .Y(_1476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3703_ (.A(_1503_),
-    .X(_1507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3704_ (.A1_N(_1506_),
-    .A2_N(_1507_),
-    .B1(wbm_dat_i[28]),
-    .B2(_1507_),
+ sky130_fd_sc_hd__a2bb2o_4 _3638_ (.A1_N(_1476_),
+    .A2_N(_1475_),
+    .B1(wbm_dat_i[29]),
+    .B2(_1475_),
     .X(_0297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3705_ (.A(\u_async_wb.u_cmd_if.mem[0][31] ),
-    .Y(_1508_),
+ sky130_fd_sc_hd__inv_2 _3639_ (.A(\u_async_wb.u_cmd_if.mem[1][32] ),
+    .Y(_1477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3706_ (.A1_N(_1508_),
-    .A2_N(_1507_),
-    .B1(wbm_dat_i[27]),
-    .B2(_1507_),
+ sky130_fd_sc_hd__buf_2 _3640_ (.A(_1468_),
+    .X(_1478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3641_ (.A1_N(_1477_),
+    .A2_N(_1475_),
+    .B1(wbm_dat_i[28]),
+    .B2(_1478_),
     .X(_0296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3707_ (.A(\u_async_wb.u_cmd_if.mem[0][30] ),
-    .Y(_1509_),
+ sky130_fd_sc_hd__inv_2 _3642_ (.A(\u_async_wb.u_cmd_if.mem[1][31] ),
+    .Y(_1479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3708_ (.A(_1503_),
-    .X(_1510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3709_ (.A1_N(_1509_),
-    .A2_N(_1510_),
-    .B1(wbm_dat_i[26]),
-    .B2(_1510_),
+ sky130_fd_sc_hd__a2bb2o_4 _3643_ (.A1_N(_1479_),
+    .A2_N(_1478_),
+    .B1(wbm_dat_i[27]),
+    .B2(_1478_),
     .X(_0295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3710_ (.A(\u_async_wb.u_cmd_if.mem[0][29] ),
-    .Y(_1511_),
+ sky130_fd_sc_hd__inv_2 _3644_ (.A(\u_async_wb.u_cmd_if.mem[1][30] ),
+    .Y(_1480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3711_ (.A1_N(_1511_),
-    .A2_N(_1510_),
-    .B1(wbm_dat_i[25]),
-    .B2(_1510_),
+ sky130_fd_sc_hd__buf_2 _3645_ (.A(_1441_),
+    .X(_1481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3646_ (.A(_1481_),
+    .X(_1482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3647_ (.A1_N(_1480_),
+    .A2_N(_1478_),
+    .B1(wbm_dat_i[26]),
+    .B2(_1482_),
     .X(_0294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3712_ (.A(\u_async_wb.u_cmd_if.mem[0][28] ),
-    .Y(_1512_),
+ sky130_fd_sc_hd__inv_2 _3648_ (.A(\u_async_wb.u_cmd_if.mem[1][29] ),
+    .Y(_1483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3713_ (.A(_1503_),
-    .X(_1513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3714_ (.A1_N(_1512_),
-    .A2_N(_1513_),
-    .B1(wbm_dat_i[24]),
-    .B2(_1513_),
+ sky130_fd_sc_hd__a2bb2o_4 _3649_ (.A1_N(_1483_),
+    .A2_N(_1482_),
+    .B1(wbm_dat_i[25]),
+    .B2(_1482_),
     .X(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3715_ (.A(\u_async_wb.u_cmd_if.mem[0][27] ),
-    .Y(_1514_),
+ sky130_fd_sc_hd__inv_2 _3650_ (.A(\u_async_wb.u_cmd_if.mem[1][28] ),
+    .Y(_1484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3716_ (.A1_N(_1514_),
-    .A2_N(_1513_),
-    .B1(wbm_dat_i[23]),
-    .B2(_1513_),
+ sky130_fd_sc_hd__buf_2 _3651_ (.A(_1481_),
+    .X(_1485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3652_ (.A1_N(_1484_),
+    .A2_N(_1482_),
+    .B1(wbm_dat_i[24]),
+    .B2(_1485_),
     .X(_0292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3717_ (.A(\u_async_wb.u_cmd_if.mem[0][26] ),
-    .Y(_1515_),
+ sky130_fd_sc_hd__inv_2 _3653_ (.A(\u_async_wb.u_cmd_if.mem[1][27] ),
+    .Y(_1486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3718_ (.A(_1489_),
-    .X(_1516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3719_ (.A(_1516_),
-    .X(_1517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3720_ (.A1_N(_1515_),
-    .A2_N(_1517_),
-    .B1(wbm_dat_i[22]),
-    .B2(_1517_),
+ sky130_fd_sc_hd__a2bb2o_4 _3654_ (.A1_N(_1486_),
+    .A2_N(_1485_),
+    .B1(wbm_dat_i[23]),
+    .B2(_1485_),
     .X(_0291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3721_ (.A(\u_async_wb.u_cmd_if.mem[0][25] ),
-    .Y(_1518_),
+ sky130_fd_sc_hd__inv_2 _3655_ (.A(\u_async_wb.u_cmd_if.mem[1][26] ),
+    .Y(_1487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3722_ (.A1_N(_1518_),
-    .A2_N(_1517_),
-    .B1(wbm_dat_i[21]),
-    .B2(_1517_),
+ sky130_fd_sc_hd__buf_2 _3656_ (.A(_1481_),
+    .X(_1488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3657_ (.A1_N(_1487_),
+    .A2_N(_1485_),
+    .B1(wbm_dat_i[22]),
+    .B2(_1488_),
     .X(_0290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3723_ (.A(\u_async_wb.u_cmd_if.mem[0][24] ),
-    .Y(_1519_),
+ sky130_fd_sc_hd__inv_2 _3658_ (.A(\u_async_wb.u_cmd_if.mem[1][25] ),
+    .Y(_1489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3724_ (.A(_1516_),
-    .X(_1520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3725_ (.A1_N(_1519_),
-    .A2_N(_1520_),
-    .B1(wbm_dat_i[20]),
-    .B2(_1520_),
+ sky130_fd_sc_hd__a2bb2o_4 _3659_ (.A1_N(_1489_),
+    .A2_N(_1488_),
+    .B1(wbm_dat_i[21]),
+    .B2(_1488_),
     .X(_0289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3726_ (.A(\u_async_wb.u_cmd_if.mem[0][23] ),
-    .Y(_1521_),
+ sky130_fd_sc_hd__inv_2 _3660_ (.A(\u_async_wb.u_cmd_if.mem[1][24] ),
+    .Y(_1490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3727_ (.A1_N(_1521_),
-    .A2_N(_1520_),
-    .B1(wbm_dat_i[19]),
-    .B2(_1520_),
+ sky130_fd_sc_hd__buf_2 _3661_ (.A(_1481_),
+    .X(_1491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3662_ (.A1_N(_1490_),
+    .A2_N(_1488_),
+    .B1(wbm_dat_i[20]),
+    .B2(_1491_),
     .X(_0288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3728_ (.A(\u_async_wb.u_cmd_if.mem[0][22] ),
-    .Y(_1522_),
+ sky130_fd_sc_hd__inv_2 _3663_ (.A(\u_async_wb.u_cmd_if.mem[1][23] ),
+    .Y(_1492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3729_ (.A(_1516_),
-    .X(_1523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3730_ (.A1_N(_1522_),
-    .A2_N(_1523_),
-    .B1(wbm_dat_i[18]),
-    .B2(_1523_),
+ sky130_fd_sc_hd__a2bb2o_4 _3664_ (.A1_N(_1492_),
+    .A2_N(_1491_),
+    .B1(wbm_dat_i[19]),
+    .B2(_1491_),
     .X(_0287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3731_ (.A(\u_async_wb.u_cmd_if.mem[0][21] ),
-    .Y(_1524_),
+ sky130_fd_sc_hd__inv_2 _3665_ (.A(\u_async_wb.u_cmd_if.mem[1][22] ),
+    .Y(_1493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3732_ (.A1_N(_1524_),
-    .A2_N(_1523_),
-    .B1(wbm_dat_i[17]),
-    .B2(_1523_),
+ sky130_fd_sc_hd__buf_2 _3666_ (.A(_0675_),
+    .X(_1494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3667_ (.A(_1494_),
+    .X(_1495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3668_ (.A1_N(_1493_),
+    .A2_N(_1491_),
+    .B1(wbm_dat_i[18]),
+    .B2(_1495_),
     .X(_0286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3733_ (.A(\u_async_wb.u_cmd_if.mem[0][20] ),
-    .Y(_1525_),
+ sky130_fd_sc_hd__inv_2 _3669_ (.A(\u_async_wb.u_cmd_if.mem[1][21] ),
+    .Y(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3734_ (.A(_1516_),
-    .X(_1526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3735_ (.A1_N(_1525_),
-    .A2_N(_1526_),
-    .B1(wbm_dat_i[16]),
-    .B2(_1526_),
+ sky130_fd_sc_hd__a2bb2o_4 _3670_ (.A1_N(_1496_),
+    .A2_N(_1495_),
+    .B1(wbm_dat_i[17]),
+    .B2(_1495_),
     .X(_0285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3736_ (.A(\u_async_wb.u_cmd_if.mem[0][19] ),
-    .Y(_1527_),
+ sky130_fd_sc_hd__inv_2 _3671_ (.A(\u_async_wb.u_cmd_if.mem[1][20] ),
+    .Y(_1497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3737_ (.A1_N(_1527_),
-    .A2_N(_1526_),
-    .B1(wbm_dat_i[15]),
-    .B2(_1526_),
+ sky130_fd_sc_hd__buf_2 _3672_ (.A(_1494_),
+    .X(_1498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3673_ (.A1_N(_1497_),
+    .A2_N(_1495_),
+    .B1(wbm_dat_i[16]),
+    .B2(_1498_),
     .X(_0284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3738_ (.A(\u_async_wb.u_cmd_if.mem[0][18] ),
-    .Y(_1528_),
+ sky130_fd_sc_hd__inv_2 _3674_ (.A(\u_async_wb.u_cmd_if.mem[1][19] ),
+    .Y(_1499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3739_ (.A(_1489_),
-    .X(_1529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3740_ (.A(_1529_),
-    .X(_1530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3741_ (.A1_N(_1528_),
-    .A2_N(_1530_),
-    .B1(wbm_dat_i[14]),
-    .B2(_1530_),
+ sky130_fd_sc_hd__a2bb2o_4 _3675_ (.A1_N(_1499_),
+    .A2_N(_1498_),
+    .B1(wbm_dat_i[15]),
+    .B2(_1498_),
     .X(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3742_ (.A(\u_async_wb.u_cmd_if.mem[0][17] ),
-    .Y(_1531_),
+ sky130_fd_sc_hd__inv_2 _3676_ (.A(\u_async_wb.u_cmd_if.mem[1][18] ),
+    .Y(_1500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3743_ (.A1_N(_1531_),
-    .A2_N(_1530_),
-    .B1(wbm_dat_i[13]),
-    .B2(_1530_),
+ sky130_fd_sc_hd__buf_2 _3677_ (.A(_1494_),
+    .X(_1501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3678_ (.A1_N(_1500_),
+    .A2_N(_1498_),
+    .B1(wbm_dat_i[14]),
+    .B2(_1501_),
     .X(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3744_ (.A(\u_async_wb.u_cmd_if.mem[0][16] ),
-    .Y(_1532_),
+ sky130_fd_sc_hd__inv_2 _3679_ (.A(\u_async_wb.u_cmd_if.mem[1][17] ),
+    .Y(_1502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3745_ (.A(_1529_),
-    .X(_1533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3746_ (.A1_N(_1532_),
-    .A2_N(_1533_),
-    .B1(wbm_dat_i[12]),
-    .B2(_1533_),
+ sky130_fd_sc_hd__a2bb2o_4 _3680_ (.A1_N(_1502_),
+    .A2_N(_1501_),
+    .B1(wbm_dat_i[13]),
+    .B2(_1501_),
     .X(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3747_ (.A(\u_async_wb.u_cmd_if.mem[0][15] ),
-    .Y(_1534_),
+ sky130_fd_sc_hd__inv_2 _3681_ (.A(\u_async_wb.u_cmd_if.mem[1][16] ),
+    .Y(_1503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3748_ (.A1_N(_1534_),
-    .A2_N(_1533_),
-    .B1(wbm_dat_i[11]),
-    .B2(_1533_),
+ sky130_fd_sc_hd__buf_2 _3682_ (.A(_1494_),
+    .X(_1504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3683_ (.A1_N(_1503_),
+    .A2_N(_1501_),
+    .B1(wbm_dat_i[12]),
+    .B2(_1504_),
     .X(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3749_ (.A(\u_async_wb.u_cmd_if.mem[0][14] ),
-    .Y(_1535_),
+ sky130_fd_sc_hd__inv_2 _3684_ (.A(\u_async_wb.u_cmd_if.mem[1][15] ),
+    .Y(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3750_ (.A(_1529_),
-    .X(_1536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3751_ (.A1_N(_1535_),
-    .A2_N(_1536_),
-    .B1(wbm_dat_i[10]),
-    .B2(_1536_),
+ sky130_fd_sc_hd__a2bb2o_4 _3685_ (.A1_N(_1505_),
+    .A2_N(_1504_),
+    .B1(wbm_dat_i[11]),
+    .B2(_1504_),
     .X(_0279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3752_ (.A(\u_async_wb.u_cmd_if.mem[0][13] ),
-    .Y(_1537_),
+ sky130_fd_sc_hd__inv_2 _3686_ (.A(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .Y(_1506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3753_ (.A1_N(_1537_),
-    .A2_N(_1536_),
-    .B1(wbm_dat_i[9]),
-    .B2(_1536_),
+ sky130_fd_sc_hd__buf_2 _3687_ (.A(_0675_),
+    .X(_1507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3688_ (.A(_1507_),
+    .X(_1508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3689_ (.A1_N(_1506_),
+    .A2_N(_1504_),
+    .B1(wbm_dat_i[10]),
+    .B2(_1508_),
     .X(_0278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3754_ (.A(\u_async_wb.u_cmd_if.mem[0][12] ),
-    .Y(_1538_),
+ sky130_fd_sc_hd__inv_2 _3690_ (.A(\u_async_wb.u_cmd_if.mem[1][13] ),
+    .Y(_1509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3755_ (.A(_1529_),
-    .X(_1539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3756_ (.A1_N(_1538_),
-    .A2_N(_1539_),
-    .B1(wbm_dat_i[8]),
-    .B2(_1539_),
+ sky130_fd_sc_hd__a2bb2o_4 _3691_ (.A1_N(_1509_),
+    .A2_N(_1508_),
+    .B1(wbm_dat_i[9]),
+    .B2(_1508_),
     .X(_0277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3757_ (.A(\u_async_wb.u_cmd_if.mem[0][11] ),
-    .Y(_1540_),
+ sky130_fd_sc_hd__inv_2 _3692_ (.A(\u_async_wb.u_cmd_if.mem[1][12] ),
+    .Y(_1510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3758_ (.A1_N(_1540_),
-    .A2_N(_1539_),
-    .B1(_1305_),
-    .B2(_1539_),
+ sky130_fd_sc_hd__buf_2 _3693_ (.A(_1507_),
+    .X(_1511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3694_ (.A1_N(_1510_),
+    .A2_N(_1508_),
+    .B1(wbm_dat_i[8]),
+    .B2(_1511_),
     .X(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3759_ (.A(\u_async_wb.u_cmd_if.mem[0][10] ),
-    .Y(_1541_),
+ sky130_fd_sc_hd__inv_2 _3695_ (.A(\u_async_wb.u_cmd_if.mem[1][11] ),
+    .Y(_1512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3760_ (.A(_1444_),
-    .X(_1542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3761_ (.A(_1542_),
-    .X(_1543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3762_ (.A1_N(_1541_),
-    .A2_N(_1543_),
-    .B1(_1309_),
-    .B2(_1543_),
+ sky130_fd_sc_hd__a2bb2o_4 _3696_ (.A1_N(_1512_),
+    .A2_N(_1511_),
+    .B1(_1286_),
+    .B2(_1511_),
     .X(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3763_ (.A(\u_async_wb.u_cmd_if.mem[0][9] ),
-    .Y(_1544_),
+ sky130_fd_sc_hd__inv_2 _3697_ (.A(\u_async_wb.u_cmd_if.mem[1][10] ),
+    .Y(_1513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3764_ (.A1_N(_1544_),
-    .A2_N(_1543_),
-    .B1(_1311_),
-    .B2(_1543_),
+ sky130_fd_sc_hd__buf_2 _3698_ (.A(_1507_),
+    .X(_1514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3699_ (.A1_N(_1513_),
+    .A2_N(_1511_),
+    .B1(_1288_),
+    .B2(_1514_),
     .X(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3765_ (.A(\u_async_wb.u_cmd_if.mem[0][8] ),
-    .Y(_1545_),
+ sky130_fd_sc_hd__inv_2 _3700_ (.A(\u_async_wb.u_cmd_if.mem[1][9] ),
+    .Y(_1515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3766_ (.A(_1542_),
-    .X(_1546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3767_ (.A1_N(_1545_),
-    .A2_N(_1546_),
-    .B1(_1314_),
-    .B2(_1546_),
+ sky130_fd_sc_hd__a2bb2o_4 _3701_ (.A1_N(_1515_),
+    .A2_N(_1514_),
+    .B1(_1291_),
+    .B2(_1514_),
     .X(_0273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3768_ (.A(\u_async_wb.u_cmd_if.mem[0][7] ),
-    .Y(_1547_),
+ sky130_fd_sc_hd__inv_2 _3702_ (.A(\u_async_wb.u_cmd_if.mem[1][8] ),
+    .Y(_1516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3769_ (.A1_N(_1547_),
-    .A2_N(_1546_),
-    .B1(_1316_),
-    .B2(_1546_),
+ sky130_fd_sc_hd__buf_2 _3703_ (.A(_1507_),
+    .X(_1517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3704_ (.A1_N(_1516_),
+    .A2_N(_1514_),
+    .B1(_1293_),
+    .B2(_1517_),
     .X(_0272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3770_ (.A(\u_async_wb.u_cmd_if.mem[0][6] ),
-    .Y(_1548_),
+ sky130_fd_sc_hd__inv_2 _3705_ (.A(\u_async_wb.u_cmd_if.mem[1][7] ),
+    .Y(_1518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3771_ (.A(_1542_),
-    .X(_1549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3772_ (.A1_N(_1548_),
-    .A2_N(_1549_),
-    .B1(_1319_),
-    .B2(_1549_),
+ sky130_fd_sc_hd__a2bb2o_4 _3706_ (.A1_N(_1518_),
+    .A2_N(_1517_),
+    .B1(_1296_),
+    .B2(_1517_),
     .X(_0271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3773_ (.A(\u_async_wb.u_cmd_if.mem[0][5] ),
-    .Y(_1550_),
+ sky130_fd_sc_hd__inv_2 _3707_ (.A(\u_async_wb.u_cmd_if.mem[1][6] ),
+    .Y(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3774_ (.A1_N(_1550_),
-    .A2_N(_1549_),
-    .B1(_1321_),
-    .B2(_1549_),
+ sky130_fd_sc_hd__buf_2 _3708_ (.A(_1427_),
+    .X(_1520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3709_ (.A1_N(_1519_),
+    .A2_N(_1517_),
+    .B1(_1298_),
+    .B2(_1520_),
     .X(_0270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3775_ (.A(\u_async_wb.u_cmd_if.mem[0][4] ),
-    .Y(_1551_),
+ sky130_fd_sc_hd__inv_2 _3710_ (.A(\u_async_wb.u_cmd_if.mem[1][5] ),
+    .Y(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3776_ (.A(_1542_),
-    .X(_1552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3777_ (.A1_N(_1551_),
-    .A2_N(_1552_),
-    .B1(_1324_),
-    .B2(_1552_),
+ sky130_fd_sc_hd__a2bb2o_4 _3711_ (.A1_N(_1521_),
+    .A2_N(_1520_),
+    .B1(_1301_),
+    .B2(_1520_),
     .X(_0269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3778_ (.A(\u_async_wb.u_cmd_if.mem[0][3] ),
-    .Y(_1553_),
+ sky130_fd_sc_hd__inv_2 _3712_ (.A(\u_async_wb.u_cmd_if.mem[1][4] ),
+    .Y(_1522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3779_ (.A1_N(_1553_),
-    .A2_N(_1552_),
-    .B1(wbm_sel_i[3]),
-    .B2(_1552_),
+ sky130_fd_sc_hd__buf_2 _3713_ (.A(_1427_),
+    .X(_1523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3714_ (.A1_N(_1522_),
+    .A2_N(_1520_),
+    .B1(_1303_),
+    .B2(_1523_),
     .X(_0268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3780_ (.A(\u_async_wb.u_cmd_if.mem[0][2] ),
-    .Y(_1554_),
+ sky130_fd_sc_hd__inv_2 _3715_ (.A(\u_async_wb.u_cmd_if.mem[1][3] ),
+    .Y(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3781_ (.A(_1449_),
-    .X(_1555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3782_ (.A1_N(_1554_),
-    .A2_N(_1555_),
-    .B1(wbm_sel_i[2]),
-    .B2(_1555_),
+ sky130_fd_sc_hd__a2bb2o_4 _3716_ (.A1_N(_1524_),
+    .A2_N(_1523_),
+    .B1(wbm_sel_i[3]),
+    .B2(_1523_),
     .X(_0267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3783_ (.A(\u_async_wb.u_cmd_if.mem[0][1] ),
-    .Y(_1556_),
+ sky130_fd_sc_hd__inv_2 _3717_ (.A(\u_async_wb.u_cmd_if.mem[1][2] ),
+    .Y(_1525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3784_ (.A1_N(_1556_),
-    .A2_N(_1555_),
-    .B1(wbm_sel_i[1]),
-    .B2(_1555_),
+ sky130_fd_sc_hd__buf_2 _3718_ (.A(_1427_),
+    .X(_1526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3719_ (.A1_N(_1525_),
+    .A2_N(_1523_),
+    .B1(wbm_sel_i[2]),
+    .B2(_1526_),
     .X(_0266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3785_ (.A(\u_async_wb.u_cmd_if.mem[0][0] ),
-    .Y(_1557_),
+ sky130_fd_sc_hd__inv_2 _3720_ (.A(\u_async_wb.u_cmd_if.mem[1][1] ),
+    .Y(_1527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3786_ (.A1_N(_1557_),
-    .A2_N(_1445_),
-    .B1(wbm_sel_i[0]),
-    .B2(_1445_),
+ sky130_fd_sc_hd__a2bb2o_4 _3721_ (.A1_N(_1527_),
+    .A2_N(_1526_),
+    .B1(wbm_sel_i[1]),
+    .B2(_1526_),
     .X(_0265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3787_ (.A(\u_async_wb.u_cmd_if.mem[3][70] ),
-    .Y(_1558_),
+ sky130_fd_sc_hd__inv_2 _3722_ (.A(\u_async_wb.u_cmd_if.mem[1][0] ),
+    .Y(_1528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3788_ (.A(_0785_),
-    .X(_1559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3789_ (.A(_1559_),
-    .X(_1560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3790_ (.A1_N(_1558_),
-    .A2_N(_1560_),
-    .B1(wbm_cyc_i),
-    .B2(_1560_),
+ sky130_fd_sc_hd__a2bb2o_4 _3723_ (.A1_N(_1528_),
+    .A2_N(_1526_),
+    .B1(wbm_sel_i[0]),
+    .B2(_0676_),
     .X(_0264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _3791_ (.A(\u_async_wb.u_cmd_if.mem[3][69] ),
-    .B(_0786_),
+ sky130_fd_sc_hd__inv_2 _3724_ (.A(\u_async_wb.u_cmd_if.mem[0][68] ),
+    .Y(_1529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _3725_ (.A(_0668_),
+    .B(_0678_),
+    .X(_1530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _3726_ (.A(_1530_),
+    .Y(_1531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3727_ (.A(_1531_),
+    .X(_1532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3728_ (.A(_1532_),
+    .X(_1533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3729_ (.A1_N(_1529_),
+    .A2_N(_1533_),
+    .B1(_1184_),
+    .B2(_1533_),
     .X(_0263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3792_ (.A(\u_async_wb.u_cmd_if.mem[3][68] ),
-    .Y(_1561_),
+ sky130_fd_sc_hd__inv_2 _3730_ (.A(\u_async_wb.u_cmd_if.mem[0][67] ),
+    .Y(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3793_ (.A1_N(_1561_),
-    .A2_N(_1560_),
-    .B1(_1201_),
-    .B2(_1560_),
+ sky130_fd_sc_hd__a2bb2o_4 _3731_ (.A1_N(_1534_),
+    .A2_N(_1533_),
+    .B1(_1186_),
+    .B2(_1533_),
     .X(_0262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3794_ (.A(\u_async_wb.u_cmd_if.mem[3][67] ),
-    .Y(_1562_),
+ sky130_fd_sc_hd__inv_2 _3732_ (.A(\u_async_wb.u_cmd_if.mem[0][66] ),
+    .Y(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3795_ (.A(_1559_),
-    .X(_1563_),
+ sky130_fd_sc_hd__buf_2 _3733_ (.A(_1531_),
+    .X(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3796_ (.A1_N(_1562_),
-    .A2_N(_1563_),
-    .B1(_1206_),
-    .B2(_1563_),
+ sky130_fd_sc_hd__buf_2 _3734_ (.A(_1536_),
+    .X(_1537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3735_ (.A(_1537_),
+    .X(_1538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3736_ (.A1_N(_1535_),
+    .A2_N(_1538_),
+    .B1(_1189_),
+    .B2(_1538_),
     .X(_0261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3797_ (.A(\u_async_wb.u_cmd_if.mem[3][66] ),
-    .Y(_1564_),
+ sky130_fd_sc_hd__inv_2 _3737_ (.A(\u_async_wb.u_cmd_if.mem[0][65] ),
+    .Y(_1539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3798_ (.A1_N(_1564_),
-    .A2_N(_1563_),
-    .B1(_1208_),
-    .B2(_1563_),
+ sky130_fd_sc_hd__a2bb2o_4 _3738_ (.A1_N(_1539_),
+    .A2_N(_1538_),
+    .B1(_1191_),
+    .B2(_1538_),
     .X(_0260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3799_ (.A(\u_async_wb.u_cmd_if.mem[3][65] ),
-    .Y(_1565_),
+ sky130_fd_sc_hd__inv_2 _3739_ (.A(\u_async_wb.u_cmd_if.mem[0][64] ),
+    .Y(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3800_ (.A(_1559_),
-    .X(_1566_),
+ sky130_fd_sc_hd__buf_2 _3740_ (.A(_1537_),
+    .X(_1541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3801_ (.A1_N(_1565_),
-    .A2_N(_1566_),
-    .B1(_1211_),
-    .B2(_1566_),
+ sky130_fd_sc_hd__a2bb2o_4 _3741_ (.A1_N(_1540_),
+    .A2_N(_1541_),
+    .B1(_1194_),
+    .B2(_1541_),
     .X(_0259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3802_ (.A(\u_async_wb.u_cmd_if.mem[3][64] ),
-    .Y(_1567_),
+ sky130_fd_sc_hd__inv_2 _3742_ (.A(\u_async_wb.u_cmd_if.mem[0][63] ),
+    .Y(_1542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3803_ (.A1_N(_1567_),
-    .A2_N(_1566_),
-    .B1(_1213_),
-    .B2(_1566_),
+ sky130_fd_sc_hd__a2bb2o_4 _3743_ (.A1_N(_1542_),
+    .A2_N(_1541_),
+    .B1(_1196_),
+    .B2(_1541_),
     .X(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3804_ (.A(\u_async_wb.u_cmd_if.mem[3][63] ),
-    .Y(_1568_),
+ sky130_fd_sc_hd__inv_2 _3744_ (.A(\u_async_wb.u_cmd_if.mem[0][62] ),
+    .Y(_1543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3805_ (.A(_1559_),
-    .X(_1569_),
+ sky130_fd_sc_hd__buf_2 _3745_ (.A(_1537_),
+    .X(_1544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3806_ (.A1_N(_1568_),
-    .A2_N(_1569_),
-    .B1(_1216_),
-    .B2(_1569_),
+ sky130_fd_sc_hd__a2bb2o_4 _3746_ (.A1_N(_1543_),
+    .A2_N(_1544_),
+    .B1(_1199_),
+    .B2(_1544_),
     .X(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3807_ (.A(\u_async_wb.u_cmd_if.mem[3][62] ),
-    .Y(_1570_),
+ sky130_fd_sc_hd__inv_2 _3747_ (.A(\u_async_wb.u_cmd_if.mem[0][61] ),
+    .Y(_1545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3808_ (.A1_N(_1570_),
-    .A2_N(_1569_),
-    .B1(_1218_),
-    .B2(_1569_),
+ sky130_fd_sc_hd__a2bb2o_4 _3748_ (.A1_N(_1545_),
+    .A2_N(_1544_),
+    .B1(_1201_),
+    .B2(_1544_),
     .X(_0256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3809_ (.A(\u_async_wb.u_cmd_if.mem[3][61] ),
-    .Y(_1571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3810_ (.A(_0784_),
-    .X(_1572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3811_ (.A(_1572_),
-    .X(_1573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3812_ (.A(_1573_),
-    .X(_1574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3813_ (.A(_1574_),
-    .X(_1575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3814_ (.A1_N(_1571_),
-    .A2_N(_1575_),
-    .B1(_1221_),
-    .B2(_1575_),
+ sky130_fd_sc_hd__and2_4 _3749_ (.A(\u_async_wb.u_cmd_if.mem[0][60] ),
+    .B(_1530_),
     .X(_0255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _3815_ (.A(\u_async_wb.u_cmd_if.mem[3][60] ),
-    .B(_0783_),
+ sky130_fd_sc_hd__inv_2 _3750_ (.A(\u_async_wb.u_cmd_if.mem[0][59] ),
+    .Y(_1546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3751_ (.A(_1537_),
+    .X(_1547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3752_ (.A1_N(_1546_),
+    .A2_N(_1547_),
+    .B1(wbm_adr_i[22]),
+    .B2(_1547_),
     .X(_0254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3816_ (.A(\u_async_wb.u_cmd_if.mem[3][59] ),
-    .Y(_1576_),
+ sky130_fd_sc_hd__inv_2 _3753_ (.A(\u_async_wb.u_cmd_if.mem[0][58] ),
+    .Y(_1548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3817_ (.A1_N(_1576_),
-    .A2_N(_1575_),
-    .B1(wbm_adr_i[22]),
-    .B2(_1575_),
+ sky130_fd_sc_hd__a2bb2o_4 _3754_ (.A1_N(_1548_),
+    .A2_N(_1547_),
+    .B1(wbm_adr_i[21]),
+    .B2(_1547_),
     .X(_0253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3818_ (.A(\u_async_wb.u_cmd_if.mem[3][58] ),
-    .Y(_1577_),
+ sky130_fd_sc_hd__inv_2 _3755_ (.A(\u_async_wb.u_cmd_if.mem[0][57] ),
+    .Y(_1549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3819_ (.A(_1574_),
-    .X(_1578_),
+ sky130_fd_sc_hd__buf_2 _3756_ (.A(_1536_),
+    .X(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3820_ (.A1_N(_1577_),
-    .A2_N(_1578_),
-    .B1(wbm_adr_i[21]),
-    .B2(_1578_),
+ sky130_fd_sc_hd__buf_2 _3757_ (.A(_1550_),
+    .X(_1551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3758_ (.A1_N(_1549_),
+    .A2_N(_1551_),
+    .B1(wbm_adr_i[20]),
+    .B2(_1551_),
     .X(_0252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3821_ (.A(\u_async_wb.u_cmd_if.mem[3][57] ),
-    .Y(_1579_),
+ sky130_fd_sc_hd__inv_2 _3759_ (.A(\u_async_wb.u_cmd_if.mem[0][56] ),
+    .Y(_1552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3822_ (.A1_N(_1579_),
-    .A2_N(_1578_),
-    .B1(wbm_adr_i[20]),
-    .B2(_1578_),
+ sky130_fd_sc_hd__a2bb2o_4 _3760_ (.A1_N(_1552_),
+    .A2_N(_1551_),
+    .B1(wbm_adr_i[19]),
+    .B2(_1551_),
     .X(_0251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3823_ (.A(\u_async_wb.u_cmd_if.mem[3][56] ),
-    .Y(_1580_),
+ sky130_fd_sc_hd__inv_2 _3761_ (.A(\u_async_wb.u_cmd_if.mem[0][55] ),
+    .Y(_1553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3824_ (.A(_1574_),
-    .X(_1581_),
+ sky130_fd_sc_hd__buf_2 _3762_ (.A(_1550_),
+    .X(_1554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3825_ (.A1_N(_1580_),
-    .A2_N(_1581_),
-    .B1(wbm_adr_i[19]),
-    .B2(_1581_),
+ sky130_fd_sc_hd__a2bb2o_4 _3763_ (.A1_N(_1553_),
+    .A2_N(_1554_),
+    .B1(wbm_adr_i[18]),
+    .B2(_1554_),
     .X(_0250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3826_ (.A(\u_async_wb.u_cmd_if.mem[3][55] ),
-    .Y(_1582_),
+ sky130_fd_sc_hd__inv_2 _3764_ (.A(\u_async_wb.u_cmd_if.mem[0][54] ),
+    .Y(_1555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3827_ (.A1_N(_1582_),
-    .A2_N(_1581_),
-    .B1(wbm_adr_i[18]),
-    .B2(_1581_),
+ sky130_fd_sc_hd__a2bb2o_4 _3765_ (.A1_N(_1555_),
+    .A2_N(_1554_),
+    .B1(wbm_adr_i[17]),
+    .B2(_1554_),
     .X(_0249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3828_ (.A(\u_async_wb.u_cmd_if.mem[3][54] ),
-    .Y(_1583_),
+ sky130_fd_sc_hd__inv_2 _3766_ (.A(\u_async_wb.u_cmd_if.mem[0][53] ),
+    .Y(_1556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3829_ (.A(_1574_),
-    .X(_1584_),
+ sky130_fd_sc_hd__buf_2 _3767_ (.A(_1550_),
+    .X(_1557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3830_ (.A1_N(_1583_),
-    .A2_N(_1584_),
-    .B1(wbm_adr_i[17]),
-    .B2(_1584_),
+ sky130_fd_sc_hd__a2bb2o_4 _3768_ (.A1_N(_1556_),
+    .A2_N(_1557_),
+    .B1(wbm_adr_i[16]),
+    .B2(_1557_),
     .X(_0248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3831_ (.A(\u_async_wb.u_cmd_if.mem[3][53] ),
-    .Y(_1585_),
+ sky130_fd_sc_hd__inv_2 _3769_ (.A(\u_async_wb.u_cmd_if.mem[0][52] ),
+    .Y(_1558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3832_ (.A1_N(_1585_),
-    .A2_N(_1584_),
-    .B1(wbm_adr_i[16]),
-    .B2(_1584_),
+ sky130_fd_sc_hd__a2bb2o_4 _3770_ (.A1_N(_1558_),
+    .A2_N(_1557_),
+    .B1(wbm_adr_i[15]),
+    .B2(_1557_),
     .X(_0247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3833_ (.A(\u_async_wb.u_cmd_if.mem[3][52] ),
-    .Y(_1586_),
+ sky130_fd_sc_hd__inv_2 _3771_ (.A(\u_async_wb.u_cmd_if.mem[0][51] ),
+    .Y(_1559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3834_ (.A(_1573_),
-    .X(_1587_),
+ sky130_fd_sc_hd__buf_2 _3772_ (.A(_1550_),
+    .X(_1560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3835_ (.A(_1587_),
-    .X(_1588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3836_ (.A1_N(_1586_),
-    .A2_N(_1588_),
-    .B1(wbm_adr_i[15]),
-    .B2(_1588_),
+ sky130_fd_sc_hd__a2bb2o_4 _3773_ (.A1_N(_1559_),
+    .A2_N(_1560_),
+    .B1(wbm_adr_i[14]),
+    .B2(_1560_),
     .X(_0246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3837_ (.A(\u_async_wb.u_cmd_if.mem[3][51] ),
-    .Y(_1589_),
+ sky130_fd_sc_hd__inv_2 _3774_ (.A(\u_async_wb.u_cmd_if.mem[0][50] ),
+    .Y(_1561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3838_ (.A1_N(_1589_),
-    .A2_N(_1588_),
-    .B1(wbm_adr_i[14]),
-    .B2(_1588_),
+ sky130_fd_sc_hd__a2bb2o_4 _3775_ (.A1_N(_1561_),
+    .A2_N(_1560_),
+    .B1(wbm_adr_i[13]),
+    .B2(_1560_),
     .X(_0245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3839_ (.A(\u_async_wb.u_cmd_if.mem[3][50] ),
-    .Y(_1590_),
+ sky130_fd_sc_hd__inv_2 _3776_ (.A(\u_async_wb.u_cmd_if.mem[0][49] ),
+    .Y(_1562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3840_ (.A(_1587_),
-    .X(_1591_),
+ sky130_fd_sc_hd__buf_2 _3777_ (.A(_1536_),
+    .X(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3841_ (.A1_N(_1590_),
-    .A2_N(_1591_),
-    .B1(wbm_adr_i[13]),
-    .B2(_1591_),
+ sky130_fd_sc_hd__buf_2 _3778_ (.A(_1563_),
+    .X(_1564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3779_ (.A1_N(_1562_),
+    .A2_N(_1564_),
+    .B1(wbm_adr_i[12]),
+    .B2(_1564_),
     .X(_0244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3842_ (.A(\u_async_wb.u_cmd_if.mem[3][49] ),
-    .Y(_1592_),
+ sky130_fd_sc_hd__inv_2 _3780_ (.A(\u_async_wb.u_cmd_if.mem[0][48] ),
+    .Y(_1565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3843_ (.A1_N(_1592_),
-    .A2_N(_1591_),
-    .B1(wbm_adr_i[12]),
-    .B2(_1591_),
+ sky130_fd_sc_hd__a2bb2o_4 _3781_ (.A1_N(_1565_),
+    .A2_N(_1564_),
+    .B1(wbm_adr_i[11]),
+    .B2(_1564_),
     .X(_0243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3844_ (.A(\u_async_wb.u_cmd_if.mem[3][48] ),
-    .Y(_1593_),
+ sky130_fd_sc_hd__inv_2 _3782_ (.A(\u_async_wb.u_cmd_if.mem[0][47] ),
+    .Y(_1566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3845_ (.A(_1587_),
-    .X(_1594_),
+ sky130_fd_sc_hd__buf_2 _3783_ (.A(_1563_),
+    .X(_1567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3846_ (.A1_N(_1593_),
-    .A2_N(_1594_),
-    .B1(wbm_adr_i[11]),
-    .B2(_1594_),
+ sky130_fd_sc_hd__a2bb2o_4 _3784_ (.A1_N(_1566_),
+    .A2_N(_1567_),
+    .B1(wbm_adr_i[10]),
+    .B2(_1567_),
     .X(_0242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3847_ (.A(\u_async_wb.u_cmd_if.mem[3][47] ),
-    .Y(_1595_),
+ sky130_fd_sc_hd__inv_2 _3785_ (.A(\u_async_wb.u_cmd_if.mem[0][46] ),
+    .Y(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3848_ (.A1_N(_1595_),
-    .A2_N(_1594_),
-    .B1(wbm_adr_i[10]),
-    .B2(_1594_),
+ sky130_fd_sc_hd__a2bb2o_4 _3786_ (.A1_N(_1568_),
+    .A2_N(_1567_),
+    .B1(wbm_adr_i[9]),
+    .B2(_1567_),
     .X(_0241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3849_ (.A(\u_async_wb.u_cmd_if.mem[3][46] ),
-    .Y(_1596_),
+ sky130_fd_sc_hd__inv_2 _3787_ (.A(\u_async_wb.u_cmd_if.mem[0][45] ),
+    .Y(_1569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3850_ (.A(_1587_),
-    .X(_1597_),
+ sky130_fd_sc_hd__buf_2 _3788_ (.A(_1563_),
+    .X(_1570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3851_ (.A1_N(_1596_),
-    .A2_N(_1597_),
-    .B1(wbm_adr_i[9]),
-    .B2(_1597_),
+ sky130_fd_sc_hd__a2bb2o_4 _3789_ (.A1_N(_1569_),
+    .A2_N(_1570_),
+    .B1(wbm_adr_i[8]),
+    .B2(_1570_),
     .X(_0240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3852_ (.A(\u_async_wb.u_cmd_if.mem[3][45] ),
-    .Y(_1598_),
+ sky130_fd_sc_hd__inv_2 _3790_ (.A(\u_async_wb.u_cmd_if.mem[0][44] ),
+    .Y(_1571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3853_ (.A1_N(_1598_),
-    .A2_N(_1597_),
-    .B1(wbm_adr_i[8]),
-    .B2(_1597_),
+ sky130_fd_sc_hd__a2bb2o_4 _3791_ (.A1_N(_1571_),
+    .A2_N(_1570_),
+    .B1(wbm_adr_i[7]),
+    .B2(_1570_),
     .X(_0239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3854_ (.A(\u_async_wb.u_cmd_if.mem[3][44] ),
-    .Y(_1599_),
+ sky130_fd_sc_hd__inv_2 _3792_ (.A(\u_async_wb.u_cmd_if.mem[0][43] ),
+    .Y(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3855_ (.A(_1573_),
-    .X(_1600_),
+ sky130_fd_sc_hd__buf_2 _3793_ (.A(_1563_),
+    .X(_1573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3856_ (.A(_1600_),
-    .X(_1601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3857_ (.A1_N(_1599_),
-    .A2_N(_1601_),
-    .B1(wbm_adr_i[7]),
-    .B2(_1601_),
+ sky130_fd_sc_hd__a2bb2o_4 _3794_ (.A1_N(_1572_),
+    .A2_N(_1573_),
+    .B1(wbm_adr_i[6]),
+    .B2(_1573_),
     .X(_0238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3858_ (.A(\u_async_wb.u_cmd_if.mem[3][43] ),
-    .Y(_1602_),
+ sky130_fd_sc_hd__inv_2 _3795_ (.A(\u_async_wb.u_cmd_if.mem[0][42] ),
+    .Y(_1574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3859_ (.A1_N(_1602_),
-    .A2_N(_1601_),
-    .B1(wbm_adr_i[6]),
-    .B2(_1601_),
+ sky130_fd_sc_hd__a2bb2o_4 _3796_ (.A1_N(_1574_),
+    .A2_N(_1573_),
+    .B1(wbm_adr_i[5]),
+    .B2(_1573_),
     .X(_0237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3860_ (.A(\u_async_wb.u_cmd_if.mem[3][42] ),
-    .Y(_1603_),
+ sky130_fd_sc_hd__inv_2 _3797_ (.A(\u_async_wb.u_cmd_if.mem[0][41] ),
+    .Y(_1575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3861_ (.A(_1600_),
-    .X(_1604_),
+ sky130_fd_sc_hd__buf_2 _3798_ (.A(_1536_),
+    .X(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3862_ (.A1_N(_1603_),
-    .A2_N(_1604_),
-    .B1(wbm_adr_i[5]),
-    .B2(_1604_),
+ sky130_fd_sc_hd__buf_2 _3799_ (.A(_1576_),
+    .X(_1577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3800_ (.A1_N(_1575_),
+    .A2_N(_1577_),
+    .B1(wbm_adr_i[4]),
+    .B2(_1577_),
     .X(_0236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3863_ (.A(\u_async_wb.u_cmd_if.mem[3][41] ),
-    .Y(_1605_),
+ sky130_fd_sc_hd__inv_2 _3801_ (.A(\u_async_wb.u_cmd_if.mem[0][40] ),
+    .Y(_1578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3864_ (.A1_N(_1605_),
-    .A2_N(_1604_),
-    .B1(wbm_adr_i[4]),
-    .B2(_1604_),
+ sky130_fd_sc_hd__a2bb2o_4 _3802_ (.A1_N(_1578_),
+    .A2_N(_1577_),
+    .B1(_1236_),
+    .B2(_1577_),
     .X(_0235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3865_ (.A(\u_async_wb.u_cmd_if.mem[3][40] ),
-    .Y(_1606_),
+ sky130_fd_sc_hd__inv_2 _3803_ (.A(\u_async_wb.u_cmd_if.mem[0][39] ),
+    .Y(_1579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3866_ (.A(_1600_),
-    .X(_1607_),
+ sky130_fd_sc_hd__buf_2 _3804_ (.A(_1576_),
+    .X(_1580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3867_ (.A1_N(_1606_),
-    .A2_N(_1607_),
-    .B1(_1256_),
-    .B2(_1607_),
+ sky130_fd_sc_hd__a2bb2o_4 _3805_ (.A1_N(_1579_),
+    .A2_N(_1580_),
+    .B1(_1239_),
+    .B2(_1580_),
     .X(_0234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3868_ (.A(\u_async_wb.u_cmd_if.mem[3][39] ),
-    .Y(_1608_),
+ sky130_fd_sc_hd__inv_2 _3806_ (.A(\u_async_wb.u_cmd_if.mem[0][38] ),
+    .Y(_1581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3869_ (.A1_N(_1608_),
-    .A2_N(_1607_),
-    .B1(_1258_),
-    .B2(_1607_),
+ sky130_fd_sc_hd__a2bb2o_4 _3807_ (.A1_N(_1581_),
+    .A2_N(_1580_),
+    .B1(wbm_adr_i[1]),
+    .B2(_1580_),
     .X(_0233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3870_ (.A(\u_async_wb.u_cmd_if.mem[3][38] ),
-    .Y(_1609_),
+ sky130_fd_sc_hd__inv_2 _3808_ (.A(\u_async_wb.u_cmd_if.mem[0][37] ),
+    .Y(_1582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3871_ (.A(_1600_),
-    .X(_1610_),
+ sky130_fd_sc_hd__buf_2 _3809_ (.A(_1576_),
+    .X(_1583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3872_ (.A1_N(_1609_),
-    .A2_N(_1610_),
-    .B1(wbm_adr_i[1]),
-    .B2(_1610_),
+ sky130_fd_sc_hd__a2bb2o_4 _3810_ (.A1_N(_1582_),
+    .A2_N(_1583_),
+    .B1(wbm_adr_i[0]),
+    .B2(_1583_),
     .X(_0232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3873_ (.A(\u_async_wb.u_cmd_if.mem[3][37] ),
-    .Y(_1611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3874_ (.A1_N(_1611_),
-    .A2_N(_1610_),
-    .B1(wbm_adr_i[0]),
-    .B2(_1610_),
+ sky130_fd_sc_hd__o22a_4 _3811_ (.A1(\u_async_wb.u_cmd_if.mem[0][36] ),
+    .A2(_1532_),
+    .B1(_0772_),
+    .B2(_1530_),
     .X(_0231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3875_ (.A(\u_async_wb.u_cmd_if.mem[3][36] ),
-    .Y(_1612_),
+ sky130_fd_sc_hd__inv_2 _3812_ (.A(\u_async_wb.u_cmd_if.mem[0][35] ),
+    .Y(_1584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3876_ (.A(_1573_),
-    .X(_1613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3877_ (.A(_1613_),
-    .X(_1614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3878_ (.A1_N(_1612_),
-    .A2_N(_1614_),
-    .B1(_1264_),
-    .B2(_1614_),
+ sky130_fd_sc_hd__a2bb2o_4 _3813_ (.A1_N(_1584_),
+    .A2_N(_1583_),
+    .B1(wbm_dat_i[31]),
+    .B2(_1583_),
     .X(_0230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3879_ (.A(\u_async_wb.u_cmd_if.mem[3][35] ),
-    .Y(_1615_),
+ sky130_fd_sc_hd__inv_2 _3814_ (.A(\u_async_wb.u_cmd_if.mem[0][34] ),
+    .Y(_1585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3880_ (.A1_N(_1615_),
-    .A2_N(_1614_),
-    .B1(wbm_dat_i[31]),
-    .B2(_1614_),
+ sky130_fd_sc_hd__buf_2 _3815_ (.A(_1576_),
+    .X(_1586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3816_ (.A1_N(_1585_),
+    .A2_N(_1586_),
+    .B1(wbm_dat_i[30]),
+    .B2(_1586_),
     .X(_0229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3881_ (.A(\u_async_wb.u_cmd_if.mem[3][34] ),
-    .Y(_1616_),
+ sky130_fd_sc_hd__inv_2 _3817_ (.A(\u_async_wb.u_cmd_if.mem[0][33] ),
+    .Y(_1587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3882_ (.A(_1613_),
-    .X(_1617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3883_ (.A1_N(_1616_),
-    .A2_N(_1617_),
-    .B1(wbm_dat_i[30]),
-    .B2(_1617_),
+ sky130_fd_sc_hd__a2bb2o_4 _3818_ (.A1_N(_1587_),
+    .A2_N(_1586_),
+    .B1(wbm_dat_i[29]),
+    .B2(_1586_),
     .X(_0228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3884_ (.A(\u_async_wb.u_cmd_if.mem[3][33] ),
-    .Y(_1618_),
+ sky130_fd_sc_hd__inv_2 _3819_ (.A(\u_async_wb.u_cmd_if.mem[0][32] ),
+    .Y(_1588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3885_ (.A1_N(_1618_),
-    .A2_N(_1617_),
-    .B1(wbm_dat_i[29]),
-    .B2(_1617_),
+ sky130_fd_sc_hd__buf_2 _3820_ (.A(_1531_),
+    .X(_1589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3821_ (.A(_1589_),
+    .X(_1590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3822_ (.A(_1590_),
+    .X(_1591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3823_ (.A1_N(_1588_),
+    .A2_N(_1591_),
+    .B1(wbm_dat_i[28]),
+    .B2(_1591_),
     .X(_0227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3886_ (.A(\u_async_wb.u_cmd_if.mem[3][32] ),
-    .Y(_1619_),
+ sky130_fd_sc_hd__inv_2 _3824_ (.A(\u_async_wb.u_cmd_if.mem[0][31] ),
+    .Y(_1592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3887_ (.A(_1613_),
-    .X(_1620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3888_ (.A1_N(_1619_),
-    .A2_N(_1620_),
-    .B1(wbm_dat_i[28]),
-    .B2(_1620_),
+ sky130_fd_sc_hd__a2bb2o_4 _3825_ (.A1_N(_1592_),
+    .A2_N(_1591_),
+    .B1(wbm_dat_i[27]),
+    .B2(_1591_),
     .X(_0226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3889_ (.A(\u_async_wb.u_cmd_if.mem[3][31] ),
-    .Y(_1621_),
+ sky130_fd_sc_hd__inv_2 _3826_ (.A(\u_async_wb.u_cmd_if.mem[0][30] ),
+    .Y(_1593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3890_ (.A1_N(_1621_),
-    .A2_N(_1620_),
-    .B1(wbm_dat_i[27]),
-    .B2(_1620_),
+ sky130_fd_sc_hd__buf_2 _3827_ (.A(_1590_),
+    .X(_1594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3828_ (.A1_N(_1593_),
+    .A2_N(_1594_),
+    .B1(wbm_dat_i[26]),
+    .B2(_1594_),
     .X(_0225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3891_ (.A(\u_async_wb.u_cmd_if.mem[3][30] ),
-    .Y(_1622_),
+ sky130_fd_sc_hd__inv_2 _3829_ (.A(\u_async_wb.u_cmd_if.mem[0][29] ),
+    .Y(_1595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3892_ (.A(_1613_),
-    .X(_1623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3893_ (.A1_N(_1622_),
-    .A2_N(_1623_),
-    .B1(wbm_dat_i[26]),
-    .B2(_1623_),
+ sky130_fd_sc_hd__a2bb2o_4 _3830_ (.A1_N(_1595_),
+    .A2_N(_1594_),
+    .B1(wbm_dat_i[25]),
+    .B2(_1594_),
     .X(_0224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3894_ (.A(\u_async_wb.u_cmd_if.mem[3][29] ),
-    .Y(_1624_),
+ sky130_fd_sc_hd__inv_2 _3831_ (.A(\u_async_wb.u_cmd_if.mem[0][28] ),
+    .Y(_1596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3895_ (.A1_N(_1624_),
-    .A2_N(_1623_),
-    .B1(wbm_dat_i[25]),
-    .B2(_1623_),
+ sky130_fd_sc_hd__buf_2 _3832_ (.A(_1590_),
+    .X(_1597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3833_ (.A1_N(_1596_),
+    .A2_N(_1597_),
+    .B1(wbm_dat_i[24]),
+    .B2(_1597_),
     .X(_0223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3896_ (.A(\u_async_wb.u_cmd_if.mem[3][28] ),
-    .Y(_1625_),
+ sky130_fd_sc_hd__inv_2 _3834_ (.A(\u_async_wb.u_cmd_if.mem[0][27] ),
+    .Y(_1598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3897_ (.A(_1572_),
-    .X(_1626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3898_ (.A(_1626_),
-    .X(_1627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3899_ (.A1_N(_1625_),
-    .A2_N(_1627_),
-    .B1(wbm_dat_i[24]),
-    .B2(_1627_),
+ sky130_fd_sc_hd__a2bb2o_4 _3835_ (.A1_N(_1598_),
+    .A2_N(_1597_),
+    .B1(wbm_dat_i[23]),
+    .B2(_1597_),
     .X(_0222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3900_ (.A(\u_async_wb.u_cmd_if.mem[3][27] ),
-    .Y(_1628_),
+ sky130_fd_sc_hd__inv_2 _3836_ (.A(\u_async_wb.u_cmd_if.mem[0][26] ),
+    .Y(_1599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3901_ (.A1_N(_1628_),
-    .A2_N(_1627_),
-    .B1(wbm_dat_i[23]),
-    .B2(_1627_),
+ sky130_fd_sc_hd__buf_2 _3837_ (.A(_1590_),
+    .X(_1600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3838_ (.A1_N(_1599_),
+    .A2_N(_1600_),
+    .B1(wbm_dat_i[22]),
+    .B2(_1600_),
     .X(_0221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3902_ (.A(\u_async_wb.u_cmd_if.mem[3][26] ),
-    .Y(_1629_),
+ sky130_fd_sc_hd__inv_2 _3839_ (.A(\u_async_wb.u_cmd_if.mem[0][25] ),
+    .Y(_1601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3903_ (.A(_1626_),
-    .X(_1630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3904_ (.A1_N(_1629_),
-    .A2_N(_1630_),
-    .B1(wbm_dat_i[22]),
-    .B2(_1630_),
+ sky130_fd_sc_hd__a2bb2o_4 _3840_ (.A1_N(_1601_),
+    .A2_N(_1600_),
+    .B1(wbm_dat_i[21]),
+    .B2(_1600_),
     .X(_0220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3905_ (.A(\u_async_wb.u_cmd_if.mem[3][25] ),
-    .Y(_1631_),
+ sky130_fd_sc_hd__inv_2 _3841_ (.A(\u_async_wb.u_cmd_if.mem[0][24] ),
+    .Y(_1602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3906_ (.A1_N(_1631_),
-    .A2_N(_1630_),
-    .B1(wbm_dat_i[21]),
-    .B2(_1630_),
+ sky130_fd_sc_hd__buf_2 _3842_ (.A(_1589_),
+    .X(_1603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3843_ (.A(_1603_),
+    .X(_1604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3844_ (.A1_N(_1602_),
+    .A2_N(_1604_),
+    .B1(wbm_dat_i[20]),
+    .B2(_1604_),
     .X(_0219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3907_ (.A(\u_async_wb.u_cmd_if.mem[3][24] ),
-    .Y(_1632_),
+ sky130_fd_sc_hd__inv_2 _3845_ (.A(\u_async_wb.u_cmd_if.mem[0][23] ),
+    .Y(_1605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3908_ (.A(_1626_),
-    .X(_1633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3909_ (.A1_N(_1632_),
-    .A2_N(_1633_),
-    .B1(wbm_dat_i[20]),
-    .B2(_1633_),
+ sky130_fd_sc_hd__a2bb2o_4 _3846_ (.A1_N(_1605_),
+    .A2_N(_1604_),
+    .B1(wbm_dat_i[19]),
+    .B2(_1604_),
     .X(_0218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3910_ (.A(\u_async_wb.u_cmd_if.mem[3][23] ),
-    .Y(_1634_),
+ sky130_fd_sc_hd__inv_2 _3847_ (.A(\u_async_wb.u_cmd_if.mem[0][22] ),
+    .Y(_1606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3911_ (.A1_N(_1634_),
-    .A2_N(_1633_),
-    .B1(wbm_dat_i[19]),
-    .B2(_1633_),
+ sky130_fd_sc_hd__buf_2 _3848_ (.A(_1603_),
+    .X(_1607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3849_ (.A1_N(_1606_),
+    .A2_N(_1607_),
+    .B1(wbm_dat_i[18]),
+    .B2(_1607_),
     .X(_0217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3912_ (.A(\u_async_wb.u_cmd_if.mem[3][22] ),
-    .Y(_1635_),
+ sky130_fd_sc_hd__inv_2 _3850_ (.A(\u_async_wb.u_cmd_if.mem[0][21] ),
+    .Y(_1608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3913_ (.A(_1626_),
-    .X(_1636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3914_ (.A1_N(_1635_),
-    .A2_N(_1636_),
-    .B1(wbm_dat_i[18]),
-    .B2(_1636_),
+ sky130_fd_sc_hd__a2bb2o_4 _3851_ (.A1_N(_1608_),
+    .A2_N(_1607_),
+    .B1(wbm_dat_i[17]),
+    .B2(_1607_),
     .X(_0216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3915_ (.A(\u_async_wb.u_cmd_if.mem[3][21] ),
-    .Y(_1637_),
+ sky130_fd_sc_hd__inv_2 _3852_ (.A(\u_async_wb.u_cmd_if.mem[0][20] ),
+    .Y(_1609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3916_ (.A1_N(_1637_),
-    .A2_N(_1636_),
-    .B1(wbm_dat_i[17]),
-    .B2(_1636_),
+ sky130_fd_sc_hd__buf_2 _3853_ (.A(_1603_),
+    .X(_1610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3854_ (.A1_N(_1609_),
+    .A2_N(_1610_),
+    .B1(wbm_dat_i[16]),
+    .B2(_1610_),
     .X(_0215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3917_ (.A(\u_async_wb.u_cmd_if.mem[3][20] ),
-    .Y(_1638_),
+ sky130_fd_sc_hd__inv_2 _3855_ (.A(\u_async_wb.u_cmd_if.mem[0][19] ),
+    .Y(_1611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3918_ (.A(_1572_),
-    .X(_1639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3919_ (.A(_1639_),
-    .X(_1640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3920_ (.A1_N(_1638_),
-    .A2_N(_1640_),
-    .B1(wbm_dat_i[16]),
-    .B2(_1640_),
+ sky130_fd_sc_hd__a2bb2o_4 _3856_ (.A1_N(_1611_),
+    .A2_N(_1610_),
+    .B1(wbm_dat_i[15]),
+    .B2(_1610_),
     .X(_0214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3921_ (.A(\u_async_wb.u_cmd_if.mem[3][19] ),
-    .Y(_1641_),
+ sky130_fd_sc_hd__inv_2 _3857_ (.A(\u_async_wb.u_cmd_if.mem[0][18] ),
+    .Y(_1612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3922_ (.A1_N(_1641_),
-    .A2_N(_1640_),
-    .B1(wbm_dat_i[15]),
-    .B2(_1640_),
+ sky130_fd_sc_hd__buf_2 _3858_ (.A(_1603_),
+    .X(_1613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3859_ (.A1_N(_1612_),
+    .A2_N(_1613_),
+    .B1(wbm_dat_i[14]),
+    .B2(_1613_),
     .X(_0213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3923_ (.A(\u_async_wb.u_cmd_if.mem[3][18] ),
-    .Y(_1642_),
+ sky130_fd_sc_hd__inv_2 _3860_ (.A(\u_async_wb.u_cmd_if.mem[0][17] ),
+    .Y(_1614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3924_ (.A(_1639_),
-    .X(_1643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3925_ (.A1_N(_1642_),
-    .A2_N(_1643_),
-    .B1(wbm_dat_i[14]),
-    .B2(_1643_),
+ sky130_fd_sc_hd__a2bb2o_4 _3861_ (.A1_N(_1614_),
+    .A2_N(_1613_),
+    .B1(wbm_dat_i[13]),
+    .B2(_1613_),
     .X(_0212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3926_ (.A(\u_async_wb.u_cmd_if.mem[3][17] ),
-    .Y(_1644_),
+ sky130_fd_sc_hd__inv_2 _3862_ (.A(\u_async_wb.u_cmd_if.mem[0][16] ),
+    .Y(_1615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3927_ (.A1_N(_1644_),
-    .A2_N(_1643_),
-    .B1(wbm_dat_i[13]),
-    .B2(_1643_),
+ sky130_fd_sc_hd__buf_2 _3863_ (.A(_1589_),
+    .X(_1616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3864_ (.A(_1616_),
+    .X(_1617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3865_ (.A1_N(_1615_),
+    .A2_N(_1617_),
+    .B1(wbm_dat_i[12]),
+    .B2(_1617_),
     .X(_0211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3928_ (.A(\u_async_wb.u_cmd_if.mem[3][16] ),
-    .Y(_1645_),
+ sky130_fd_sc_hd__inv_2 _3866_ (.A(\u_async_wb.u_cmd_if.mem[0][15] ),
+    .Y(_1618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3929_ (.A(_1639_),
-    .X(_1646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3930_ (.A1_N(_1645_),
-    .A2_N(_1646_),
-    .B1(wbm_dat_i[12]),
-    .B2(_1646_),
+ sky130_fd_sc_hd__a2bb2o_4 _3867_ (.A1_N(_1618_),
+    .A2_N(_1617_),
+    .B1(wbm_dat_i[11]),
+    .B2(_1617_),
     .X(_0210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3931_ (.A(\u_async_wb.u_cmd_if.mem[3][15] ),
-    .Y(_1647_),
+ sky130_fd_sc_hd__inv_2 _3868_ (.A(\u_async_wb.u_cmd_if.mem[0][14] ),
+    .Y(_1619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3932_ (.A1_N(_1647_),
-    .A2_N(_1646_),
-    .B1(wbm_dat_i[11]),
-    .B2(_1646_),
+ sky130_fd_sc_hd__buf_2 _3869_ (.A(_1616_),
+    .X(_1620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3870_ (.A1_N(_1619_),
+    .A2_N(_1620_),
+    .B1(wbm_dat_i[10]),
+    .B2(_1620_),
     .X(_0209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3933_ (.A(\u_async_wb.u_cmd_if.mem[3][14] ),
-    .Y(_1648_),
+ sky130_fd_sc_hd__inv_2 _3871_ (.A(\u_async_wb.u_cmd_if.mem[0][13] ),
+    .Y(_1621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3934_ (.A(_1639_),
-    .X(_1649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3935_ (.A1_N(_1648_),
-    .A2_N(_1649_),
-    .B1(wbm_dat_i[10]),
-    .B2(_1649_),
+ sky130_fd_sc_hd__a2bb2o_4 _3872_ (.A1_N(_1621_),
+    .A2_N(_1620_),
+    .B1(wbm_dat_i[9]),
+    .B2(_1620_),
     .X(_0208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3936_ (.A(\u_async_wb.u_cmd_if.mem[3][13] ),
-    .Y(_1650_),
+ sky130_fd_sc_hd__inv_2 _3873_ (.A(\u_async_wb.u_cmd_if.mem[0][12] ),
+    .Y(_1622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3937_ (.A1_N(_1650_),
-    .A2_N(_1649_),
-    .B1(wbm_dat_i[9]),
-    .B2(_1649_),
+ sky130_fd_sc_hd__buf_2 _3874_ (.A(_1616_),
+    .X(_1623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3875_ (.A1_N(_1622_),
+    .A2_N(_1623_),
+    .B1(wbm_dat_i[8]),
+    .B2(_1623_),
     .X(_0207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3938_ (.A(\u_async_wb.u_cmd_if.mem[3][12] ),
-    .Y(_1651_),
+ sky130_fd_sc_hd__inv_2 _3876_ (.A(\u_async_wb.u_cmd_if.mem[0][11] ),
+    .Y(_1624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3939_ (.A(_1572_),
-    .X(_1652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3940_ (.A(_1652_),
-    .X(_1653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3941_ (.A1_N(_1651_),
-    .A2_N(_1653_),
-    .B1(wbm_dat_i[8]),
-    .B2(_1653_),
+ sky130_fd_sc_hd__a2bb2o_4 _3877_ (.A1_N(_1624_),
+    .A2_N(_1623_),
+    .B1(_1286_),
+    .B2(_1623_),
     .X(_0206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3942_ (.A(\u_async_wb.u_cmd_if.mem[3][11] ),
-    .Y(_1654_),
+ sky130_fd_sc_hd__inv_2 _3878_ (.A(\u_async_wb.u_cmd_if.mem[0][10] ),
+    .Y(_1625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3943_ (.A1_N(_1654_),
-    .A2_N(_1653_),
-    .B1(_1305_),
-    .B2(_1653_),
+ sky130_fd_sc_hd__buf_2 _3879_ (.A(_1616_),
+    .X(_1626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3880_ (.A1_N(_1625_),
+    .A2_N(_1626_),
+    .B1(_1288_),
+    .B2(_1626_),
     .X(_0205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3944_ (.A(\u_async_wb.u_cmd_if.mem[3][10] ),
-    .Y(_1655_),
+ sky130_fd_sc_hd__inv_2 _3881_ (.A(\u_async_wb.u_cmd_if.mem[0][9] ),
+    .Y(_1627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3945_ (.A(_1652_),
-    .X(_1656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3946_ (.A1_N(_1655_),
-    .A2_N(_1656_),
-    .B1(_1309_),
-    .B2(_1656_),
+ sky130_fd_sc_hd__a2bb2o_4 _3882_ (.A1_N(_1627_),
+    .A2_N(_1626_),
+    .B1(_1291_),
+    .B2(_1626_),
     .X(_0204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3947_ (.A(\u_async_wb.u_cmd_if.mem[3][9] ),
-    .Y(_1657_),
+ sky130_fd_sc_hd__inv_2 _3883_ (.A(\u_async_wb.u_cmd_if.mem[0][8] ),
+    .Y(_1628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3948_ (.A1_N(_1657_),
-    .A2_N(_1656_),
-    .B1(_1311_),
-    .B2(_1656_),
+ sky130_fd_sc_hd__buf_2 _3884_ (.A(_1589_),
+    .X(_1629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3885_ (.A(_1629_),
+    .X(_1630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3886_ (.A1_N(_1628_),
+    .A2_N(_1630_),
+    .B1(_1293_),
+    .B2(_1630_),
     .X(_0203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3949_ (.A(\u_async_wb.u_cmd_if.mem[3][8] ),
-    .Y(_1658_),
+ sky130_fd_sc_hd__inv_2 _3887_ (.A(\u_async_wb.u_cmd_if.mem[0][7] ),
+    .Y(_1631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3950_ (.A(_1652_),
-    .X(_1659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3951_ (.A1_N(_1658_),
-    .A2_N(_1659_),
-    .B1(_1314_),
-    .B2(_1659_),
+ sky130_fd_sc_hd__a2bb2o_4 _3888_ (.A1_N(_1631_),
+    .A2_N(_1630_),
+    .B1(_1296_),
+    .B2(_1630_),
     .X(_0202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3952_ (.A(\u_async_wb.u_cmd_if.mem[3][7] ),
-    .Y(_1660_),
+ sky130_fd_sc_hd__inv_2 _3889_ (.A(\u_async_wb.u_cmd_if.mem[0][6] ),
+    .Y(_1632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3953_ (.A1_N(_1660_),
-    .A2_N(_1659_),
-    .B1(_1316_),
-    .B2(_1659_),
+ sky130_fd_sc_hd__buf_2 _3890_ (.A(_1629_),
+    .X(_1633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3891_ (.A1_N(_1632_),
+    .A2_N(_1633_),
+    .B1(_1298_),
+    .B2(_1633_),
     .X(_0201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3954_ (.A(\u_async_wb.u_cmd_if.mem[3][6] ),
-    .Y(_1661_),
+ sky130_fd_sc_hd__inv_2 _3892_ (.A(\u_async_wb.u_cmd_if.mem[0][5] ),
+    .Y(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3955_ (.A(_1652_),
-    .X(_1662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3956_ (.A1_N(_1661_),
-    .A2_N(_1662_),
-    .B1(_1319_),
-    .B2(_1662_),
+ sky130_fd_sc_hd__a2bb2o_4 _3893_ (.A1_N(_1634_),
+    .A2_N(_1633_),
+    .B1(_1301_),
+    .B2(_1633_),
     .X(_0200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3957_ (.A(\u_async_wb.u_cmd_if.mem[3][5] ),
-    .Y(_1663_),
+ sky130_fd_sc_hd__inv_2 _3894_ (.A(\u_async_wb.u_cmd_if.mem[0][4] ),
+    .Y(_1635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3958_ (.A1_N(_1663_),
-    .A2_N(_1662_),
-    .B1(_1321_),
-    .B2(_1662_),
+ sky130_fd_sc_hd__buf_2 _3895_ (.A(_1629_),
+    .X(_1636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3896_ (.A1_N(_1635_),
+    .A2_N(_1636_),
+    .B1(_1303_),
+    .B2(_1636_),
     .X(_0199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3959_ (.A(\u_async_wb.u_cmd_if.mem[3][4] ),
-    .Y(_1664_),
+ sky130_fd_sc_hd__inv_2 _3897_ (.A(\u_async_wb.u_cmd_if.mem[0][3] ),
+    .Y(_1637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3960_ (.A(_0785_),
-    .X(_1665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3961_ (.A1_N(_1664_),
-    .A2_N(_1665_),
-    .B1(_1324_),
-    .B2(_1665_),
+ sky130_fd_sc_hd__a2bb2o_4 _3898_ (.A1_N(_1637_),
+    .A2_N(_1636_),
+    .B1(wbm_sel_i[3]),
+    .B2(_1636_),
     .X(_0198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3962_ (.A(\u_async_wb.u_cmd_if.mem[3][3] ),
-    .Y(_1666_),
+ sky130_fd_sc_hd__inv_2 _3899_ (.A(\u_async_wb.u_cmd_if.mem[0][2] ),
+    .Y(_1638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3963_ (.A1_N(_1666_),
-    .A2_N(_1665_),
-    .B1(wbm_sel_i[3]),
-    .B2(_1665_),
+ sky130_fd_sc_hd__buf_2 _3900_ (.A(_1629_),
+    .X(_1639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _3901_ (.A1_N(_1638_),
+    .A2_N(_1639_),
+    .B1(wbm_sel_i[2]),
+    .B2(_1639_),
     .X(_0197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3964_ (.A(\u_async_wb.u_cmd_if.mem[3][2] ),
-    .Y(_1667_),
+ sky130_fd_sc_hd__inv_2 _3902_ (.A(\u_async_wb.u_cmd_if.mem[0][1] ),
+    .Y(_1640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3965_ (.A(_0785_),
-    .X(_1668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3966_ (.A1_N(_1667_),
-    .A2_N(_1668_),
-    .B1(wbm_sel_i[2]),
-    .B2(_1668_),
+ sky130_fd_sc_hd__a2bb2o_4 _3903_ (.A1_N(_1640_),
+    .A2_N(_1639_),
+    .B1(wbm_sel_i[1]),
+    .B2(_1639_),
     .X(_0196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3967_ (.A(\u_async_wb.u_cmd_if.mem[3][1] ),
-    .Y(_1669_),
+ sky130_fd_sc_hd__inv_2 _3904_ (.A(\u_async_wb.u_cmd_if.mem[0][0] ),
+    .Y(_1641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3968_ (.A1_N(_1669_),
-    .A2_N(_1668_),
-    .B1(wbm_sel_i[1]),
-    .B2(_1668_),
+ sky130_fd_sc_hd__a2bb2o_4 _3905_ (.A1_N(_1641_),
+    .A2_N(_1532_),
+    .B1(wbm_sel_i[0]),
+    .B2(_1532_),
     .X(_0195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _3969_ (.A(\u_async_wb.u_cmd_if.mem[3][0] ),
-    .Y(_1670_),
+ sky130_fd_sc_hd__buf_2 _3906_ (.A(_1176_),
+    .X(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _3970_ (.A1_N(_1670_),
-    .A2_N(_0786_),
-    .B1(wbm_sel_i[0]),
-    .B2(_0786_),
+ sky130_fd_sc_hd__a32o_4 _3907_ (.A1(_0745_),
+    .A2(_1642_),
+    .A3(wbs_err_i),
+    .B1(\u_async_wb.u_resp_if.mem[1][32] ),
+    .B2(_0747_),
     .X(_0194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3971_ (.A(_1193_),
-    .X(_1671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3972_ (.A1(_0763_),
-    .A2(_1671_),
-    .A3(wbs_err_i),
-    .B1(\u_async_wb.u_resp_if.mem[1][32] ),
-    .B2(_0765_),
+ sky130_fd_sc_hd__a32o_4 _3908_ (.A1(_0745_),
+    .A2(_1642_),
+    .A3(wbs_dat_i[31]),
+    .B1(\u_async_wb.u_resp_if.mem[1][31] ),
+    .B2(_0747_),
     .X(_0193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3973_ (.A1(_0763_),
-    .A2(_1671_),
-    .A3(wbs_dat_i[31]),
-    .B1(\u_async_wb.u_resp_if.mem[1][31] ),
-    .B2(_0765_),
+ sky130_fd_sc_hd__a32o_4 _3909_ (.A1(_0745_),
+    .A2(_1642_),
+    .A3(wbs_dat_i[30]),
+    .B1(\u_async_wb.u_resp_if.mem[1][30] ),
+    .B2(_0747_),
     .X(_0192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3974_ (.A1(_0763_),
-    .A2(_1671_),
-    .A3(wbs_dat_i[30]),
-    .B1(\u_async_wb.u_resp_if.mem[1][30] ),
-    .B2(_0765_),
+ sky130_fd_sc_hd__buf_2 _3910_ (.A(_0738_),
+    .X(_1643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3911_ (.A(_1643_),
+    .X(_1644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3912_ (.A(_0746_),
+    .X(_1645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3913_ (.A(_1645_),
+    .X(_1646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3914_ (.A1(_1644_),
+    .A2(_1642_),
+    .A3(wbs_dat_i[29]),
+    .B1(\u_async_wb.u_resp_if.mem[1][29] ),
+    .B2(_1646_),
     .X(_0191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3975_ (.A(_0754_),
-    .X(_1672_),
+ sky130_fd_sc_hd__buf_2 _3915_ (.A(_1176_),
+    .X(_1647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3976_ (.A(_1672_),
-    .X(_1673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3977_ (.A(_0764_),
-    .X(_1674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3978_ (.A(_1674_),
-    .X(_1675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3979_ (.A1(_1673_),
-    .A2(_1671_),
-    .A3(wbs_dat_i[29]),
-    .B1(\u_async_wb.u_resp_if.mem[1][29] ),
-    .B2(_1675_),
+ sky130_fd_sc_hd__a32o_4 _3916_ (.A1(_1644_),
+    .A2(_1647_),
+    .A3(wbs_dat_i[28]),
+    .B1(\u_async_wb.u_resp_if.mem[1][28] ),
+    .B2(_1646_),
     .X(_0190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3980_ (.A(_1193_),
-    .X(_1676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3981_ (.A1(_1673_),
-    .A2(_1676_),
-    .A3(wbs_dat_i[28]),
-    .B1(\u_async_wb.u_resp_if.mem[1][28] ),
-    .B2(_1675_),
+ sky130_fd_sc_hd__a32o_4 _3917_ (.A1(_1644_),
+    .A2(_1647_),
+    .A3(wbs_dat_i[27]),
+    .B1(\u_async_wb.u_resp_if.mem[1][27] ),
+    .B2(_1646_),
     .X(_0189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3982_ (.A1(_1673_),
-    .A2(_1676_),
-    .A3(wbs_dat_i[27]),
-    .B1(\u_async_wb.u_resp_if.mem[1][27] ),
-    .B2(_1675_),
+ sky130_fd_sc_hd__a32o_4 _3918_ (.A1(_1644_),
+    .A2(_1647_),
+    .A3(wbs_dat_i[26]),
+    .B1(\u_async_wb.u_resp_if.mem[1][26] ),
+    .B2(_1646_),
     .X(_0188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3983_ (.A1(_1673_),
-    .A2(_1676_),
-    .A3(wbs_dat_i[26]),
-    .B1(\u_async_wb.u_resp_if.mem[1][26] ),
-    .B2(_1675_),
+ sky130_fd_sc_hd__buf_2 _3919_ (.A(_1643_),
+    .X(_1648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3920_ (.A(_1645_),
+    .X(_1649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3921_ (.A1(_1648_),
+    .A2(_1647_),
+    .A3(wbs_dat_i[25]),
+    .B1(\u_async_wb.u_resp_if.mem[1][25] ),
+    .B2(_1649_),
     .X(_0187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3984_ (.A(_1672_),
-    .X(_1677_),
+ sky130_fd_sc_hd__buf_2 _3922_ (.A(_1176_),
+    .X(_1650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3985_ (.A(_1674_),
-    .X(_1678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3986_ (.A1(_1677_),
-    .A2(_1676_),
-    .A3(wbs_dat_i[25]),
-    .B1(\u_async_wb.u_resp_if.mem[1][25] ),
-    .B2(_1678_),
+ sky130_fd_sc_hd__a32o_4 _3923_ (.A1(_1648_),
+    .A2(_1650_),
+    .A3(wbs_dat_i[24]),
+    .B1(\u_async_wb.u_resp_if.mem[1][24] ),
+    .B2(_1649_),
     .X(_0186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3987_ (.A(_1193_),
-    .X(_1679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3988_ (.A1(_1677_),
-    .A2(_1679_),
-    .A3(wbs_dat_i[24]),
-    .B1(\u_async_wb.u_resp_if.mem[1][24] ),
-    .B2(_1678_),
+ sky130_fd_sc_hd__a32o_4 _3924_ (.A1(_1648_),
+    .A2(_1650_),
+    .A3(wbs_dat_i[23]),
+    .B1(\u_async_wb.u_resp_if.mem[1][23] ),
+    .B2(_1649_),
     .X(_0185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3989_ (.A1(_1677_),
-    .A2(_1679_),
-    .A3(wbs_dat_i[23]),
-    .B1(\u_async_wb.u_resp_if.mem[1][23] ),
-    .B2(_1678_),
+ sky130_fd_sc_hd__a32o_4 _3925_ (.A1(_1648_),
+    .A2(_1650_),
+    .A3(wbs_dat_i[22]),
+    .B1(\u_async_wb.u_resp_if.mem[1][22] ),
+    .B2(_1649_),
     .X(_0184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3990_ (.A1(_1677_),
-    .A2(_1679_),
-    .A3(wbs_dat_i[22]),
-    .B1(\u_async_wb.u_resp_if.mem[1][22] ),
-    .B2(_1678_),
+ sky130_fd_sc_hd__buf_2 _3926_ (.A(_0739_),
+    .X(_1651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3927_ (.A(_1651_),
+    .X(_1652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3928_ (.A(_0746_),
+    .X(_1653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3929_ (.A(_1653_),
+    .X(_1654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3930_ (.A1(_1652_),
+    .A2(_1650_),
+    .A3(wbs_dat_i[21]),
+    .B1(\u_async_wb.u_resp_if.mem[1][21] ),
+    .B2(_1654_),
     .X(_0183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3991_ (.A(_0755_),
-    .X(_1680_),
+ sky130_fd_sc_hd__buf_2 _3931_ (.A(_0734_),
+    .X(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3992_ (.A(_1680_),
-    .X(_1681_),
+ sky130_fd_sc_hd__buf_2 _3932_ (.A(_1655_),
+    .X(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3993_ (.A(_0764_),
-    .X(_1682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3994_ (.A(_1682_),
-    .X(_1683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3995_ (.A1(_1681_),
-    .A2(_1679_),
-    .A3(wbs_dat_i[21]),
-    .B1(\u_async_wb.u_resp_if.mem[1][21] ),
-    .B2(_1683_),
+ sky130_fd_sc_hd__a32o_4 _3933_ (.A1(_1652_),
+    .A2(_1656_),
+    .A3(wbs_dat_i[20]),
+    .B1(\u_async_wb.u_resp_if.mem[1][20] ),
+    .B2(_1654_),
     .X(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3996_ (.A(_0750_),
-    .X(_1684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _3997_ (.A(_1684_),
-    .X(_1685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3998_ (.A1(_1681_),
-    .A2(_1685_),
-    .A3(wbs_dat_i[20]),
-    .B1(\u_async_wb.u_resp_if.mem[1][20] ),
-    .B2(_1683_),
+ sky130_fd_sc_hd__a32o_4 _3934_ (.A1(_1652_),
+    .A2(_1656_),
+    .A3(wbs_dat_i[19]),
+    .B1(\u_async_wb.u_resp_if.mem[1][19] ),
+    .B2(_1654_),
     .X(_0181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _3999_ (.A1(_1681_),
-    .A2(_1685_),
-    .A3(wbs_dat_i[19]),
-    .B1(\u_async_wb.u_resp_if.mem[1][19] ),
-    .B2(_1683_),
+ sky130_fd_sc_hd__a32o_4 _3935_ (.A1(_1652_),
+    .A2(_1656_),
+    .A3(wbs_dat_i[18]),
+    .B1(\u_async_wb.u_resp_if.mem[1][18] ),
+    .B2(_1654_),
     .X(_0180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4000_ (.A1(_1681_),
-    .A2(_1685_),
-    .A3(wbs_dat_i[18]),
-    .B1(\u_async_wb.u_resp_if.mem[1][18] ),
-    .B2(_1683_),
+ sky130_fd_sc_hd__buf_2 _3936_ (.A(_1651_),
+    .X(_1657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3937_ (.A(_1653_),
+    .X(_1658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3938_ (.A1(_1657_),
+    .A2(_1656_),
+    .A3(wbs_dat_i[17]),
+    .B1(\u_async_wb.u_resp_if.mem[1][17] ),
+    .B2(_1658_),
     .X(_0179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4001_ (.A(_1680_),
-    .X(_1686_),
+ sky130_fd_sc_hd__buf_2 _3939_ (.A(_1655_),
+    .X(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4002_ (.A(_1682_),
-    .X(_1687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4003_ (.A1(_1686_),
-    .A2(_1685_),
-    .A3(wbs_dat_i[17]),
-    .B1(\u_async_wb.u_resp_if.mem[1][17] ),
-    .B2(_1687_),
+ sky130_fd_sc_hd__a32o_4 _3940_ (.A1(_1657_),
+    .A2(_1659_),
+    .A3(wbs_dat_i[16]),
+    .B1(\u_async_wb.u_resp_if.mem[1][16] ),
+    .B2(_1658_),
     .X(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4004_ (.A(_1684_),
-    .X(_1688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4005_ (.A1(_1686_),
-    .A2(_1688_),
-    .A3(wbs_dat_i[16]),
-    .B1(\u_async_wb.u_resp_if.mem[1][16] ),
-    .B2(_1687_),
+ sky130_fd_sc_hd__a32o_4 _3941_ (.A1(_1657_),
+    .A2(_1659_),
+    .A3(wbs_dat_i[15]),
+    .B1(\u_async_wb.u_resp_if.mem[1][15] ),
+    .B2(_1658_),
     .X(_0177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4006_ (.A1(_1686_),
-    .A2(_1688_),
-    .A3(wbs_dat_i[15]),
-    .B1(\u_async_wb.u_resp_if.mem[1][15] ),
-    .B2(_1687_),
+ sky130_fd_sc_hd__a32o_4 _3942_ (.A1(_1657_),
+    .A2(_1659_),
+    .A3(wbs_dat_i[14]),
+    .B1(\u_async_wb.u_resp_if.mem[1][14] ),
+    .B2(_1658_),
     .X(_0176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4007_ (.A1(_1686_),
-    .A2(_1688_),
-    .A3(wbs_dat_i[14]),
-    .B1(\u_async_wb.u_resp_if.mem[1][14] ),
-    .B2(_1687_),
+ sky130_fd_sc_hd__buf_2 _3943_ (.A(_1651_),
+    .X(_1660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3944_ (.A(_1653_),
+    .X(_1661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3945_ (.A1(_1660_),
+    .A2(_1659_),
+    .A3(wbs_dat_i[13]),
+    .B1(\u_async_wb.u_resp_if.mem[1][13] ),
+    .B2(_1661_),
     .X(_0175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4008_ (.A(_1680_),
-    .X(_1689_),
+ sky130_fd_sc_hd__buf_2 _3946_ (.A(_1655_),
+    .X(_1662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4009_ (.A(_1682_),
-    .X(_1690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4010_ (.A1(_1689_),
-    .A2(_1688_),
-    .A3(wbs_dat_i[13]),
-    .B1(\u_async_wb.u_resp_if.mem[1][13] ),
-    .B2(_1690_),
+ sky130_fd_sc_hd__a32o_4 _3947_ (.A1(_1660_),
+    .A2(_1662_),
+    .A3(wbs_dat_i[12]),
+    .B1(\u_async_wb.u_resp_if.mem[1][12] ),
+    .B2(_1661_),
     .X(_0174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4011_ (.A(_1684_),
-    .X(_1691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4012_ (.A1(_1689_),
-    .A2(_1691_),
-    .A3(wbs_dat_i[12]),
-    .B1(\u_async_wb.u_resp_if.mem[1][12] ),
-    .B2(_1690_),
+ sky130_fd_sc_hd__a32o_4 _3948_ (.A1(_1660_),
+    .A2(_1662_),
+    .A3(wbs_dat_i[11]),
+    .B1(\u_async_wb.u_resp_if.mem[1][11] ),
+    .B2(_1661_),
     .X(_0173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4013_ (.A1(_1689_),
-    .A2(_1691_),
-    .A3(wbs_dat_i[11]),
-    .B1(\u_async_wb.u_resp_if.mem[1][11] ),
-    .B2(_1690_),
+ sky130_fd_sc_hd__a32o_4 _3949_ (.A1(_1660_),
+    .A2(_1662_),
+    .A3(wbs_dat_i[10]),
+    .B1(\u_async_wb.u_resp_if.mem[1][10] ),
+    .B2(_1661_),
     .X(_0172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4014_ (.A1(_1689_),
-    .A2(_1691_),
-    .A3(wbs_dat_i[10]),
-    .B1(\u_async_wb.u_resp_if.mem[1][10] ),
-    .B2(_1690_),
+ sky130_fd_sc_hd__buf_2 _3950_ (.A(_1651_),
+    .X(_1663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3951_ (.A(_1653_),
+    .X(_1664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3952_ (.A1(_1663_),
+    .A2(_1662_),
+    .A3(wbs_dat_i[9]),
+    .B1(\u_async_wb.u_resp_if.mem[1][9] ),
+    .B2(_1664_),
     .X(_0171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4015_ (.A(_1680_),
-    .X(_1692_),
+ sky130_fd_sc_hd__buf_2 _3953_ (.A(_1655_),
+    .X(_1665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4016_ (.A(_1682_),
-    .X(_1693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4017_ (.A1(_1692_),
-    .A2(_1691_),
-    .A3(wbs_dat_i[9]),
-    .B1(\u_async_wb.u_resp_if.mem[1][9] ),
-    .B2(_1693_),
+ sky130_fd_sc_hd__a32o_4 _3954_ (.A1(_1663_),
+    .A2(_1665_),
+    .A3(wbs_dat_i[8]),
+    .B1(\u_async_wb.u_resp_if.mem[1][8] ),
+    .B2(_1664_),
     .X(_0170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4018_ (.A(_1684_),
-    .X(_1694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4019_ (.A1(_1692_),
-    .A2(_1694_),
-    .A3(wbs_dat_i[8]),
-    .B1(\u_async_wb.u_resp_if.mem[1][8] ),
-    .B2(_1693_),
+ sky130_fd_sc_hd__a32o_4 _3955_ (.A1(_1663_),
+    .A2(_1665_),
+    .A3(wbs_dat_i[7]),
+    .B1(\u_async_wb.u_resp_if.mem[1][7] ),
+    .B2(_1664_),
     .X(_0169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4020_ (.A1(_1692_),
-    .A2(_1694_),
-    .A3(wbs_dat_i[7]),
-    .B1(\u_async_wb.u_resp_if.mem[1][7] ),
-    .B2(_1693_),
+ sky130_fd_sc_hd__a32o_4 _3956_ (.A1(_1663_),
+    .A2(_1665_),
+    .A3(wbs_dat_i[6]),
+    .B1(\u_async_wb.u_resp_if.mem[1][6] ),
+    .B2(_1664_),
     .X(_0168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4021_ (.A1(_1692_),
-    .A2(_1694_),
-    .A3(wbs_dat_i[6]),
-    .B1(\u_async_wb.u_resp_if.mem[1][6] ),
-    .B2(_1693_),
+ sky130_fd_sc_hd__buf_2 _3957_ (.A(_0739_),
+    .X(_1666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _3958_ (.A(_0746_),
+    .X(_1667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _3959_ (.A1(_1666_),
+    .A2(_1665_),
+    .A3(wbs_dat_i[5]),
+    .B1(\u_async_wb.u_resp_if.mem[1][5] ),
+    .B2(_1667_),
     .X(_0167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4022_ (.A(_0755_),
-    .X(_1695_),
+ sky130_fd_sc_hd__buf_2 _3960_ (.A(_0735_),
+    .X(_1668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4023_ (.A(_0764_),
-    .X(_1696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4024_ (.A1(_1695_),
-    .A2(_1694_),
-    .A3(wbs_dat_i[5]),
-    .B1(\u_async_wb.u_resp_if.mem[1][5] ),
-    .B2(_1696_),
+ sky130_fd_sc_hd__a32o_4 _3961_ (.A1(_1666_),
+    .A2(_1668_),
+    .A3(wbs_dat_i[4]),
+    .B1(\u_async_wb.u_resp_if.mem[1][4] ),
+    .B2(_1667_),
     .X(_0166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4025_ (.A(_0751_),
-    .X(_1697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4026_ (.A1(_1695_),
-    .A2(_1697_),
-    .A3(wbs_dat_i[4]),
-    .B1(\u_async_wb.u_resp_if.mem[1][4] ),
-    .B2(_1696_),
+ sky130_fd_sc_hd__a32o_4 _3962_ (.A1(_1666_),
+    .A2(_1668_),
+    .A3(wbs_dat_i[3]),
+    .B1(\u_async_wb.u_resp_if.mem[1][3] ),
+    .B2(_1667_),
     .X(_0165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4027_ (.A1(_1695_),
-    .A2(_1697_),
-    .A3(wbs_dat_i[3]),
-    .B1(\u_async_wb.u_resp_if.mem[1][3] ),
-    .B2(_1696_),
+ sky130_fd_sc_hd__a32o_4 _3963_ (.A1(_1666_),
+    .A2(_1668_),
+    .A3(wbs_dat_i[2]),
+    .B1(\u_async_wb.u_resp_if.mem[1][2] ),
+    .B2(_1667_),
     .X(_0164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4028_ (.A1(_1695_),
-    .A2(_1697_),
-    .A3(wbs_dat_i[2]),
-    .B1(\u_async_wb.u_resp_if.mem[1][2] ),
-    .B2(_1696_),
+ sky130_fd_sc_hd__a32o_4 _3964_ (.A1(_1643_),
+    .A2(_1668_),
+    .A3(wbs_dat_i[1]),
+    .B1(\u_async_wb.u_resp_if.mem[1][1] ),
+    .B2(_1645_),
     .X(_0163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4029_ (.A1(_1672_),
-    .A2(_1697_),
-    .A3(wbs_dat_i[1]),
-    .B1(\u_async_wb.u_resp_if.mem[1][1] ),
-    .B2(_1674_),
+ sky130_fd_sc_hd__a32o_4 _3965_ (.A1(_1643_),
+    .A2(_1151_),
+    .A3(wbs_dat_i[0]),
+    .B1(\u_async_wb.u_resp_if.mem[1][0] ),
+    .B2(_1645_),
     .X(_0162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _4030_ (.A1(_1672_),
-    .A2(_1168_),
-    .A3(wbs_dat_i[0]),
-    .B1(\u_async_wb.u_resp_if.mem[1][0] ),
-    .B2(_1674_),
-    .X(_0161_),
+ sky130_fd_sc_hd__buf_2 _3966_ (.A(_0992_),
+    .X(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4031_ (.A(_1009_),
-    .X(_1698_),
+ sky130_fd_sc_hd__buf_2 _3967_ (.A(_1669_),
+    .X(_1670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4032_ (.A(_1698_),
-    .X(_1699_),
+ sky130_fd_sc_hd__buf_2 _3968_ (.A(_0760_),
+    .X(_1671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4033_ (.A(_0778_),
-    .X(_1700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4034_ (.A1(_0769_),
+ sky130_fd_sc_hd__o22a_4 _3969_ (.A1(_0751_),
     .A2(\u_async_wb.u_resp_if.mem[0][0] ),
-    .B1(_1700_),
+    .B1(_1671_),
     .B2(\u_async_wb.u_resp_if.mem[1][0] ),
-    .X(_1701_),
+    .X(_1672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4035_ (.A(_0795_),
-    .X(_1702_),
+ sky130_fd_sc_hd__buf_2 _3970_ (.A(_0777_),
+    .X(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4036_ (.A(_1702_),
-    .X(_1703_),
+ sky130_fd_sc_hd__buf_2 _3971_ (.A(_1673_),
+    .X(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4037_ (.A(_1703_),
-    .X(_1704_),
+ sky130_fd_sc_hd__buf_2 _3972_ (.A(_1674_),
+    .X(_1675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4038_ (.A1(_1699_),
-    .A2(_1701_),
+ sky130_fd_sc_hd__o22a_4 _3973_ (.A1(_1670_),
+    .A2(_1672_),
     .B1(\reg_rdata[0] ),
-    .B2(_1704_),
+    .B2(_1675_),
     .X(wbm_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4039_ (.A1(_0769_),
+ sky130_fd_sc_hd__o22a_4 _3974_ (.A1(_0751_),
     .A2(\u_async_wb.u_resp_if.mem[0][1] ),
-    .B1(_1700_),
+    .B1(_1671_),
     .B2(\u_async_wb.u_resp_if.mem[1][1] ),
-    .X(_1705_),
+    .X(_1676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4040_ (.A1(_1699_),
-    .A2(_1705_),
+ sky130_fd_sc_hd__o22a_4 _3975_ (.A1(_1670_),
+    .A2(_1676_),
     .B1(\reg_rdata[1] ),
-    .B2(_1704_),
+    .B2(_1675_),
     .X(wbm_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4041_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_1706_),
+ sky130_fd_sc_hd__buf_2 _3976_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_1677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4042_ (.A(_1706_),
-    .X(_1707_),
+ sky130_fd_sc_hd__buf_2 _3977_ (.A(_1677_),
+    .X(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4043_ (.A(_1707_),
-    .X(_1708_),
+ sky130_fd_sc_hd__buf_2 _3978_ (.A(_1678_),
+    .X(_1679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4044_ (.A1(_1708_),
+ sky130_fd_sc_hd__o22a_4 _3979_ (.A1(_1679_),
     .A2(\u_async_wb.u_resp_if.mem[0][2] ),
-    .B1(_1700_),
+    .B1(_1671_),
     .B2(\u_async_wb.u_resp_if.mem[1][2] ),
-    .X(_1709_),
+    .X(_1680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4045_ (.A1(_1699_),
-    .A2(_1709_),
+ sky130_fd_sc_hd__o22a_4 _3980_ (.A1(_1670_),
+    .A2(_1680_),
     .B1(\reg_rdata[2] ),
-    .B2(_1704_),
+    .B2(_1675_),
     .X(wbm_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4046_ (.A1(_1708_),
+ sky130_fd_sc_hd__o22a_4 _3981_ (.A1(_1679_),
     .A2(\u_async_wb.u_resp_if.mem[0][3] ),
-    .B1(_1700_),
+    .B1(_1671_),
     .B2(\u_async_wb.u_resp_if.mem[1][3] ),
-    .X(_1710_),
+    .X(_1681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4047_ (.A1(_1699_),
-    .A2(_1710_),
+ sky130_fd_sc_hd__o22a_4 _3982_ (.A1(_1670_),
+    .A2(_1681_),
     .B1(\reg_rdata[3] ),
-    .B2(_1704_),
+    .B2(_1675_),
     .X(wbm_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4048_ (.A(_1698_),
-    .X(_1711_),
+ sky130_fd_sc_hd__buf_2 _3983_ (.A(_1669_),
+    .X(_1682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4049_ (.A(_0778_),
-    .X(_1712_),
+ sky130_fd_sc_hd__buf_2 _3984_ (.A(_0760_),
+    .X(_1683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4050_ (.A1(_1708_),
+ sky130_fd_sc_hd__o22a_4 _3985_ (.A1(_1679_),
     .A2(\u_async_wb.u_resp_if.mem[0][4] ),
-    .B1(_1712_),
+    .B1(_1683_),
     .B2(\u_async_wb.u_resp_if.mem[1][4] ),
-    .X(_1713_),
+    .X(_1684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4051_ (.A(_1703_),
-    .X(_1714_),
+ sky130_fd_sc_hd__buf_2 _3986_ (.A(_1674_),
+    .X(_1685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4052_ (.A1(_1711_),
-    .A2(_1713_),
+ sky130_fd_sc_hd__o22a_4 _3987_ (.A1(_1682_),
+    .A2(_1684_),
     .B1(\reg_rdata[4] ),
-    .B2(_1714_),
+    .B2(_1685_),
     .X(wbm_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4053_ (.A1(_1708_),
+ sky130_fd_sc_hd__o22a_4 _3988_ (.A1(_1679_),
     .A2(\u_async_wb.u_resp_if.mem[0][5] ),
-    .B1(_1712_),
+    .B1(_1683_),
     .B2(\u_async_wb.u_resp_if.mem[1][5] ),
-    .X(_1715_),
+    .X(_1686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4054_ (.A1(_1711_),
-    .A2(_1715_),
+ sky130_fd_sc_hd__o22a_4 _3989_ (.A1(_1682_),
+    .A2(_1686_),
     .B1(\reg_rdata[5] ),
-    .B2(_1714_),
+    .B2(_1685_),
     .X(wbm_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4055_ (.A(_1707_),
-    .X(_1716_),
+ sky130_fd_sc_hd__buf_2 _3990_ (.A(_1678_),
+    .X(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4056_ (.A1(_1716_),
+ sky130_fd_sc_hd__o22a_4 _3991_ (.A1(_1687_),
     .A2(\u_async_wb.u_resp_if.mem[0][6] ),
-    .B1(_1712_),
+    .B1(_1683_),
     .B2(\u_async_wb.u_resp_if.mem[1][6] ),
-    .X(_1717_),
+    .X(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4057_ (.A1(_1711_),
-    .A2(_1717_),
+ sky130_fd_sc_hd__o22a_4 _3992_ (.A1(_1682_),
+    .A2(_1688_),
     .B1(\reg_rdata[6] ),
-    .B2(_1714_),
+    .B2(_1685_),
     .X(wbm_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4058_ (.A1(_1716_),
+ sky130_fd_sc_hd__o22a_4 _3993_ (.A1(_1687_),
     .A2(\u_async_wb.u_resp_if.mem[0][7] ),
-    .B1(_1712_),
+    .B1(_1683_),
     .B2(\u_async_wb.u_resp_if.mem[1][7] ),
-    .X(_1718_),
+    .X(_1689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4059_ (.A1(_1711_),
-    .A2(_1718_),
+ sky130_fd_sc_hd__o22a_4 _3994_ (.A1(_1682_),
+    .A2(_1689_),
     .B1(\reg_rdata[7] ),
-    .B2(_1714_),
+    .B2(_1685_),
     .X(wbm_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4060_ (.A(_1698_),
-    .X(_1719_),
+ sky130_fd_sc_hd__buf_2 _3995_ (.A(_1669_),
+    .X(_1690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4061_ (.A(_0777_),
-    .X(_1720_),
+ sky130_fd_sc_hd__buf_2 _3996_ (.A(_0759_),
+    .X(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4062_ (.A(_1720_),
-    .X(_1721_),
+ sky130_fd_sc_hd__buf_2 _3997_ (.A(_1691_),
+    .X(_1692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4063_ (.A1(_1716_),
+ sky130_fd_sc_hd__o22a_4 _3998_ (.A1(_1687_),
     .A2(\u_async_wb.u_resp_if.mem[0][8] ),
-    .B1(_1721_),
+    .B1(_1692_),
     .B2(\u_async_wb.u_resp_if.mem[1][8] ),
-    .X(_1722_),
+    .X(_1693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4064_ (.A(_1702_),
-    .X(_1723_),
+ sky130_fd_sc_hd__buf_2 _3999_ (.A(_1673_),
+    .X(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4065_ (.A(_1723_),
-    .X(_1724_),
+ sky130_fd_sc_hd__buf_2 _4000_ (.A(_1694_),
+    .X(_1695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4066_ (.A1(_1719_),
-    .A2(_1722_),
+ sky130_fd_sc_hd__o22a_4 _4001_ (.A1(_1690_),
+    .A2(_1693_),
     .B1(\reg_rdata[8] ),
-    .B2(_1724_),
+    .B2(_1695_),
     .X(wbm_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4067_ (.A1(_1716_),
+ sky130_fd_sc_hd__o22a_4 _4002_ (.A1(_1687_),
     .A2(\u_async_wb.u_resp_if.mem[0][9] ),
-    .B1(_1721_),
+    .B1(_1692_),
     .B2(\u_async_wb.u_resp_if.mem[1][9] ),
-    .X(_1725_),
+    .X(_1696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4068_ (.A1(_1719_),
-    .A2(_1725_),
+ sky130_fd_sc_hd__o22a_4 _4003_ (.A1(_1690_),
+    .A2(_1696_),
     .B1(\reg_rdata[9] ),
-    .B2(_1724_),
+    .B2(_1695_),
     .X(wbm_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4069_ (.A(_1707_),
-    .X(_1726_),
+ sky130_fd_sc_hd__buf_2 _4004_ (.A(_1678_),
+    .X(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4070_ (.A1(_1726_),
+ sky130_fd_sc_hd__o22a_4 _4005_ (.A1(_1697_),
     .A2(\u_async_wb.u_resp_if.mem[0][10] ),
-    .B1(_1721_),
+    .B1(_1692_),
     .B2(\u_async_wb.u_resp_if.mem[1][10] ),
-    .X(_1727_),
+    .X(_1698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4071_ (.A1(_1719_),
-    .A2(_1727_),
+ sky130_fd_sc_hd__o22a_4 _4006_ (.A1(_1690_),
+    .A2(_1698_),
     .B1(\reg_rdata[10] ),
-    .B2(_1724_),
+    .B2(_1695_),
     .X(wbm_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4072_ (.A1(_1726_),
+ sky130_fd_sc_hd__o22a_4 _4007_ (.A1(_1697_),
     .A2(\u_async_wb.u_resp_if.mem[0][11] ),
-    .B1(_1721_),
+    .B1(_1692_),
     .B2(\u_async_wb.u_resp_if.mem[1][11] ),
-    .X(_1728_),
+    .X(_1699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4073_ (.A1(_1719_),
-    .A2(_1728_),
+ sky130_fd_sc_hd__o22a_4 _4008_ (.A1(_1690_),
+    .A2(_1699_),
     .B1(\reg_rdata[11] ),
-    .B2(_1724_),
+    .B2(_1695_),
     .X(wbm_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4074_ (.A(_1698_),
-    .X(_1729_),
+ sky130_fd_sc_hd__buf_2 _4009_ (.A(_1669_),
+    .X(_1700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4075_ (.A(_1720_),
-    .X(_1730_),
+ sky130_fd_sc_hd__buf_2 _4010_ (.A(_1691_),
+    .X(_1701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4076_ (.A1(_1726_),
+ sky130_fd_sc_hd__o22a_4 _4011_ (.A1(_1697_),
     .A2(\u_async_wb.u_resp_if.mem[0][12] ),
-    .B1(_1730_),
+    .B1(_1701_),
     .B2(\u_async_wb.u_resp_if.mem[1][12] ),
-    .X(_1731_),
+    .X(_1702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4077_ (.A(_1723_),
-    .X(_1732_),
+ sky130_fd_sc_hd__buf_2 _4012_ (.A(_1694_),
+    .X(_1703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4078_ (.A1(_1729_),
-    .A2(_1731_),
+ sky130_fd_sc_hd__o22a_4 _4013_ (.A1(_1700_),
+    .A2(_1702_),
     .B1(\reg_rdata[12] ),
-    .B2(_1732_),
+    .B2(_1703_),
     .X(wbm_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4079_ (.A1(_1726_),
+ sky130_fd_sc_hd__o22a_4 _4014_ (.A1(_1697_),
     .A2(\u_async_wb.u_resp_if.mem[0][13] ),
-    .B1(_1730_),
+    .B1(_1701_),
     .B2(\u_async_wb.u_resp_if.mem[1][13] ),
-    .X(_1733_),
+    .X(_1704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4080_ (.A1(_1729_),
-    .A2(_1733_),
+ sky130_fd_sc_hd__o22a_4 _4015_ (.A1(_1700_),
+    .A2(_1704_),
     .B1(\reg_rdata[13] ),
-    .B2(_1732_),
+    .B2(_1703_),
     .X(wbm_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4081_ (.A(_1707_),
-    .X(_1734_),
+ sky130_fd_sc_hd__buf_2 _4016_ (.A(_1678_),
+    .X(_1705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4082_ (.A1(_1734_),
+ sky130_fd_sc_hd__o22a_4 _4017_ (.A1(_1705_),
     .A2(\u_async_wb.u_resp_if.mem[0][14] ),
-    .B1(_1730_),
+    .B1(_1701_),
     .B2(\u_async_wb.u_resp_if.mem[1][14] ),
-    .X(_1735_),
+    .X(_1706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4083_ (.A1(_1729_),
-    .A2(_1735_),
+ sky130_fd_sc_hd__o22a_4 _4018_ (.A1(_1700_),
+    .A2(_1706_),
     .B1(\reg_rdata[14] ),
-    .B2(_1732_),
+    .B2(_1703_),
     .X(wbm_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4084_ (.A1(_1734_),
+ sky130_fd_sc_hd__o22a_4 _4019_ (.A1(_1705_),
     .A2(\u_async_wb.u_resp_if.mem[0][15] ),
-    .B1(_1730_),
+    .B1(_1701_),
     .B2(\u_async_wb.u_resp_if.mem[1][15] ),
-    .X(_1736_),
+    .X(_1707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4085_ (.A1(_1729_),
-    .A2(_1736_),
+ sky130_fd_sc_hd__o22a_4 _4020_ (.A1(_1700_),
+    .A2(_1707_),
     .B1(\reg_rdata[15] ),
-    .B2(_1732_),
+    .B2(_1703_),
     .X(wbm_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4086_ (.A(_1009_),
-    .X(_1737_),
+ sky130_fd_sc_hd__buf_2 _4021_ (.A(_0992_),
+    .X(_1708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4087_ (.A(_1737_),
-    .X(_1738_),
+ sky130_fd_sc_hd__buf_2 _4022_ (.A(_1708_),
+    .X(_1709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4088_ (.A(_1720_),
-    .X(_1739_),
+ sky130_fd_sc_hd__buf_2 _4023_ (.A(_1691_),
+    .X(_1710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4089_ (.A1(_1734_),
+ sky130_fd_sc_hd__o22a_4 _4024_ (.A1(_1705_),
     .A2(\u_async_wb.u_resp_if.mem[0][16] ),
-    .B1(_1739_),
+    .B1(_1710_),
     .B2(\u_async_wb.u_resp_if.mem[1][16] ),
-    .X(_1740_),
+    .X(_1711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4090_ (.A(_1723_),
-    .X(_1741_),
+ sky130_fd_sc_hd__buf_2 _4025_ (.A(_1694_),
+    .X(_1712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4091_ (.A1(_1738_),
-    .A2(_1740_),
+ sky130_fd_sc_hd__o22a_4 _4026_ (.A1(_1709_),
+    .A2(_1711_),
     .B1(\reg_rdata[16] ),
-    .B2(_1741_),
+    .B2(_1712_),
     .X(wbm_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4092_ (.A1(_1734_),
+ sky130_fd_sc_hd__o22a_4 _4027_ (.A1(_1705_),
     .A2(\u_async_wb.u_resp_if.mem[0][17] ),
-    .B1(_1739_),
+    .B1(_1710_),
     .B2(\u_async_wb.u_resp_if.mem[1][17] ),
-    .X(_1742_),
+    .X(_1713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4093_ (.A1(_1738_),
-    .A2(_1742_),
+ sky130_fd_sc_hd__o22a_4 _4028_ (.A1(_1709_),
+    .A2(_1713_),
     .B1(\reg_rdata[17] ),
-    .B2(_1741_),
+    .B2(_1712_),
     .X(wbm_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4094_ (.A(_1706_),
-    .X(_1743_),
+ sky130_fd_sc_hd__buf_2 _4029_ (.A(_1677_),
+    .X(_1714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4095_ (.A1(_1743_),
+ sky130_fd_sc_hd__o22a_4 _4030_ (.A1(_1714_),
     .A2(\u_async_wb.u_resp_if.mem[0][18] ),
-    .B1(_1739_),
+    .B1(_1710_),
     .B2(\u_async_wb.u_resp_if.mem[1][18] ),
-    .X(_1744_),
+    .X(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4096_ (.A1(_1738_),
-    .A2(_1744_),
+ sky130_fd_sc_hd__o22a_4 _4031_ (.A1(_1709_),
+    .A2(_1715_),
     .B1(\reg_rdata[18] ),
-    .B2(_1741_),
+    .B2(_1712_),
     .X(wbm_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4097_ (.A1(_1743_),
+ sky130_fd_sc_hd__o22a_4 _4032_ (.A1(_1714_),
     .A2(\u_async_wb.u_resp_if.mem[0][19] ),
-    .B1(_1739_),
+    .B1(_1710_),
     .B2(\u_async_wb.u_resp_if.mem[1][19] ),
-    .X(_1745_),
+    .X(_1716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4098_ (.A1(_1738_),
-    .A2(_1745_),
+ sky130_fd_sc_hd__o22a_4 _4033_ (.A1(_1709_),
+    .A2(_1716_),
     .B1(\reg_rdata[19] ),
-    .B2(_1741_),
+    .B2(_1712_),
     .X(wbm_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4099_ (.A(_1737_),
-    .X(_1746_),
+ sky130_fd_sc_hd__buf_2 _4034_ (.A(_1708_),
+    .X(_1717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4100_ (.A(_1720_),
-    .X(_1747_),
+ sky130_fd_sc_hd__buf_2 _4035_ (.A(_1691_),
+    .X(_1718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4101_ (.A1(_1743_),
+ sky130_fd_sc_hd__o22a_4 _4036_ (.A1(_1714_),
     .A2(\u_async_wb.u_resp_if.mem[0][20] ),
-    .B1(_1747_),
+    .B1(_1718_),
     .B2(\u_async_wb.u_resp_if.mem[1][20] ),
-    .X(_1748_),
+    .X(_1719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4102_ (.A(_1723_),
-    .X(_1749_),
+ sky130_fd_sc_hd__buf_2 _4037_ (.A(_1694_),
+    .X(_1720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4103_ (.A1(_1746_),
-    .A2(_1748_),
+ sky130_fd_sc_hd__o22a_4 _4038_ (.A1(_1717_),
+    .A2(_1719_),
     .B1(\reg_rdata[20] ),
-    .B2(_1749_),
+    .B2(_1720_),
     .X(wbm_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4104_ (.A1(_1743_),
+ sky130_fd_sc_hd__o22a_4 _4039_ (.A1(_1714_),
     .A2(\u_async_wb.u_resp_if.mem[0][21] ),
-    .B1(_1747_),
+    .B1(_1718_),
     .B2(\u_async_wb.u_resp_if.mem[1][21] ),
-    .X(_1750_),
+    .X(_1721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4105_ (.A1(_1746_),
-    .A2(_1750_),
+ sky130_fd_sc_hd__o22a_4 _4040_ (.A1(_1717_),
+    .A2(_1721_),
     .B1(\reg_rdata[21] ),
-    .B2(_1749_),
+    .B2(_1720_),
     .X(wbm_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4106_ (.A(_1706_),
-    .X(_1751_),
+ sky130_fd_sc_hd__buf_2 _4041_ (.A(_1677_),
+    .X(_1722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4107_ (.A1(_1751_),
+ sky130_fd_sc_hd__o22a_4 _4042_ (.A1(_1722_),
     .A2(\u_async_wb.u_resp_if.mem[0][22] ),
-    .B1(_1747_),
+    .B1(_1718_),
     .B2(\u_async_wb.u_resp_if.mem[1][22] ),
-    .X(_1752_),
+    .X(_1723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4108_ (.A1(_1746_),
-    .A2(_1752_),
+ sky130_fd_sc_hd__o22a_4 _4043_ (.A1(_1717_),
+    .A2(_1723_),
     .B1(\reg_rdata[22] ),
-    .B2(_1749_),
+    .B2(_1720_),
     .X(wbm_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4109_ (.A1(_1751_),
+ sky130_fd_sc_hd__o22a_4 _4044_ (.A1(_1722_),
     .A2(\u_async_wb.u_resp_if.mem[0][23] ),
-    .B1(_1747_),
+    .B1(_1718_),
     .B2(\u_async_wb.u_resp_if.mem[1][23] ),
-    .X(_1753_),
+    .X(_1724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4110_ (.A1(_1746_),
-    .A2(_1753_),
+ sky130_fd_sc_hd__o22a_4 _4045_ (.A1(_1717_),
+    .A2(_1724_),
     .B1(\reg_rdata[23] ),
-    .B2(_1749_),
+    .B2(_1720_),
     .X(wbm_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4111_ (.A(_1737_),
-    .X(_1754_),
+ sky130_fd_sc_hd__buf_2 _4046_ (.A(_1708_),
+    .X(_1725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4112_ (.A(_0777_),
-    .X(_1755_),
+ sky130_fd_sc_hd__buf_2 _4047_ (.A(_0759_),
+    .X(_1726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4113_ (.A1(_1751_),
+ sky130_fd_sc_hd__o22a_4 _4048_ (.A1(_1722_),
     .A2(\u_async_wb.u_resp_if.mem[0][24] ),
-    .B1(_1755_),
+    .B1(_1726_),
     .B2(\u_async_wb.u_resp_if.mem[1][24] ),
-    .X(_1756_),
+    .X(_1727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4114_ (.A(_1702_),
-    .X(_1757_),
+ sky130_fd_sc_hd__buf_2 _4049_ (.A(_1673_),
+    .X(_1728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4115_ (.A1(_1754_),
-    .A2(_1756_),
+ sky130_fd_sc_hd__o22a_4 _4050_ (.A1(_1725_),
+    .A2(_1727_),
     .B1(\reg_rdata[24] ),
-    .B2(_1757_),
+    .B2(_1728_),
     .X(wbm_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4116_ (.A1(_1751_),
+ sky130_fd_sc_hd__o22a_4 _4051_ (.A1(_1722_),
     .A2(\u_async_wb.u_resp_if.mem[0][25] ),
-    .B1(_1755_),
+    .B1(_1726_),
     .B2(\u_async_wb.u_resp_if.mem[1][25] ),
-    .X(_1758_),
+    .X(_1729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4117_ (.A1(_1754_),
-    .A2(_1758_),
+ sky130_fd_sc_hd__o22a_4 _4052_ (.A1(_1725_),
+    .A2(_1729_),
     .B1(\reg_rdata[25] ),
-    .B2(_1757_),
+    .B2(_1728_),
     .X(wbm_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4118_ (.A(_1706_),
-    .X(_1759_),
+ sky130_fd_sc_hd__buf_2 _4053_ (.A(_1677_),
+    .X(_1730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4119_ (.A1(_1759_),
+ sky130_fd_sc_hd__o22a_4 _4054_ (.A1(_1730_),
     .A2(\u_async_wb.u_resp_if.mem[0][26] ),
-    .B1(_1755_),
+    .B1(_1726_),
     .B2(\u_async_wb.u_resp_if.mem[1][26] ),
-    .X(_1760_),
+    .X(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4120_ (.A1(_1754_),
-    .A2(_1760_),
+ sky130_fd_sc_hd__o22a_4 _4055_ (.A1(_1725_),
+    .A2(_1731_),
     .B1(\reg_rdata[26] ),
-    .B2(_1757_),
+    .B2(_1728_),
     .X(wbm_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4121_ (.A1(_1759_),
+ sky130_fd_sc_hd__o22a_4 _4056_ (.A1(_1730_),
     .A2(\u_async_wb.u_resp_if.mem[0][27] ),
-    .B1(_1755_),
+    .B1(_1726_),
     .B2(\u_async_wb.u_resp_if.mem[1][27] ),
-    .X(_1761_),
+    .X(_1732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4122_ (.A1(_1754_),
-    .A2(_1761_),
+ sky130_fd_sc_hd__o22a_4 _4057_ (.A1(_1725_),
+    .A2(_1732_),
     .B1(\reg_rdata[27] ),
-    .B2(_1757_),
+    .B2(_1728_),
     .X(wbm_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4123_ (.A(_1737_),
-    .X(_1762_),
+ sky130_fd_sc_hd__buf_2 _4058_ (.A(_1708_),
+    .X(_1733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4124_ (.A(_0777_),
-    .X(_1763_),
+ sky130_fd_sc_hd__buf_2 _4059_ (.A(_0759_),
+    .X(_1734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4125_ (.A1(_1759_),
+ sky130_fd_sc_hd__o22a_4 _4060_ (.A1(_1730_),
     .A2(\u_async_wb.u_resp_if.mem[0][28] ),
-    .B1(_1763_),
+    .B1(_1734_),
     .B2(\u_async_wb.u_resp_if.mem[1][28] ),
-    .X(_1764_),
+    .X(_1735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4126_ (.A(_1702_),
-    .X(_1765_),
+ sky130_fd_sc_hd__buf_2 _4061_ (.A(_1673_),
+    .X(_1736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4127_ (.A1(_1762_),
-    .A2(_1764_),
+ sky130_fd_sc_hd__o22a_4 _4062_ (.A1(_1733_),
+    .A2(_1735_),
     .B1(\reg_rdata[28] ),
-    .B2(_1765_),
+    .B2(_1736_),
     .X(wbm_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4128_ (.A1(_1759_),
+ sky130_fd_sc_hd__o22a_4 _4063_ (.A1(_1730_),
     .A2(\u_async_wb.u_resp_if.mem[0][29] ),
-    .B1(_1763_),
+    .B1(_1734_),
     .B2(\u_async_wb.u_resp_if.mem[1][29] ),
-    .X(_1766_),
+    .X(_1737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4129_ (.A1(_1762_),
-    .A2(_1766_),
+ sky130_fd_sc_hd__o22a_4 _4064_ (.A1(_1733_),
+    .A2(_1737_),
     .B1(\reg_rdata[29] ),
-    .B2(_1765_),
+    .B2(_1736_),
     .X(wbm_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4130_ (.A1(_0768_),
+ sky130_fd_sc_hd__o22a_4 _4065_ (.A1(_0750_),
     .A2(\u_async_wb.u_resp_if.mem[0][30] ),
-    .B1(_1763_),
+    .B1(_1734_),
     .B2(\u_async_wb.u_resp_if.mem[1][30] ),
-    .X(_1767_),
+    .X(_1738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4131_ (.A1(_1762_),
-    .A2(_1767_),
+ sky130_fd_sc_hd__o22a_4 _4066_ (.A1(_1733_),
+    .A2(_1738_),
     .B1(\reg_rdata[30] ),
-    .B2(_1765_),
+    .B2(_1736_),
     .X(wbm_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4132_ (.A1(_0768_),
+ sky130_fd_sc_hd__o22a_4 _4067_ (.A1(_0750_),
     .A2(\u_async_wb.u_resp_if.mem[0][31] ),
-    .B1(_1763_),
+    .B1(_1734_),
     .B2(\u_async_wb.u_resp_if.mem[1][31] ),
-    .X(_1768_),
+    .X(_1739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4133_ (.A1(_1762_),
-    .A2(_1768_),
+ sky130_fd_sc_hd__o22a_4 _4068_ (.A1(_1733_),
+    .A2(_1739_),
     .B1(\reg_rdata[31] ),
-    .B2(_1765_),
+    .B2(_1736_),
     .X(wbm_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4134_ (.A(_0939_),
-    .B(_0685_),
-    .X(_1769_),
+ sky130_fd_sc_hd__or2_4 _4069_ (.A(_0923_),
+    .B(_0679_),
+    .X(_1740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4135_ (.A(_1703_),
-    .B(_0791_),
-    .C(_1769_),
-    .X(_1770_),
+ sky130_fd_sc_hd__and3_4 _4070_ (.A(_1674_),
+    .B(_0774_),
+    .C(_1740_),
+    .X(_1741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _4136_ (.A(_0000_),
-    .B(_1770_),
+ sky130_fd_sc_hd__nor2_4 _4071_ (.A(_0000_),
+    .B(_1741_),
     .Y(wbm_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4137_ (.A(_0768_),
+ sky130_fd_sc_hd__or2_4 _4072_ (.A(_0750_),
     .B(\u_async_wb.u_resp_if.mem[0][32] ),
-    .X(_1771_),
+    .X(_1742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4138_ (.A(_0778_),
+ sky130_fd_sc_hd__or2_4 _4073_ (.A(_0760_),
     .B(\u_async_wb.u_resp_if.mem[1][32] ),
-    .X(_1772_),
+    .X(_1743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4139_ (.A(_1703_),
-    .B(_1771_),
-    .C(_1772_),
+ sky130_fd_sc_hd__and3_4 _4074_ (.A(_1674_),
+    .B(_1742_),
+    .C(_1743_),
     .X(wbm_err_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4140_ (.A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .A2(clknet_5_25_0_wbm_clk_i),
-    .B1(_1138_),
+ sky130_fd_sc_hd__o22a_4 _4075_ (.A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .A2(clknet_5_30_0_wbm_clk_i),
+    .B1(_1121_),
     .B2(\u_wbclk.clk_o ),
     .X(wbs_clk_out),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4141_ (.A(_0690_),
-    .X(_1773_),
+ sky130_fd_sc_hd__nor2_4 _4076_ (.A(\u_async_wb.wbs_ack_f ),
+    .B(_0720_),
+    .Y(wbs_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4142_ (.A(_1773_),
-    .X(_1774_),
+ sky130_fd_sc_hd__buf_2 _4077_ (.A(_0684_),
+    .X(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4143_ (.A(_1774_),
-    .X(_1775_),
+ sky130_fd_sc_hd__buf_2 _4078_ (.A(_1744_),
+    .X(_1745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4144_ (.A(_1775_),
+ sky130_fd_sc_hd__buf_2 _4079_ (.A(_1745_),
+    .X(_1746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4080_ (.A(_1746_),
     .B(\u_async_wb.u_cmd_if.mem[2][0] ),
-    .X(_1776_),
+    .X(_1747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4145_ (.A(_0703_),
-    .X(_1777_),
+ sky130_fd_sc_hd__buf_2 _4081_ (.A(_0697_),
+    .X(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4146_ (.A(_1777_),
-    .X(_1778_),
+ sky130_fd_sc_hd__buf_2 _4082_ (.A(_1748_),
+    .X(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4147_ (.A(_1778_),
-    .X(_1779_),
+ sky130_fd_sc_hd__buf_2 _4083_ (.A(_1749_),
+    .X(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4148_ (.A(_1779_),
+ sky130_fd_sc_hd__or2_4 _4084_ (.A(_1750_),
     .B(\u_async_wb.u_cmd_if.mem[3][0] ),
-    .X(_1780_),
+    .X(_1751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4149_ (.A(_0698_),
-    .B(_1776_),
-    .C(_1780_),
-    .X(_1781_),
+ sky130_fd_sc_hd__and3_4 _4085_ (.A(_0692_),
+    .B(_1747_),
+    .C(_1751_),
+    .X(_1752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4150_ (.A(_0708_),
+ sky130_fd_sc_hd__or2_4 _4086_ (.A(_0700_),
     .B(\u_async_wb.u_cmd_if.mem[0][0] ),
-    .X(_1782_),
+    .X(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4151_ (.A(_0706_),
+ sky130_fd_sc_hd__or2_4 _4087_ (.A(_0699_),
     .B(\u_async_wb.u_cmd_if.mem[1][0] ),
-    .X(_1783_),
+    .X(_1754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4152_ (.A(_0716_),
-    .B(_1782_),
-    .C(_1783_),
-    .X(_1784_),
+ sky130_fd_sc_hd__and3_4 _4088_ (.A(_0707_),
+    .B(_1753_),
+    .C(_1754_),
+    .X(_1755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4153_ (.A(_0735_),
-    .X(_1785_),
+ sky130_fd_sc_hd__buf_2 _4089_ (.A(_0719_),
+    .X(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4154_ (.A(_1785_),
-    .X(_1786_),
+ sky130_fd_sc_hd__buf_2 _4090_ (.A(_1756_),
+    .X(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4155_ (.A1(_1781_),
-    .A2(_1784_),
-    .B1(_1786_),
+ sky130_fd_sc_hd__buf_2 _4091_ (.A(_1757_),
+    .X(_1758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4092_ (.A1(_1752_),
+    .A2(_1755_),
+    .B1(_1758_),
     .X(wbs_sel_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4156_ (.A(_1775_),
+ sky130_fd_sc_hd__or2_4 _4093_ (.A(_1746_),
     .B(\u_async_wb.u_cmd_if.mem[2][1] ),
-    .X(_1787_),
+    .X(_1759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4157_ (.A(_1778_),
-    .X(_1788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4158_ (.A(_1788_),
+ sky130_fd_sc_hd__or2_4 _4094_ (.A(_1750_),
     .B(\u_async_wb.u_cmd_if.mem[3][1] ),
-    .X(_1789_),
+    .X(_1760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4159_ (.A(_0698_),
-    .B(_1787_),
-    .C(_1789_),
-    .X(_1790_),
+ sky130_fd_sc_hd__and3_4 _4095_ (.A(_0692_),
+    .B(_1759_),
+    .C(_1760_),
+    .X(_1761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4160_ (.A(_0708_),
+ sky130_fd_sc_hd__or2_4 _4096_ (.A(_0700_),
     .B(\u_async_wb.u_cmd_if.mem[0][1] ),
-    .X(_1791_),
+    .X(_1762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4161_ (.A(_0706_),
+ sky130_fd_sc_hd__or2_4 _4097_ (.A(_0699_),
     .B(\u_async_wb.u_cmd_if.mem[1][1] ),
-    .X(_1792_),
+    .X(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4162_ (.A(_0716_),
-    .B(_1791_),
-    .C(_1792_),
-    .X(_1793_),
+ sky130_fd_sc_hd__and3_4 _4098_ (.A(_0707_),
+    .B(_1762_),
+    .C(_1763_),
+    .X(_1764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4163_ (.A1(_1790_),
-    .A2(_1793_),
-    .B1(_1786_),
+ sky130_fd_sc_hd__o21a_4 _4099_ (.A1(_1761_),
+    .A2(_1764_),
+    .B1(_1758_),
     .X(wbs_sel_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4164_ (.A(_1774_),
-    .X(_1794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4165_ (.A(_1794_),
+ sky130_fd_sc_hd__or2_4 _4100_ (.A(_1746_),
     .B(\u_async_wb.u_cmd_if.mem[2][2] ),
-    .X(_1795_),
+    .X(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4166_ (.A(_1788_),
+ sky130_fd_sc_hd__buf_2 _4101_ (.A(_1749_),
+    .X(_1766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4102_ (.A(_1766_),
     .B(\u_async_wb.u_cmd_if.mem[3][2] ),
-    .X(_1796_),
+    .X(_1767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4167_ (.A(_0698_),
-    .B(_1795_),
-    .C(_1796_),
-    .X(_1797_),
+ sky130_fd_sc_hd__and3_4 _4103_ (.A(_0692_),
+    .B(_1765_),
+    .C(_1767_),
+    .X(_1768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4168_ (.A(_0708_),
+ sky130_fd_sc_hd__or2_4 _4104_ (.A(_0700_),
     .B(\u_async_wb.u_cmd_if.mem[0][2] ),
-    .X(_1798_),
+    .X(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4169_ (.A(_0705_),
-    .X(_1799_),
+ sky130_fd_sc_hd__buf_2 _4105_ (.A(_0697_),
+    .X(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4170_ (.A(_1799_),
-    .X(_1800_),
+ sky130_fd_sc_hd__buf_2 _4106_ (.A(_1770_),
+    .X(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4171_ (.A(_1800_),
+ sky130_fd_sc_hd__buf_2 _4107_ (.A(_1771_),
+    .X(_1772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4108_ (.A(_1772_),
     .B(\u_async_wb.u_cmd_if.mem[1][2] ),
-    .X(_1801_),
+    .X(_1773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4172_ (.A(_0716_),
-    .B(_1798_),
-    .C(_1801_),
-    .X(_1802_),
+ sky130_fd_sc_hd__and3_4 _4109_ (.A(_0707_),
+    .B(_1769_),
+    .C(_1773_),
+    .X(_1774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4173_ (.A1(_1797_),
-    .A2(_1802_),
-    .B1(_1786_),
+ sky130_fd_sc_hd__o21a_4 _4110_ (.A1(_1768_),
+    .A2(_1774_),
+    .B1(_1758_),
     .X(wbs_sel_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4174_ (.A(_0688_),
+ sky130_fd_sc_hd__buf_2 _4111_ (.A(_0683_),
+    .X(_1775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4112_ (.A(_1744_),
+    .X(_1776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4113_ (.A(_1776_),
+    .X(_1777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4114_ (.A(_1777_),
+    .B(\u_async_wb.u_cmd_if.mem[2][3] ),
+    .X(_1778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4115_ (.A(_1766_),
+    .B(\u_async_wb.u_cmd_if.mem[3][3] ),
+    .X(_1779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4116_ (.A(_1775_),
+    .B(_1778_),
+    .C(_1779_),
+    .X(_1780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4117_ (.A(_0704_),
+    .X(_1781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4118_ (.A(_0686_),
+    .X(_1782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4119_ (.A(_1782_),
+    .B(\u_async_wb.u_cmd_if.mem[0][3] ),
+    .X(_1783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4120_ (.A(_1772_),
+    .B(\u_async_wb.u_cmd_if.mem[1][3] ),
+    .X(_1784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4121_ (.A(_1781_),
+    .B(_1783_),
+    .C(_1784_),
+    .X(_1785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4122_ (.A1(_1780_),
+    .A2(_1785_),
+    .B1(_1758_),
+    .X(wbs_sel_o[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4123_ (.A(_1777_),
+    .B(\u_async_wb.u_cmd_if.mem[2][4] ),
+    .X(_1786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4124_ (.A(_1766_),
+    .B(\u_async_wb.u_cmd_if.mem[3][4] ),
+    .X(_1787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4125_ (.A(_1775_),
+    .B(_1786_),
+    .C(_1787_),
+    .X(_1788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4126_ (.A(_1782_),
+    .B(\u_async_wb.u_cmd_if.mem[0][4] ),
+    .X(_1789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4127_ (.A(_1772_),
+    .B(\u_async_wb.u_cmd_if.mem[1][4] ),
+    .X(_1790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4128_ (.A(_1781_),
+    .B(_1789_),
+    .C(_1790_),
+    .X(_1791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4129_ (.A(_1757_),
+    .X(_1792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4130_ (.A1(_1788_),
+    .A2(_1791_),
+    .B1(_1792_),
+    .X(wbs_dat_o[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4131_ (.A(_1777_),
+    .B(\u_async_wb.u_cmd_if.mem[2][5] ),
+    .X(_1793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4132_ (.A(_1766_),
+    .B(\u_async_wb.u_cmd_if.mem[3][5] ),
+    .X(_1794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4133_ (.A(_1775_),
+    .B(_1793_),
+    .C(_1794_),
+    .X(_1795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4134_ (.A(_1782_),
+    .B(\u_async_wb.u_cmd_if.mem[0][5] ),
+    .X(_1796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4135_ (.A(_1772_),
+    .B(\u_async_wb.u_cmd_if.mem[1][5] ),
+    .X(_1797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4136_ (.A(_1781_),
+    .B(_1796_),
+    .C(_1797_),
+    .X(_1798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4137_ (.A1(_1795_),
+    .A2(_1798_),
+    .B1(_1792_),
+    .X(wbs_dat_o[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4138_ (.A(_1777_),
+    .B(\u_async_wb.u_cmd_if.mem[2][6] ),
+    .X(_1799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4139_ (.A(_1748_),
+    .X(_1800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4140_ (.A(_1800_),
+    .X(_1801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4141_ (.A(_1801_),
+    .B(\u_async_wb.u_cmd_if.mem[3][6] ),
+    .X(_1802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4142_ (.A(_1775_),
+    .B(_1799_),
+    .C(_1802_),
     .X(_1803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4175_ (.A(_1803_),
+ sky130_fd_sc_hd__or2_4 _4143_ (.A(_1782_),
+    .B(\u_async_wb.u_cmd_if.mem[0][6] ),
     .X(_1804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4176_ (.A(_1794_),
-    .B(\u_async_wb.u_cmd_if.mem[2][3] ),
+ sky130_fd_sc_hd__buf_2 _4144_ (.A(_1771_),
     .X(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4177_ (.A(_1788_),
-    .B(\u_async_wb.u_cmd_if.mem[3][3] ),
+ sky130_fd_sc_hd__or2_4 _4145_ (.A(_1805_),
+    .B(\u_async_wb.u_cmd_if.mem[1][6] ),
     .X(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4178_ (.A(_1804_),
-    .B(_1805_),
+ sky130_fd_sc_hd__and3_4 _4146_ (.A(_1781_),
+    .B(_1804_),
     .C(_1806_),
     .X(_1807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4179_ (.A(_0712_),
-    .X(_1808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4180_ (.A(_0707_),
-    .X(_1809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4181_ (.A(_1809_),
-    .B(\u_async_wb.u_cmd_if.mem[0][3] ),
-    .X(_1810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4182_ (.A(_1800_),
-    .B(\u_async_wb.u_cmd_if.mem[1][3] ),
-    .X(_1811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4183_ (.A(_1808_),
-    .B(_1810_),
-    .C(_1811_),
-    .X(_1812_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4184_ (.A1(_1807_),
-    .A2(_1812_),
-    .B1(_1786_),
-    .X(wbs_sel_o[3]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4185_ (.A(_1794_),
-    .B(\u_async_wb.u_cmd_if.mem[2][4] ),
-    .X(_1813_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4186_ (.A(_1788_),
-    .B(\u_async_wb.u_cmd_if.mem[3][4] ),
-    .X(_1814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4187_ (.A(_1804_),
-    .B(_1813_),
-    .C(_1814_),
-    .X(_1815_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4188_ (.A(_1809_),
-    .B(\u_async_wb.u_cmd_if.mem[0][4] ),
-    .X(_1816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4189_ (.A(_1800_),
-    .B(\u_async_wb.u_cmd_if.mem[1][4] ),
-    .X(_1817_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4190_ (.A(_1808_),
-    .B(_1816_),
-    .C(_1817_),
-    .X(_1818_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4191_ (.A(_1785_),
-    .X(_1819_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4192_ (.A1(_1815_),
-    .A2(_1818_),
-    .B1(_1819_),
-    .X(wbs_dat_o[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4193_ (.A(_1794_),
-    .B(\u_async_wb.u_cmd_if.mem[2][5] ),
-    .X(_1820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4194_ (.A(_1778_),
-    .X(_1821_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4195_ (.A(_1821_),
-    .B(\u_async_wb.u_cmd_if.mem[3][5] ),
-    .X(_1822_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4196_ (.A(_1804_),
-    .B(_1820_),
-    .C(_1822_),
-    .X(_1823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4197_ (.A(_1809_),
-    .B(\u_async_wb.u_cmd_if.mem[0][5] ),
-    .X(_1824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4198_ (.A(_1800_),
-    .B(\u_async_wb.u_cmd_if.mem[1][5] ),
-    .X(_1825_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4199_ (.A(_1808_),
-    .B(_1824_),
-    .C(_1825_),
-    .X(_1826_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4200_ (.A1(_1823_),
-    .A2(_1826_),
-    .B1(_1819_),
-    .X(wbs_dat_o[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4201_ (.A(_1774_),
-    .X(_1827_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4202_ (.A(_1827_),
-    .B(\u_async_wb.u_cmd_if.mem[2][6] ),
-    .X(_1828_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4203_ (.A(_1821_),
-    .B(\u_async_wb.u_cmd_if.mem[3][6] ),
-    .X(_1829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4204_ (.A(_1804_),
-    .B(_1828_),
-    .C(_1829_),
-    .X(_1830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4205_ (.A(_1809_),
-    .B(\u_async_wb.u_cmd_if.mem[0][6] ),
-    .X(_1831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4206_ (.A(_1799_),
-    .X(_1832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4207_ (.A(_1832_),
-    .B(\u_async_wb.u_cmd_if.mem[1][6] ),
-    .X(_1833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4208_ (.A(_1808_),
-    .B(_1831_),
-    .C(_1833_),
-    .X(_1834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4209_ (.A1(_1830_),
-    .A2(_1834_),
-    .B1(_1819_),
+ sky130_fd_sc_hd__o21a_4 _4147_ (.A1(_1803_),
+    .A2(_1807_),
+    .B1(_1792_),
     .X(wbs_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4210_ (.A(_1803_),
-    .X(_1835_),
+ sky130_fd_sc_hd__buf_2 _4148_ (.A(_0682_),
+    .X(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4211_ (.A(_1827_),
+ sky130_fd_sc_hd__buf_2 _4149_ (.A(_1808_),
+    .X(_1809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4150_ (.A(_1776_),
+    .X(_1810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4151_ (.A(_1810_),
     .B(\u_async_wb.u_cmd_if.mem[2][7] ),
-    .X(_1836_),
+    .X(_1811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4212_ (.A(_1821_),
+ sky130_fd_sc_hd__or2_4 _4152_ (.A(_1801_),
     .B(\u_async_wb.u_cmd_if.mem[3][7] ),
-    .X(_1837_),
+    .X(_1812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4213_ (.A(_1835_),
-    .B(_1836_),
-    .C(_1837_),
-    .X(_1838_),
+ sky130_fd_sc_hd__and3_4 _4153_ (.A(_1809_),
+    .B(_1811_),
+    .C(_1812_),
+    .X(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4214_ (.A(_0714_),
-    .X(_1839_),
+ sky130_fd_sc_hd__buf_2 _4154_ (.A(_0704_),
+    .X(_1814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4215_ (.A(_1839_),
-    .X(_1840_),
+ sky130_fd_sc_hd__buf_2 _4155_ (.A(_0685_),
+    .X(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4216_ (.A(_0707_),
-    .X(_1841_),
+ sky130_fd_sc_hd__buf_2 _4156_ (.A(_1815_),
+    .X(_1816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4217_ (.A(_1841_),
+ sky130_fd_sc_hd__or2_4 _4157_ (.A(_1816_),
     .B(\u_async_wb.u_cmd_if.mem[0][7] ),
-    .X(_1842_),
+    .X(_1817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4218_ (.A(_1832_),
+ sky130_fd_sc_hd__or2_4 _4158_ (.A(_1805_),
     .B(\u_async_wb.u_cmd_if.mem[1][7] ),
-    .X(_1843_),
+    .X(_1818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4219_ (.A(_1840_),
-    .B(_1842_),
-    .C(_1843_),
-    .X(_1844_),
+ sky130_fd_sc_hd__and3_4 _4159_ (.A(_1814_),
+    .B(_1817_),
+    .C(_1818_),
+    .X(_1819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4220_ (.A1(_1838_),
-    .A2(_1844_),
-    .B1(_1819_),
+ sky130_fd_sc_hd__o21a_4 _4160_ (.A1(_1813_),
+    .A2(_1819_),
+    .B1(_1792_),
     .X(wbs_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4221_ (.A(_1827_),
+ sky130_fd_sc_hd__or2_4 _4161_ (.A(_1810_),
     .B(\u_async_wb.u_cmd_if.mem[2][8] ),
-    .X(_1845_),
+    .X(_1820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4222_ (.A(_1821_),
+ sky130_fd_sc_hd__or2_4 _4162_ (.A(_1801_),
     .B(\u_async_wb.u_cmd_if.mem[3][8] ),
-    .X(_1846_),
+    .X(_1821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4223_ (.A(_1835_),
-    .B(_1845_),
-    .C(_1846_),
-    .X(_1847_),
+ sky130_fd_sc_hd__and3_4 _4163_ (.A(_1809_),
+    .B(_1820_),
+    .C(_1821_),
+    .X(_1822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4224_ (.A(_1841_),
+ sky130_fd_sc_hd__or2_4 _4164_ (.A(_1816_),
     .B(\u_async_wb.u_cmd_if.mem[0][8] ),
-    .X(_1848_),
+    .X(_1823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4225_ (.A(_1832_),
+ sky130_fd_sc_hd__or2_4 _4165_ (.A(_1805_),
     .B(\u_async_wb.u_cmd_if.mem[1][8] ),
-    .X(_1849_),
+    .X(_1824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4226_ (.A(_1840_),
-    .B(_1848_),
-    .C(_1849_),
-    .X(_1850_),
+ sky130_fd_sc_hd__and3_4 _4166_ (.A(_1814_),
+    .B(_1823_),
+    .C(_1824_),
+    .X(_1825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4227_ (.A(_1785_),
-    .X(_1851_),
+ sky130_fd_sc_hd__buf_2 _4167_ (.A(_1757_),
+    .X(_1826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4228_ (.A1(_1847_),
-    .A2(_1850_),
-    .B1(_1851_),
+ sky130_fd_sc_hd__o21a_4 _4168_ (.A1(_1822_),
+    .A2(_1825_),
+    .B1(_1826_),
     .X(wbs_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4229_ (.A(_1827_),
+ sky130_fd_sc_hd__or2_4 _4169_ (.A(_1810_),
     .B(\u_async_wb.u_cmd_if.mem[2][9] ),
+    .X(_1827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4170_ (.A(_1801_),
+    .B(\u_async_wb.u_cmd_if.mem[3][9] ),
+    .X(_1828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4171_ (.A(_1809_),
+    .B(_1827_),
+    .C(_1828_),
+    .X(_1829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4172_ (.A(_1816_),
+    .B(\u_async_wb.u_cmd_if.mem[0][9] ),
+    .X(_1830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4173_ (.A(_1805_),
+    .B(\u_async_wb.u_cmd_if.mem[1][9] ),
+    .X(_1831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4174_ (.A(_1814_),
+    .B(_1830_),
+    .C(_1831_),
+    .X(_1832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4175_ (.A1(_1829_),
+    .A2(_1832_),
+    .B1(_1826_),
+    .X(wbs_dat_o[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4176_ (.A(_1810_),
+    .B(\u_async_wb.u_cmd_if.mem[2][10] ),
+    .X(_1833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4177_ (.A(_1800_),
+    .X(_1834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4178_ (.A(_1834_),
+    .B(\u_async_wb.u_cmd_if.mem[3][10] ),
+    .X(_1835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4179_ (.A(_1809_),
+    .B(_1833_),
+    .C(_1835_),
+    .X(_1836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4180_ (.A(_1816_),
+    .B(\u_async_wb.u_cmd_if.mem[0][10] ),
+    .X(_1837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4181_ (.A(_1770_),
+    .X(_1838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4182_ (.A(_1838_),
+    .X(_1839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4183_ (.A(_1839_),
+    .B(\u_async_wb.u_cmd_if.mem[1][10] ),
+    .X(_1840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4184_ (.A(_1814_),
+    .B(_1837_),
+    .C(_1840_),
+    .X(_1841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4185_ (.A1(_1836_),
+    .A2(_1841_),
+    .B1(_1826_),
+    .X(wbs_dat_o[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4186_ (.A(_1808_),
+    .X(_1842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4187_ (.A(_1776_),
+    .X(_1843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4188_ (.A(_1843_),
+    .B(\u_async_wb.u_cmd_if.mem[2][11] ),
+    .X(_1844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4189_ (.A(_1834_),
+    .B(\u_async_wb.u_cmd_if.mem[3][11] ),
+    .X(_1845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4190_ (.A(_1842_),
+    .B(_1844_),
+    .C(_1845_),
+    .X(_1846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4191_ (.A(_0706_),
+    .X(_1847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4192_ (.A(_1847_),
+    .X(_1848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4193_ (.A(_1815_),
+    .X(_1849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4194_ (.A(_1849_),
+    .B(\u_async_wb.u_cmd_if.mem[0][11] ),
+    .X(_1850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4195_ (.A(_1839_),
+    .B(\u_async_wb.u_cmd_if.mem[1][11] ),
+    .X(_1851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4196_ (.A(_1848_),
+    .B(_1850_),
+    .C(_1851_),
     .X(_1852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4230_ (.A(_1778_),
+ sky130_fd_sc_hd__o21a_4 _4197_ (.A1(_1846_),
+    .A2(_1852_),
+    .B1(_1826_),
+    .X(wbs_dat_o[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4198_ (.A(_1843_),
+    .B(\u_async_wb.u_cmd_if.mem[2][12] ),
     .X(_1853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4231_ (.A(_1853_),
-    .B(\u_async_wb.u_cmd_if.mem[3][9] ),
+ sky130_fd_sc_hd__or2_4 _4199_ (.A(_1834_),
+    .B(\u_async_wb.u_cmd_if.mem[3][12] ),
     .X(_1854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4232_ (.A(_1835_),
-    .B(_1852_),
+ sky130_fd_sc_hd__and3_4 _4200_ (.A(_1842_),
+    .B(_1853_),
     .C(_1854_),
     .X(_1855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4233_ (.A(_1841_),
-    .B(\u_async_wb.u_cmd_if.mem[0][9] ),
+ sky130_fd_sc_hd__or2_4 _4201_ (.A(_1849_),
+    .B(\u_async_wb.u_cmd_if.mem[0][12] ),
     .X(_1856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4234_ (.A(_1832_),
-    .B(\u_async_wb.u_cmd_if.mem[1][9] ),
+ sky130_fd_sc_hd__or2_4 _4202_ (.A(_1839_),
+    .B(\u_async_wb.u_cmd_if.mem[1][12] ),
     .X(_1857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4235_ (.A(_1840_),
+ sky130_fd_sc_hd__and3_4 _4203_ (.A(_1848_),
     .B(_1856_),
     .C(_1857_),
     .X(_1858_),
@@ -13543,309 +13565,313 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4236_ (.A1(_1855_),
-    .A2(_1858_),
-    .B1(_1851_),
-    .X(wbs_dat_o[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4237_ (.A(_0691_),
+ sky130_fd_sc_hd__buf_2 _4204_ (.A(_1757_),
     .X(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4238_ (.A(_1859_),
-    .X(_1860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4239_ (.A(_1860_),
-    .B(\u_async_wb.u_cmd_if.mem[2][10] ),
-    .X(_1861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4240_ (.A(_1853_),
-    .B(\u_async_wb.u_cmd_if.mem[3][10] ),
-    .X(_1862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4241_ (.A(_1835_),
-    .B(_1861_),
-    .C(_1862_),
-    .X(_1863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4242_ (.A(_1841_),
-    .B(\u_async_wb.u_cmd_if.mem[0][10] ),
-    .X(_1864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4243_ (.A(_1799_),
-    .X(_1865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4244_ (.A(_1865_),
-    .B(\u_async_wb.u_cmd_if.mem[1][10] ),
-    .X(_1866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4245_ (.A(_1840_),
-    .B(_1864_),
-    .C(_1866_),
-    .X(_1867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4246_ (.A1(_1863_),
-    .A2(_1867_),
-    .B1(_1851_),
-    .X(wbs_dat_o[6]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4247_ (.A(_1803_),
-    .X(_1868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4248_ (.A(_1860_),
-    .B(\u_async_wb.u_cmd_if.mem[2][11] ),
-    .X(_1869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4249_ (.A(_1853_),
-    .B(\u_async_wb.u_cmd_if.mem[3][11] ),
-    .X(_1870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4250_ (.A(_1868_),
-    .B(_1869_),
-    .C(_1870_),
-    .X(_1871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4251_ (.A(_1839_),
-    .X(_1872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4252_ (.A(_0707_),
-    .X(_1873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4253_ (.A(_1873_),
-    .B(\u_async_wb.u_cmd_if.mem[0][11] ),
-    .X(_1874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4254_ (.A(_1865_),
-    .B(\u_async_wb.u_cmd_if.mem[1][11] ),
-    .X(_1875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4255_ (.A(_1872_),
-    .B(_1874_),
-    .C(_1875_),
-    .X(_1876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4256_ (.A1(_1871_),
-    .A2(_1876_),
-    .B1(_1851_),
-    .X(wbs_dat_o[7]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4257_ (.A(_1860_),
-    .B(\u_async_wb.u_cmd_if.mem[2][12] ),
-    .X(_1877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4258_ (.A(_1853_),
-    .B(\u_async_wb.u_cmd_if.mem[3][12] ),
-    .X(_1878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4259_ (.A(_1868_),
-    .B(_1877_),
-    .C(_1878_),
-    .X(_1879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4260_ (.A(_1873_),
-    .B(\u_async_wb.u_cmd_if.mem[0][12] ),
-    .X(_1880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4261_ (.A(_1865_),
-    .B(\u_async_wb.u_cmd_if.mem[1][12] ),
-    .X(_1881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4262_ (.A(_1872_),
-    .B(_1880_),
-    .C(_1881_),
-    .X(_1882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4263_ (.A(_0735_),
-    .X(_1883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4264_ (.A(_1883_),
-    .X(_1884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4265_ (.A(_1884_),
-    .X(_1885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4266_ (.A1(_1879_),
-    .A2(_1882_),
-    .B1(_1885_),
+ sky130_fd_sc_hd__o21a_4 _4205_ (.A1(_1855_),
+    .A2(_1858_),
+    .B1(_1859_),
     .X(wbs_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4267_ (.A(_1860_),
+ sky130_fd_sc_hd__or2_4 _4206_ (.A(_1843_),
     .B(\u_async_wb.u_cmd_if.mem[2][13] ),
-    .X(_1886_),
+    .X(_1860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4268_ (.A(_0704_),
-    .X(_1887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4269_ (.A(_1887_),
-    .X(_1888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4270_ (.A(_1888_),
+ sky130_fd_sc_hd__or2_4 _4207_ (.A(_1834_),
     .B(\u_async_wb.u_cmd_if.mem[3][13] ),
-    .X(_1889_),
+    .X(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4271_ (.A(_1868_),
-    .B(_1886_),
-    .C(_1889_),
-    .X(_1890_),
+ sky130_fd_sc_hd__and3_4 _4208_ (.A(_1842_),
+    .B(_1860_),
+    .C(_1861_),
+    .X(_1862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4272_ (.A(_1873_),
+ sky130_fd_sc_hd__or2_4 _4209_ (.A(_1849_),
     .B(\u_async_wb.u_cmd_if.mem[0][13] ),
-    .X(_1891_),
+    .X(_1863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4273_ (.A(_1865_),
+ sky130_fd_sc_hd__or2_4 _4210_ (.A(_1839_),
     .B(\u_async_wb.u_cmd_if.mem[1][13] ),
-    .X(_1892_),
+    .X(_1864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4274_ (.A(_1872_),
-    .B(_1891_),
-    .C(_1892_),
-    .X(_1893_),
+ sky130_fd_sc_hd__and3_4 _4211_ (.A(_1848_),
+    .B(_1863_),
+    .C(_1864_),
+    .X(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4275_ (.A1(_1890_),
-    .A2(_1893_),
-    .B1(_1885_),
+ sky130_fd_sc_hd__o21a_4 _4212_ (.A1(_1862_),
+    .A2(_1865_),
+    .B1(_1859_),
     .X(wbs_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4276_ (.A(_1859_),
+ sky130_fd_sc_hd__or2_4 _4213_ (.A(_1843_),
+    .B(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .X(_1866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4214_ (.A(_1800_),
+    .X(_1867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4215_ (.A(_1867_),
+    .B(\u_async_wb.u_cmd_if.mem[3][14] ),
+    .X(_1868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4216_ (.A(_1842_),
+    .B(_1866_),
+    .C(_1868_),
+    .X(_1869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4217_ (.A(_1849_),
+    .B(\u_async_wb.u_cmd_if.mem[0][14] ),
+    .X(_1870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4218_ (.A(_1838_),
+    .X(_1871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4219_ (.A(_1871_),
+    .B(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .X(_1872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4220_ (.A(_1848_),
+    .B(_1870_),
+    .C(_1872_),
+    .X(_1873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4221_ (.A1(_1869_),
+    .A2(_1873_),
+    .B1(_1859_),
+    .X(wbs_dat_o[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4222_ (.A(_1808_),
+    .X(_1874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4223_ (.A(_1776_),
+    .X(_1875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4224_ (.A(_1875_),
+    .B(\u_async_wb.u_cmd_if.mem[2][15] ),
+    .X(_1876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4225_ (.A(_1867_),
+    .B(\u_async_wb.u_cmd_if.mem[3][15] ),
+    .X(_1877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4226_ (.A(_1874_),
+    .B(_1876_),
+    .C(_1877_),
+    .X(_1878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4227_ (.A(_1847_),
+    .X(_1879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4228_ (.A(_1815_),
+    .X(_1880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4229_ (.A(_1880_),
+    .B(\u_async_wb.u_cmd_if.mem[0][15] ),
+    .X(_1881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4230_ (.A(_1871_),
+    .B(\u_async_wb.u_cmd_if.mem[1][15] ),
+    .X(_1882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4231_ (.A(_1879_),
+    .B(_1881_),
+    .C(_1882_),
+    .X(_1883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4232_ (.A1(_1878_),
+    .A2(_1883_),
+    .B1(_1859_),
+    .X(wbs_dat_o[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4233_ (.A(_1875_),
+    .B(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .X(_1884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4234_ (.A(_1867_),
+    .B(\u_async_wb.u_cmd_if.mem[3][16] ),
+    .X(_1885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4235_ (.A(_1874_),
+    .B(_1884_),
+    .C(_1885_),
+    .X(_1886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4236_ (.A(_1880_),
+    .B(\u_async_wb.u_cmd_if.mem[0][16] ),
+    .X(_1887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4237_ (.A(_1871_),
+    .B(\u_async_wb.u_cmd_if.mem[1][16] ),
+    .X(_1888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4238_ (.A(_1879_),
+    .B(_1887_),
+    .C(_1888_),
+    .X(_1889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4239_ (.A(_1756_),
+    .X(_1890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4240_ (.A(_1890_),
+    .X(_1891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4241_ (.A1(_1886_),
+    .A2(_1889_),
+    .B1(_1891_),
+    .X(wbs_dat_o[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4242_ (.A(_1875_),
+    .B(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .X(_1892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4243_ (.A(_1867_),
+    .B(\u_async_wb.u_cmd_if.mem[3][17] ),
+    .X(_1893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4244_ (.A(_1874_),
+    .B(_1892_),
+    .C(_1893_),
     .X(_1894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4277_ (.A(_1894_),
-    .B(\u_async_wb.u_cmd_if.mem[2][14] ),
+ sky130_fd_sc_hd__or2_4 _4245_ (.A(_1880_),
+    .B(\u_async_wb.u_cmd_if.mem[0][17] ),
     .X(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4278_ (.A(_1888_),
-    .B(\u_async_wb.u_cmd_if.mem[3][14] ),
+ sky130_fd_sc_hd__or2_4 _4246_ (.A(_1871_),
+    .B(\u_async_wb.u_cmd_if.mem[1][17] ),
     .X(_1896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4279_ (.A(_1868_),
+ sky130_fd_sc_hd__and3_4 _4247_ (.A(_1879_),
     .B(_1895_),
     .C(_1896_),
     .X(_1897_),
@@ -13853,27 +13879,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4280_ (.A(_1873_),
-    .B(\u_async_wb.u_cmd_if.mem[0][14] ),
+ sky130_fd_sc_hd__o21a_4 _4248_ (.A1(_1894_),
+    .A2(_1897_),
+    .B1(_1891_),
+    .X(wbs_dat_o[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4249_ (.A(_1875_),
+    .B(\u_async_wb.u_cmd_if.mem[2][18] ),
     .X(_1898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4281_ (.A(_1799_),
+ sky130_fd_sc_hd__buf_2 _4250_ (.A(_1800_),
     .X(_1899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4282_ (.A(_1899_),
-    .B(\u_async_wb.u_cmd_if.mem[1][14] ),
+ sky130_fd_sc_hd__or2_4 _4251_ (.A(_1899_),
+    .B(\u_async_wb.u_cmd_if.mem[3][18] ),
     .X(_1900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4283_ (.A(_1872_),
+ sky130_fd_sc_hd__and3_4 _4252_ (.A(_1874_),
     .B(_1898_),
     .C(_1900_),
     .X(_1901_),
@@ -13881,75 +13915,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4284_ (.A1(_1897_),
-    .A2(_1901_),
-    .B1(_1885_),
-    .X(wbs_dat_o[10]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4285_ (.A(_1803_),
+ sky130_fd_sc_hd__or2_4 _4253_ (.A(_1880_),
+    .B(\u_async_wb.u_cmd_if.mem[0][18] ),
     .X(_1902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4286_ (.A(_1894_),
-    .B(\u_async_wb.u_cmd_if.mem[2][15] ),
+ sky130_fd_sc_hd__buf_2 _4254_ (.A(_1838_),
     .X(_1903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4287_ (.A(_1888_),
-    .B(\u_async_wb.u_cmd_if.mem[3][15] ),
+ sky130_fd_sc_hd__or2_4 _4255_ (.A(_1903_),
+    .B(\u_async_wb.u_cmd_if.mem[1][18] ),
     .X(_1904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4288_ (.A(_1902_),
-    .B(_1903_),
+ sky130_fd_sc_hd__and3_4 _4256_ (.A(_1879_),
+    .B(_1902_),
     .C(_1904_),
     .X(_1905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4289_ (.A(_1839_),
+ sky130_fd_sc_hd__o21a_4 _4257_ (.A1(_1901_),
+    .A2(_1905_),
+    .B1(_1891_),
+    .X(wbs_dat_o[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4258_ (.A(_1808_),
     .X(_1906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4290_ (.A(_1773_),
+ sky130_fd_sc_hd__buf_2 _4259_ (.A(_1744_),
     .X(_1907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4291_ (.A(_1907_),
+ sky130_fd_sc_hd__buf_2 _4260_ (.A(_1907_),
     .X(_1908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4292_ (.A(_1908_),
-    .B(\u_async_wb.u_cmd_if.mem[0][15] ),
+ sky130_fd_sc_hd__or2_4 _4261_ (.A(_1908_),
+    .B(\u_async_wb.u_cmd_if.mem[2][19] ),
     .X(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4293_ (.A(_1899_),
-    .B(\u_async_wb.u_cmd_if.mem[1][15] ),
+ sky130_fd_sc_hd__or2_4 _4262_ (.A(_1899_),
+    .B(\u_async_wb.u_cmd_if.mem[3][19] ),
     .X(_1910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4294_ (.A(_1906_),
+ sky130_fd_sc_hd__and3_4 _4263_ (.A(_1906_),
     .B(_1909_),
     .C(_1910_),
     .X(_1911_),
@@ -13957,151 +13991,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4295_ (.A1(_1905_),
-    .A2(_1911_),
-    .B1(_1885_),
-    .X(wbs_dat_o[11]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4296_ (.A(_1894_),
-    .B(\u_async_wb.u_cmd_if.mem[2][16] ),
+ sky130_fd_sc_hd__buf_2 _4264_ (.A(_1847_),
     .X(_1912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4297_ (.A(_1888_),
-    .B(\u_async_wb.u_cmd_if.mem[3][16] ),
+ sky130_fd_sc_hd__buf_2 _4265_ (.A(_1815_),
     .X(_1913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4298_ (.A(_1902_),
-    .B(_1912_),
-    .C(_1913_),
+ sky130_fd_sc_hd__or2_4 _4266_ (.A(_1913_),
+    .B(\u_async_wb.u_cmd_if.mem[0][19] ),
     .X(_1914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4299_ (.A(_1908_),
-    .B(\u_async_wb.u_cmd_if.mem[0][16] ),
+ sky130_fd_sc_hd__or2_4 _4267_ (.A(_1903_),
+    .B(\u_async_wb.u_cmd_if.mem[1][19] ),
     .X(_1915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4300_ (.A(_1899_),
-    .B(\u_async_wb.u_cmd_if.mem[1][16] ),
+ sky130_fd_sc_hd__and3_4 _4268_ (.A(_1912_),
+    .B(_1914_),
+    .C(_1915_),
     .X(_1916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4301_ (.A(_1906_),
-    .B(_1915_),
-    .C(_1916_),
+ sky130_fd_sc_hd__o21a_4 _4269_ (.A1(_1911_),
+    .A2(_1916_),
+    .B1(_1891_),
+    .X(wbs_dat_o[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4270_ (.A(_1908_),
+    .B(\u_async_wb.u_cmd_if.mem[2][20] ),
     .X(_1917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4302_ (.A(_1884_),
+ sky130_fd_sc_hd__or2_4 _4271_ (.A(_1899_),
+    .B(\u_async_wb.u_cmd_if.mem[3][20] ),
     .X(_1918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4303_ (.A1(_1914_),
-    .A2(_1917_),
-    .B1(_1918_),
-    .X(wbs_dat_o[12]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4304_ (.A(_1894_),
-    .B(\u_async_wb.u_cmd_if.mem[2][17] ),
+ sky130_fd_sc_hd__and3_4 _4272_ (.A(_1906_),
+    .B(_1917_),
+    .C(_1918_),
     .X(_1919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4305_ (.A(_1887_),
+ sky130_fd_sc_hd__or2_4 _4273_ (.A(_1913_),
+    .B(\u_async_wb.u_cmd_if.mem[0][20] ),
     .X(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4306_ (.A(_1920_),
-    .B(\u_async_wb.u_cmd_if.mem[3][17] ),
+ sky130_fd_sc_hd__or2_4 _4274_ (.A(_1903_),
+    .B(\u_async_wb.u_cmd_if.mem[1][20] ),
     .X(_1921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4307_ (.A(_1902_),
-    .B(_1919_),
+ sky130_fd_sc_hd__and3_4 _4275_ (.A(_1912_),
+    .B(_1920_),
     .C(_1921_),
     .X(_1922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4308_ (.A(_1908_),
-    .B(\u_async_wb.u_cmd_if.mem[0][17] ),
+ sky130_fd_sc_hd__buf_2 _4276_ (.A(_1890_),
     .X(_1923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4309_ (.A(_1899_),
-    .B(\u_async_wb.u_cmd_if.mem[1][17] ),
+ sky130_fd_sc_hd__o21a_4 _4277_ (.A1(_1919_),
+    .A2(_1922_),
+    .B1(_1923_),
+    .X(wbs_dat_o[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4278_ (.A(_1908_),
+    .B(\u_async_wb.u_cmd_if.mem[2][21] ),
     .X(_1924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4310_ (.A(_1906_),
-    .B(_1923_),
-    .C(_1924_),
+ sky130_fd_sc_hd__or2_4 _4279_ (.A(_1899_),
+    .B(\u_async_wb.u_cmd_if.mem[3][21] ),
     .X(_1925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4311_ (.A1(_1922_),
-    .A2(_1925_),
-    .B1(_1918_),
-    .X(wbs_dat_o[13]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4312_ (.A(_1859_),
+ sky130_fd_sc_hd__and3_4 _4280_ (.A(_1906_),
+    .B(_1924_),
+    .C(_1925_),
     .X(_1926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4313_ (.A(_1926_),
-    .B(\u_async_wb.u_cmd_if.mem[2][18] ),
+ sky130_fd_sc_hd__or2_4 _4281_ (.A(_1913_),
+    .B(\u_async_wb.u_cmd_if.mem[0][21] ),
     .X(_1927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4314_ (.A(_1920_),
-    .B(\u_async_wb.u_cmd_if.mem[3][18] ),
+ sky130_fd_sc_hd__or2_4 _4282_ (.A(_1903_),
+    .B(\u_async_wb.u_cmd_if.mem[1][21] ),
     .X(_1928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4315_ (.A(_1902_),
+ sky130_fd_sc_hd__and3_4 _4283_ (.A(_1912_),
     .B(_1927_),
     .C(_1928_),
     .X(_1929_),
@@ -14109,33 +14135,41 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4316_ (.A(_1908_),
-    .B(\u_async_wb.u_cmd_if.mem[0][18] ),
+ sky130_fd_sc_hd__o21a_4 _4284_ (.A1(_1926_),
+    .A2(_1929_),
+    .B1(_1923_),
+    .X(wbs_dat_o[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4285_ (.A(_1908_),
+    .B(\u_async_wb.u_cmd_if.mem[2][22] ),
     .X(_1930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4317_ (.A(_1777_),
+ sky130_fd_sc_hd__buf_2 _4286_ (.A(_1748_),
     .X(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4318_ (.A(_1931_),
+ sky130_fd_sc_hd__buf_2 _4287_ (.A(_1931_),
     .X(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4319_ (.A(_1932_),
-    .B(\u_async_wb.u_cmd_if.mem[1][18] ),
+ sky130_fd_sc_hd__or2_4 _4288_ (.A(_1932_),
+    .B(\u_async_wb.u_cmd_if.mem[3][22] ),
     .X(_1933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4320_ (.A(_1906_),
+ sky130_fd_sc_hd__and3_4 _4289_ (.A(_1906_),
     .B(_1930_),
     .C(_1933_),
     .X(_1934_),
@@ -14143,75 +14177,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4321_ (.A1(_1929_),
-    .A2(_1934_),
-    .B1(_1918_),
-    .X(wbs_dat_o[14]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4322_ (.A(_0688_),
+ sky130_fd_sc_hd__or2_4 _4290_ (.A(_1913_),
+    .B(\u_async_wb.u_cmd_if.mem[0][22] ),
     .X(_1935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4323_ (.A(_1935_),
+ sky130_fd_sc_hd__buf_2 _4291_ (.A(_1838_),
     .X(_1936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4324_ (.A(_1926_),
-    .B(\u_async_wb.u_cmd_if.mem[2][19] ),
+ sky130_fd_sc_hd__or2_4 _4292_ (.A(_1936_),
+    .B(\u_async_wb.u_cmd_if.mem[1][22] ),
     .X(_1937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4325_ (.A(_1920_),
-    .B(\u_async_wb.u_cmd_if.mem[3][19] ),
+ sky130_fd_sc_hd__and3_4 _4293_ (.A(_1912_),
+    .B(_1935_),
+    .C(_1937_),
     .X(_1938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4326_ (.A(_1936_),
-    .B(_1937_),
-    .C(_1938_),
+ sky130_fd_sc_hd__o21a_4 _4294_ (.A1(_1934_),
+    .A2(_1938_),
+    .B1(_1923_),
+    .X(wbs_dat_o[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4295_ (.A(_0682_),
     .X(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4327_ (.A(_1839_),
+ sky130_fd_sc_hd__buf_2 _4296_ (.A(_1939_),
     .X(_1940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4328_ (.A(_1907_),
+ sky130_fd_sc_hd__buf_2 _4297_ (.A(_1907_),
     .X(_1941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4329_ (.A(_1941_),
-    .B(\u_async_wb.u_cmd_if.mem[0][19] ),
+ sky130_fd_sc_hd__or2_4 _4298_ (.A(_1941_),
+    .B(\u_async_wb.u_cmd_if.mem[2][23] ),
     .X(_1942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4330_ (.A(_1932_),
-    .B(\u_async_wb.u_cmd_if.mem[1][19] ),
+ sky130_fd_sc_hd__or2_4 _4299_ (.A(_1932_),
+    .B(\u_async_wb.u_cmd_if.mem[3][23] ),
     .X(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4331_ (.A(_1940_),
+ sky130_fd_sc_hd__and3_4 _4300_ (.A(_1940_),
     .B(_1942_),
     .C(_1943_),
     .X(_1944_),
@@ -14219,51 +14253,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4332_ (.A1(_1939_),
-    .A2(_1944_),
-    .B1(_1918_),
-    .X(wbs_dat_o[15]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4333_ (.A(_1926_),
-    .B(\u_async_wb.u_cmd_if.mem[2][20] ),
+ sky130_fd_sc_hd__buf_2 _4301_ (.A(_1847_),
     .X(_1945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4334_ (.A(_1920_),
-    .B(\u_async_wb.u_cmd_if.mem[3][20] ),
+ sky130_fd_sc_hd__buf_2 _4302_ (.A(_0685_),
     .X(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4335_ (.A(_1936_),
-    .B(_1945_),
-    .C(_1946_),
+ sky130_fd_sc_hd__buf_2 _4303_ (.A(_1946_),
     .X(_1947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4336_ (.A(_1941_),
-    .B(\u_async_wb.u_cmd_if.mem[0][20] ),
+ sky130_fd_sc_hd__or2_4 _4304_ (.A(_1947_),
+    .B(\u_async_wb.u_cmd_if.mem[0][23] ),
     .X(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4337_ (.A(_1932_),
-    .B(\u_async_wb.u_cmd_if.mem[1][20] ),
+ sky130_fd_sc_hd__or2_4 _4305_ (.A(_1936_),
+    .B(\u_async_wb.u_cmd_if.mem[1][23] ),
     .X(_1949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4338_ (.A(_1940_),
+ sky130_fd_sc_hd__and3_4 _4306_ (.A(_1945_),
     .B(_1948_),
     .C(_1949_),
     .X(_1950_),
@@ -14271,511 +14293,511 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4339_ (.A(_1884_),
-    .X(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4340_ (.A1(_1947_),
+ sky130_fd_sc_hd__o21a_4 _4307_ (.A1(_1944_),
     .A2(_1950_),
-    .B1(_1951_),
-    .X(wbs_dat_o[16]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4341_ (.A(_1926_),
-    .B(\u_async_wb.u_cmd_if.mem[2][21] ),
-    .X(_1952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4342_ (.A(_1887_),
-    .X(_1953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4343_ (.A(_1953_),
-    .B(\u_async_wb.u_cmd_if.mem[3][21] ),
-    .X(_1954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4344_ (.A(_1936_),
-    .B(_1952_),
-    .C(_1954_),
-    .X(_1955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4345_ (.A(_1941_),
-    .B(\u_async_wb.u_cmd_if.mem[0][21] ),
-    .X(_1956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4346_ (.A(_1932_),
-    .B(\u_async_wb.u_cmd_if.mem[1][21] ),
-    .X(_1957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4347_ (.A(_1940_),
-    .B(_1956_),
-    .C(_1957_),
-    .X(_1958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4348_ (.A1(_1955_),
-    .A2(_1958_),
-    .B1(_1951_),
-    .X(wbs_dat_o[17]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4349_ (.A(_1859_),
-    .X(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4350_ (.A(_1959_),
-    .B(\u_async_wb.u_cmd_if.mem[2][22] ),
-    .X(_1960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4351_ (.A(_1953_),
-    .B(\u_async_wb.u_cmd_if.mem[3][22] ),
-    .X(_1961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4352_ (.A(_1936_),
-    .B(_1960_),
-    .C(_1961_),
-    .X(_1962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4353_ (.A(_1941_),
-    .B(\u_async_wb.u_cmd_if.mem[0][22] ),
-    .X(_1963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4354_ (.A(_1931_),
-    .X(_1964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4355_ (.A(_1964_),
-    .B(\u_async_wb.u_cmd_if.mem[1][22] ),
-    .X(_1965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4356_ (.A(_1940_),
-    .B(_1963_),
-    .C(_1965_),
-    .X(_1966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4357_ (.A1(_1962_),
-    .A2(_1966_),
-    .B1(_1951_),
-    .X(wbs_dat_o[18]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4358_ (.A(_1935_),
-    .X(_1967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4359_ (.A(_1959_),
-    .B(\u_async_wb.u_cmd_if.mem[2][23] ),
-    .X(_1968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4360_ (.A(_1953_),
-    .B(\u_async_wb.u_cmd_if.mem[3][23] ),
-    .X(_1969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4361_ (.A(_1967_),
-    .B(_1968_),
-    .C(_1969_),
-    .X(_1970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4362_ (.A(_0714_),
-    .X(_1971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4363_ (.A(_1971_),
-    .X(_1972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4364_ (.A(_1907_),
-    .X(_1973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4365_ (.A(_1973_),
-    .B(\u_async_wb.u_cmd_if.mem[0][23] ),
-    .X(_1974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4366_ (.A(_1964_),
-    .B(\u_async_wb.u_cmd_if.mem[1][23] ),
-    .X(_1975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4367_ (.A(_1972_),
-    .B(_1974_),
-    .C(_1975_),
-    .X(_1976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4368_ (.A1(_1970_),
-    .A2(_1976_),
-    .B1(_1951_),
+    .B1(_1923_),
     .X(wbs_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4369_ (.A(_1959_),
+ sky130_fd_sc_hd__or2_4 _4308_ (.A(_1941_),
     .B(\u_async_wb.u_cmd_if.mem[2][24] ),
-    .X(_1977_),
+    .X(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4370_ (.A(_1953_),
+ sky130_fd_sc_hd__or2_4 _4309_ (.A(_1932_),
     .B(\u_async_wb.u_cmd_if.mem[3][24] ),
-    .X(_1978_),
+    .X(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4371_ (.A(_1967_),
-    .B(_1977_),
-    .C(_1978_),
-    .X(_1979_),
+ sky130_fd_sc_hd__and3_4 _4310_ (.A(_1940_),
+    .B(_1951_),
+    .C(_1952_),
+    .X(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4372_ (.A(_1973_),
+ sky130_fd_sc_hd__or2_4 _4311_ (.A(_1947_),
     .B(\u_async_wb.u_cmd_if.mem[0][24] ),
-    .X(_1980_),
+    .X(_1954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4373_ (.A(_1964_),
+ sky130_fd_sc_hd__or2_4 _4312_ (.A(_1936_),
     .B(\u_async_wb.u_cmd_if.mem[1][24] ),
-    .X(_1981_),
+    .X(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4374_ (.A(_1972_),
-    .B(_1980_),
-    .C(_1981_),
-    .X(_1982_),
+ sky130_fd_sc_hd__and3_4 _4313_ (.A(_1945_),
+    .B(_1954_),
+    .C(_1955_),
+    .X(_1956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4375_ (.A(_1884_),
-    .X(_1983_),
+ sky130_fd_sc_hd__buf_2 _4314_ (.A(_1890_),
+    .X(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4376_ (.A1(_1979_),
-    .A2(_1982_),
-    .B1(_1983_),
+ sky130_fd_sc_hd__o21a_4 _4315_ (.A1(_1953_),
+    .A2(_1956_),
+    .B1(_1957_),
     .X(wbs_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4377_ (.A(_1959_),
+ sky130_fd_sc_hd__or2_4 _4316_ (.A(_1941_),
     .B(\u_async_wb.u_cmd_if.mem[2][25] ),
-    .X(_1984_),
+    .X(_1958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4378_ (.A(_1887_),
-    .X(_1985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4379_ (.A(_1985_),
+ sky130_fd_sc_hd__or2_4 _4317_ (.A(_1932_),
     .B(\u_async_wb.u_cmd_if.mem[3][25] ),
-    .X(_1986_),
+    .X(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4380_ (.A(_1967_),
-    .B(_1984_),
-    .C(_1986_),
-    .X(_1987_),
+ sky130_fd_sc_hd__and3_4 _4318_ (.A(_1940_),
+    .B(_1958_),
+    .C(_1959_),
+    .X(_1960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4381_ (.A(_1973_),
+ sky130_fd_sc_hd__or2_4 _4319_ (.A(_1947_),
     .B(\u_async_wb.u_cmd_if.mem[0][25] ),
-    .X(_1988_),
+    .X(_1961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4382_ (.A(_1964_),
+ sky130_fd_sc_hd__or2_4 _4320_ (.A(_1936_),
     .B(\u_async_wb.u_cmd_if.mem[1][25] ),
-    .X(_1989_),
+    .X(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4383_ (.A(_1972_),
-    .B(_1988_),
-    .C(_1989_),
-    .X(_1990_),
+ sky130_fd_sc_hd__and3_4 _4321_ (.A(_1945_),
+    .B(_1961_),
+    .C(_1962_),
+    .X(_1963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4384_ (.A1(_1987_),
-    .A2(_1990_),
-    .B1(_1983_),
+ sky130_fd_sc_hd__o21a_4 _4322_ (.A1(_1960_),
+    .A2(_1963_),
+    .B1(_1957_),
     .X(wbs_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4385_ (.A(_0691_),
-    .X(_1991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4386_ (.A(_1991_),
-    .X(_1992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4387_ (.A(_1992_),
+ sky130_fd_sc_hd__or2_4 _4323_ (.A(_1941_),
     .B(\u_async_wb.u_cmd_if.mem[2][26] ),
-    .X(_1993_),
+    .X(_1964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4388_ (.A(_1985_),
+ sky130_fd_sc_hd__buf_2 _4324_ (.A(_1931_),
+    .X(_1965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4325_ (.A(_1965_),
     .B(\u_async_wb.u_cmd_if.mem[3][26] ),
-    .X(_1994_),
+    .X(_1966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4389_ (.A(_1967_),
-    .B(_1993_),
-    .C(_1994_),
-    .X(_1995_),
+ sky130_fd_sc_hd__and3_4 _4326_ (.A(_1940_),
+    .B(_1964_),
+    .C(_1966_),
+    .X(_1967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4390_ (.A(_1973_),
+ sky130_fd_sc_hd__or2_4 _4327_ (.A(_1947_),
     .B(\u_async_wb.u_cmd_if.mem[0][26] ),
-    .X(_1996_),
+    .X(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4391_ (.A(_1931_),
-    .X(_1997_),
+ sky130_fd_sc_hd__buf_2 _4328_ (.A(_1770_),
+    .X(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4392_ (.A(_1997_),
+ sky130_fd_sc_hd__buf_2 _4329_ (.A(_1969_),
+    .X(_1970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4330_ (.A(_1970_),
     .B(\u_async_wb.u_cmd_if.mem[1][26] ),
-    .X(_1998_),
+    .X(_1971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4393_ (.A(_1972_),
-    .B(_1996_),
-    .C(_1998_),
-    .X(_1999_),
+ sky130_fd_sc_hd__and3_4 _4331_ (.A(_1945_),
+    .B(_1968_),
+    .C(_1971_),
+    .X(_1972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4394_ (.A1(_1995_),
-    .A2(_1999_),
-    .B1(_1983_),
+ sky130_fd_sc_hd__o21a_4 _4332_ (.A1(_1967_),
+    .A2(_1972_),
+    .B1(_1957_),
     .X(wbs_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4395_ (.A(_1935_),
-    .X(_2000_),
+ sky130_fd_sc_hd__buf_2 _4333_ (.A(_1939_),
+    .X(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4396_ (.A(_1992_),
+ sky130_fd_sc_hd__buf_2 _4334_ (.A(_1907_),
+    .X(_1974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4335_ (.A(_1974_),
     .B(\u_async_wb.u_cmd_if.mem[2][27] ),
-    .X(_2001_),
+    .X(_1975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4397_ (.A(_1985_),
+ sky130_fd_sc_hd__or2_4 _4336_ (.A(_1965_),
     .B(\u_async_wb.u_cmd_if.mem[3][27] ),
-    .X(_2002_),
+    .X(_1976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4398_ (.A(_2000_),
-    .B(_2001_),
-    .C(_2002_),
-    .X(_2003_),
+ sky130_fd_sc_hd__and3_4 _4337_ (.A(_1973_),
+    .B(_1975_),
+    .C(_1976_),
+    .X(_1977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4399_ (.A(_1971_),
-    .X(_2004_),
+ sky130_fd_sc_hd__buf_2 _4338_ (.A(_0703_),
+    .X(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4400_ (.A(_1907_),
-    .X(_2005_),
+ sky130_fd_sc_hd__buf_2 _4339_ (.A(_1978_),
+    .X(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4401_ (.A(_2005_),
+ sky130_fd_sc_hd__buf_2 _4340_ (.A(_1946_),
+    .X(_1980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4341_ (.A(_1980_),
     .B(\u_async_wb.u_cmd_if.mem[0][27] ),
-    .X(_2006_),
+    .X(_1981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4402_ (.A(_1997_),
+ sky130_fd_sc_hd__or2_4 _4342_ (.A(_1970_),
     .B(\u_async_wb.u_cmd_if.mem[1][27] ),
-    .X(_2007_),
+    .X(_1982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4403_ (.A(_2004_),
-    .B(_2006_),
-    .C(_2007_),
-    .X(_2008_),
+ sky130_fd_sc_hd__and3_4 _4343_ (.A(_1979_),
+    .B(_1981_),
+    .C(_1982_),
+    .X(_1983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4404_ (.A1(_2003_),
-    .A2(_2008_),
-    .B1(_1983_),
+ sky130_fd_sc_hd__o21a_4 _4344_ (.A1(_1977_),
+    .A2(_1983_),
+    .B1(_1957_),
     .X(wbs_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4405_ (.A(_1992_),
+ sky130_fd_sc_hd__or2_4 _4345_ (.A(_1974_),
     .B(\u_async_wb.u_cmd_if.mem[2][28] ),
+    .X(_1984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4346_ (.A(_1965_),
+    .B(\u_async_wb.u_cmd_if.mem[3][28] ),
+    .X(_1985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4347_ (.A(_1973_),
+    .B(_1984_),
+    .C(_1985_),
+    .X(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4348_ (.A(_1980_),
+    .B(\u_async_wb.u_cmd_if.mem[0][28] ),
+    .X(_1987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4349_ (.A(_1970_),
+    .B(\u_async_wb.u_cmd_if.mem[1][28] ),
+    .X(_1988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4350_ (.A(_1979_),
+    .B(_1987_),
+    .C(_1988_),
+    .X(_1989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4351_ (.A(_1890_),
+    .X(_1990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4352_ (.A1(_1986_),
+    .A2(_1989_),
+    .B1(_1990_),
+    .X(wbs_dat_o[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4353_ (.A(_1974_),
+    .B(\u_async_wb.u_cmd_if.mem[2][29] ),
+    .X(_1991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4354_ (.A(_1965_),
+    .B(\u_async_wb.u_cmd_if.mem[3][29] ),
+    .X(_1992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4355_ (.A(_1973_),
+    .B(_1991_),
+    .C(_1992_),
+    .X(_1993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4356_ (.A(_1980_),
+    .B(\u_async_wb.u_cmd_if.mem[0][29] ),
+    .X(_1994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4357_ (.A(_1970_),
+    .B(\u_async_wb.u_cmd_if.mem[1][29] ),
+    .X(_1995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4358_ (.A(_1979_),
+    .B(_1994_),
+    .C(_1995_),
+    .X(_1996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4359_ (.A1(_1993_),
+    .A2(_1996_),
+    .B1(_1990_),
+    .X(wbs_dat_o[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4360_ (.A(_1974_),
+    .B(\u_async_wb.u_cmd_if.mem[2][30] ),
+    .X(_1997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4361_ (.A(_1931_),
+    .X(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4362_ (.A(_1998_),
+    .B(\u_async_wb.u_cmd_if.mem[3][30] ),
+    .X(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4363_ (.A(_1973_),
+    .B(_1997_),
+    .C(_1999_),
+    .X(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4364_ (.A(_1980_),
+    .B(\u_async_wb.u_cmd_if.mem[0][30] ),
+    .X(_2001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4365_ (.A(_1969_),
+    .X(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4366_ (.A(_2002_),
+    .B(\u_async_wb.u_cmd_if.mem[1][30] ),
+    .X(_2003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4367_ (.A(_1979_),
+    .B(_2001_),
+    .C(_2003_),
+    .X(_2004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4368_ (.A1(_2000_),
+    .A2(_2004_),
+    .B1(_1990_),
+    .X(wbs_dat_o[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4369_ (.A(_1939_),
+    .X(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4370_ (.A(_1907_),
+    .X(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4371_ (.A(_2006_),
+    .B(\u_async_wb.u_cmd_if.mem[2][31] ),
+    .X(_2007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4372_ (.A(_1998_),
+    .B(\u_async_wb.u_cmd_if.mem[3][31] ),
+    .X(_2008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4373_ (.A(_2005_),
+    .B(_2007_),
+    .C(_2008_),
     .X(_2009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4406_ (.A(_1985_),
-    .B(\u_async_wb.u_cmd_if.mem[3][28] ),
+ sky130_fd_sc_hd__buf_2 _4374_ (.A(_1978_),
     .X(_2010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4407_ (.A(_2000_),
-    .B(_2009_),
-    .C(_2010_),
+ sky130_fd_sc_hd__buf_2 _4375_ (.A(_1946_),
     .X(_2011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4408_ (.A(_2005_),
-    .B(\u_async_wb.u_cmd_if.mem[0][28] ),
+ sky130_fd_sc_hd__or2_4 _4376_ (.A(_2011_),
+    .B(\u_async_wb.u_cmd_if.mem[0][31] ),
     .X(_2012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4409_ (.A(_1997_),
-    .B(\u_async_wb.u_cmd_if.mem[1][28] ),
+ sky130_fd_sc_hd__or2_4 _4377_ (.A(_2002_),
+    .B(\u_async_wb.u_cmd_if.mem[1][31] ),
     .X(_2013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4410_ (.A(_2004_),
+ sky130_fd_sc_hd__and3_4 _4378_ (.A(_2010_),
     .B(_2012_),
     .C(_2013_),
     .X(_2014_),
@@ -14783,111 +14805,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4411_ (.A(_1883_),
+ sky130_fd_sc_hd__o21a_4 _4379_ (.A1(_2009_),
+    .A2(_2014_),
+    .B1(_1990_),
+    .X(wbs_dat_o[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4380_ (.A(_2006_),
+    .B(\u_async_wb.u_cmd_if.mem[2][32] ),
     .X(_2015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4412_ (.A(_2015_),
+ sky130_fd_sc_hd__or2_4 _4381_ (.A(_1998_),
+    .B(\u_async_wb.u_cmd_if.mem[3][32] ),
     .X(_2016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4413_ (.A1(_2011_),
-    .A2(_2014_),
-    .B1(_2016_),
-    .X(wbs_dat_o[24]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4414_ (.A(_1992_),
-    .B(\u_async_wb.u_cmd_if.mem[2][29] ),
+ sky130_fd_sc_hd__and3_4 _4382_ (.A(_2005_),
+    .B(_2015_),
+    .C(_2016_),
     .X(_2017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4415_ (.A(_0704_),
+ sky130_fd_sc_hd__or2_4 _4383_ (.A(_2011_),
+    .B(\u_async_wb.u_cmd_if.mem[0][32] ),
     .X(_2018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4416_ (.A(_2018_),
+ sky130_fd_sc_hd__or2_4 _4384_ (.A(_2002_),
+    .B(\u_async_wb.u_cmd_if.mem[1][32] ),
     .X(_2019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4417_ (.A(_2019_),
-    .B(\u_async_wb.u_cmd_if.mem[3][29] ),
+ sky130_fd_sc_hd__and3_4 _4385_ (.A(_2010_),
+    .B(_2018_),
+    .C(_2019_),
     .X(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4418_ (.A(_2000_),
-    .B(_2017_),
-    .C(_2020_),
+ sky130_fd_sc_hd__buf_2 _4386_ (.A(_1756_),
     .X(_2021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4419_ (.A(_2005_),
-    .B(\u_async_wb.u_cmd_if.mem[0][29] ),
+ sky130_fd_sc_hd__buf_2 _4387_ (.A(_2021_),
     .X(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4420_ (.A(_1997_),
-    .B(\u_async_wb.u_cmd_if.mem[1][29] ),
+ sky130_fd_sc_hd__o21a_4 _4388_ (.A1(_2017_),
+    .A2(_2020_),
+    .B1(_2022_),
+    .X(wbs_dat_o[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4389_ (.A(_2006_),
+    .B(\u_async_wb.u_cmd_if.mem[2][33] ),
     .X(_2023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4421_ (.A(_2004_),
-    .B(_2022_),
-    .C(_2023_),
+ sky130_fd_sc_hd__or2_4 _4390_ (.A(_1998_),
+    .B(\u_async_wb.u_cmd_if.mem[3][33] ),
     .X(_2024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4422_ (.A1(_2021_),
-    .A2(_2024_),
-    .B1(_2016_),
-    .X(wbs_dat_o[25]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4423_ (.A(_1991_),
+ sky130_fd_sc_hd__and3_4 _4391_ (.A(_2005_),
+    .B(_2023_),
+    .C(_2024_),
     .X(_2025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4424_ (.A(_2025_),
-    .B(\u_async_wb.u_cmd_if.mem[2][30] ),
+ sky130_fd_sc_hd__or2_4 _4392_ (.A(_2011_),
+    .B(\u_async_wb.u_cmd_if.mem[0][33] ),
     .X(_2026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4425_ (.A(_2019_),
-    .B(\u_async_wb.u_cmd_if.mem[3][30] ),
+ sky130_fd_sc_hd__or2_4 _4393_ (.A(_2002_),
+    .B(\u_async_wb.u_cmd_if.mem[1][33] ),
     .X(_2027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4426_ (.A(_2000_),
+ sky130_fd_sc_hd__and3_4 _4394_ (.A(_2010_),
     .B(_2026_),
     .C(_2027_),
     .X(_2028_),
@@ -14895,27 +14921,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4427_ (.A(_2005_),
-    .B(\u_async_wb.u_cmd_if.mem[0][30] ),
+ sky130_fd_sc_hd__o21a_4 _4395_ (.A1(_2025_),
+    .A2(_2028_),
+    .B1(_2022_),
+    .X(wbs_dat_o[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4396_ (.A(_2006_),
+    .B(\u_async_wb.u_cmd_if.mem[2][34] ),
     .X(_2029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4428_ (.A(_1931_),
+ sky130_fd_sc_hd__buf_2 _4397_ (.A(_1931_),
     .X(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4429_ (.A(_2030_),
-    .B(\u_async_wb.u_cmd_if.mem[1][30] ),
+ sky130_fd_sc_hd__or2_4 _4398_ (.A(_2030_),
+    .B(\u_async_wb.u_cmd_if.mem[3][34] ),
     .X(_2031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4430_ (.A(_2004_),
+ sky130_fd_sc_hd__and3_4 _4399_ (.A(_2005_),
     .B(_2029_),
     .C(_2031_),
     .X(_2032_),
@@ -14923,75 +14957,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4431_ (.A1(_2028_),
-    .A2(_2032_),
-    .B1(_2016_),
-    .X(wbs_dat_o[26]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4432_ (.A(_1935_),
+ sky130_fd_sc_hd__or2_4 _4400_ (.A(_2011_),
+    .B(\u_async_wb.u_cmd_if.mem[0][34] ),
     .X(_2033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4433_ (.A(_2025_),
-    .B(\u_async_wb.u_cmd_if.mem[2][31] ),
+ sky130_fd_sc_hd__buf_2 _4401_ (.A(_1969_),
     .X(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4434_ (.A(_2019_),
-    .B(\u_async_wb.u_cmd_if.mem[3][31] ),
+ sky130_fd_sc_hd__or2_4 _4402_ (.A(_2034_),
+    .B(\u_async_wb.u_cmd_if.mem[1][34] ),
     .X(_2035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4435_ (.A(_2033_),
-    .B(_2034_),
+ sky130_fd_sc_hd__and3_4 _4403_ (.A(_2010_),
+    .B(_2033_),
     .C(_2035_),
     .X(_2036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4436_ (.A(_1971_),
+ sky130_fd_sc_hd__o21a_4 _4404_ (.A1(_2032_),
+    .A2(_2036_),
+    .B1(_2022_),
+    .X(wbs_dat_o[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4405_ (.A(_1939_),
     .X(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4437_ (.A(_1773_),
+ sky130_fd_sc_hd__buf_2 _4406_ (.A(_1744_),
     .X(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4438_ (.A(_2038_),
+ sky130_fd_sc_hd__buf_2 _4407_ (.A(_2038_),
     .X(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4439_ (.A(_2039_),
-    .B(\u_async_wb.u_cmd_if.mem[0][31] ),
+ sky130_fd_sc_hd__or2_4 _4408_ (.A(_2039_),
+    .B(\u_async_wb.u_cmd_if.mem[2][35] ),
     .X(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4440_ (.A(_2030_),
-    .B(\u_async_wb.u_cmd_if.mem[1][31] ),
+ sky130_fd_sc_hd__or2_4 _4409_ (.A(_2030_),
+    .B(\u_async_wb.u_cmd_if.mem[3][35] ),
     .X(_2041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4441_ (.A(_2037_),
+ sky130_fd_sc_hd__and3_4 _4410_ (.A(_2037_),
     .B(_2040_),
     .C(_2041_),
     .X(_2042_),
@@ -14999,151 +15033,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4442_ (.A1(_2036_),
-    .A2(_2042_),
-    .B1(_2016_),
-    .X(wbs_dat_o[27]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4443_ (.A(_2025_),
-    .B(\u_async_wb.u_cmd_if.mem[2][32] ),
+ sky130_fd_sc_hd__buf_2 _4411_ (.A(_1978_),
     .X(_2043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4444_ (.A(_2019_),
-    .B(\u_async_wb.u_cmd_if.mem[3][32] ),
+ sky130_fd_sc_hd__buf_2 _4412_ (.A(_1946_),
     .X(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4445_ (.A(_2033_),
-    .B(_2043_),
-    .C(_2044_),
+ sky130_fd_sc_hd__or2_4 _4413_ (.A(_2044_),
+    .B(\u_async_wb.u_cmd_if.mem[0][35] ),
     .X(_2045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4446_ (.A(_2039_),
-    .B(\u_async_wb.u_cmd_if.mem[0][32] ),
+ sky130_fd_sc_hd__or2_4 _4414_ (.A(_2034_),
+    .B(\u_async_wb.u_cmd_if.mem[1][35] ),
     .X(_2046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4447_ (.A(_2030_),
-    .B(\u_async_wb.u_cmd_if.mem[1][32] ),
+ sky130_fd_sc_hd__and3_4 _4415_ (.A(_2043_),
+    .B(_2045_),
+    .C(_2046_),
     .X(_2047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4448_ (.A(_2037_),
-    .B(_2046_),
-    .C(_2047_),
+ sky130_fd_sc_hd__o21a_4 _4416_ (.A1(_2042_),
+    .A2(_2047_),
+    .B1(_2022_),
+    .X(wbs_dat_o[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4417_ (.A(_2039_),
+    .B(\u_async_wb.u_cmd_if.mem[2][37] ),
     .X(_2048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4449_ (.A(_2015_),
+ sky130_fd_sc_hd__or2_4 _4418_ (.A(_2030_),
+    .B(\u_async_wb.u_cmd_if.mem[3][37] ),
     .X(_2049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4450_ (.A1(_2045_),
-    .A2(_2048_),
-    .B1(_2049_),
-    .X(wbs_dat_o[28]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4451_ (.A(_2025_),
-    .B(\u_async_wb.u_cmd_if.mem[2][33] ),
+ sky130_fd_sc_hd__and3_4 _4419_ (.A(_2037_),
+    .B(_2048_),
+    .C(_2049_),
     .X(_2050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4452_ (.A(_2018_),
+ sky130_fd_sc_hd__or2_4 _4420_ (.A(_2044_),
+    .B(\u_async_wb.u_cmd_if.mem[0][37] ),
     .X(_2051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4453_ (.A(_2051_),
-    .B(\u_async_wb.u_cmd_if.mem[3][33] ),
+ sky130_fd_sc_hd__or2_4 _4421_ (.A(_2034_),
+    .B(\u_async_wb.u_cmd_if.mem[1][37] ),
     .X(_2052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4454_ (.A(_2033_),
-    .B(_2050_),
+ sky130_fd_sc_hd__and3_4 _4422_ (.A(_2043_),
+    .B(_2051_),
     .C(_2052_),
     .X(_2053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4455_ (.A(_2039_),
-    .B(\u_async_wb.u_cmd_if.mem[0][33] ),
+ sky130_fd_sc_hd__buf_2 _4423_ (.A(_2021_),
     .X(_2054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4456_ (.A(_2030_),
-    .B(\u_async_wb.u_cmd_if.mem[1][33] ),
+ sky130_fd_sc_hd__o21a_4 _4424_ (.A1(_2050_),
+    .A2(_2053_),
+    .B1(_2054_),
+    .X(wbs_adr_o[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4425_ (.A(_2039_),
+    .B(\u_async_wb.u_cmd_if.mem[2][38] ),
     .X(_2055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4457_ (.A(_2037_),
-    .B(_2054_),
-    .C(_2055_),
+ sky130_fd_sc_hd__or2_4 _4426_ (.A(_2030_),
+    .B(\u_async_wb.u_cmd_if.mem[3][38] ),
     .X(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4458_ (.A1(_2053_),
-    .A2(_2056_),
-    .B1(_2049_),
-    .X(wbs_dat_o[29]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4459_ (.A(_1991_),
+ sky130_fd_sc_hd__and3_4 _4427_ (.A(_2037_),
+    .B(_2055_),
+    .C(_2056_),
     .X(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4460_ (.A(_2057_),
-    .B(\u_async_wb.u_cmd_if.mem[2][34] ),
+ sky130_fd_sc_hd__or2_4 _4428_ (.A(_2044_),
+    .B(\u_async_wb.u_cmd_if.mem[0][38] ),
     .X(_2058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4461_ (.A(_2051_),
-    .B(\u_async_wb.u_cmd_if.mem[3][34] ),
+ sky130_fd_sc_hd__or2_4 _4429_ (.A(_2034_),
+    .B(\u_async_wb.u_cmd_if.mem[1][38] ),
     .X(_2059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4462_ (.A(_2033_),
+ sky130_fd_sc_hd__and3_4 _4430_ (.A(_2043_),
     .B(_2058_),
     .C(_2059_),
     .X(_2060_),
@@ -15151,33 +15177,41 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4463_ (.A(_2039_),
-    .B(\u_async_wb.u_cmd_if.mem[0][34] ),
+ sky130_fd_sc_hd__o21a_4 _4431_ (.A1(_2057_),
+    .A2(_2060_),
+    .B1(_2054_),
+    .X(wbs_adr_o[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4432_ (.A(_2039_),
+    .B(\u_async_wb.u_cmd_if.mem[2][39] ),
     .X(_2061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4464_ (.A(_1777_),
+ sky130_fd_sc_hd__buf_2 _4433_ (.A(_1748_),
     .X(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4465_ (.A(_2062_),
+ sky130_fd_sc_hd__buf_2 _4434_ (.A(_2062_),
     .X(_2063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4466_ (.A(_2063_),
-    .B(\u_async_wb.u_cmd_if.mem[1][34] ),
+ sky130_fd_sc_hd__or2_4 _4435_ (.A(_2063_),
+    .B(\u_async_wb.u_cmd_if.mem[3][39] ),
     .X(_2064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4467_ (.A(_2037_),
+ sky130_fd_sc_hd__and3_4 _4436_ (.A(_2037_),
     .B(_2061_),
     .C(_2064_),
     .X(_2065_),
@@ -15185,75 +15219,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4468_ (.A1(_2060_),
-    .A2(_2065_),
-    .B1(_2049_),
-    .X(wbs_dat_o[30]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4469_ (.A(_0687_),
+ sky130_fd_sc_hd__or2_4 _4437_ (.A(_2044_),
+    .B(\u_async_wb.u_cmd_if.mem[0][39] ),
     .X(_2066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4470_ (.A(_2066_),
+ sky130_fd_sc_hd__buf_2 _4438_ (.A(_1969_),
     .X(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4471_ (.A(_2057_),
-    .B(\u_async_wb.u_cmd_if.mem[2][35] ),
+ sky130_fd_sc_hd__or2_4 _4439_ (.A(_2067_),
+    .B(\u_async_wb.u_cmd_if.mem[1][39] ),
     .X(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4472_ (.A(_2051_),
-    .B(\u_async_wb.u_cmd_if.mem[3][35] ),
+ sky130_fd_sc_hd__and3_4 _4440_ (.A(_2043_),
+    .B(_2066_),
+    .C(_2068_),
     .X(_2069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4473_ (.A(_2067_),
-    .B(_2068_),
-    .C(_2069_),
+ sky130_fd_sc_hd__o21a_4 _4441_ (.A1(_2065_),
+    .A2(_2069_),
+    .B1(_2054_),
+    .X(wbs_adr_o[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4442_ (.A(_0682_),
     .X(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4474_ (.A(_1971_),
+ sky130_fd_sc_hd__buf_2 _4443_ (.A(_2070_),
     .X(_2071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4475_ (.A(_2038_),
+ sky130_fd_sc_hd__buf_2 _4444_ (.A(_2038_),
     .X(_2072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4476_ (.A(_2072_),
-    .B(\u_async_wb.u_cmd_if.mem[0][35] ),
+ sky130_fd_sc_hd__or2_4 _4445_ (.A(_2072_),
+    .B(\u_async_wb.u_cmd_if.mem[2][40] ),
     .X(_2073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4477_ (.A(_2063_),
-    .B(\u_async_wb.u_cmd_if.mem[1][35] ),
+ sky130_fd_sc_hd__or2_4 _4446_ (.A(_2063_),
+    .B(\u_async_wb.u_cmd_if.mem[3][40] ),
     .X(_2074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4478_ (.A(_2071_),
+ sky130_fd_sc_hd__and3_4 _4447_ (.A(_2071_),
     .B(_2073_),
     .C(_2074_),
     .X(_2075_),
@@ -15261,51 +15295,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4479_ (.A1(_2070_),
-    .A2(_2075_),
-    .B1(_2049_),
-    .X(wbs_dat_o[31]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4480_ (.A(_2057_),
-    .B(\u_async_wb.u_cmd_if.mem[2][37] ),
+ sky130_fd_sc_hd__buf_2 _4448_ (.A(_1978_),
     .X(_2076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4481_ (.A(_2051_),
-    .B(\u_async_wb.u_cmd_if.mem[3][37] ),
+ sky130_fd_sc_hd__buf_2 _4449_ (.A(_0685_),
     .X(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4482_ (.A(_2067_),
-    .B(_2076_),
-    .C(_2077_),
+ sky130_fd_sc_hd__buf_2 _4450_ (.A(_2077_),
     .X(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4483_ (.A(_2072_),
-    .B(\u_async_wb.u_cmd_if.mem[0][37] ),
+ sky130_fd_sc_hd__or2_4 _4451_ (.A(_2078_),
+    .B(\u_async_wb.u_cmd_if.mem[0][40] ),
     .X(_2079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4484_ (.A(_2063_),
-    .B(\u_async_wb.u_cmd_if.mem[1][37] ),
+ sky130_fd_sc_hd__or2_4 _4452_ (.A(_2067_),
+    .B(\u_async_wb.u_cmd_if.mem[1][40] ),
     .X(_2080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4485_ (.A(_2071_),
+ sky130_fd_sc_hd__and3_4 _4453_ (.A(_2076_),
     .B(_2079_),
     .C(_2080_),
     .X(_2081_),
@@ -15313,511 +15335,511 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4486_ (.A(_2015_),
-    .X(_2082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4487_ (.A1(_2078_),
+ sky130_fd_sc_hd__o21a_4 _4454_ (.A1(_2075_),
     .A2(_2081_),
-    .B1(_2082_),
-    .X(wbs_adr_o[0]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4488_ (.A(_2057_),
-    .B(\u_async_wb.u_cmd_if.mem[2][38] ),
-    .X(_2083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4489_ (.A(_2018_),
-    .X(_2084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4490_ (.A(_2084_),
-    .B(\u_async_wb.u_cmd_if.mem[3][38] ),
-    .X(_2085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4491_ (.A(_2067_),
-    .B(_2083_),
-    .C(_2085_),
-    .X(_2086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4492_ (.A(_2072_),
-    .B(\u_async_wb.u_cmd_if.mem[0][38] ),
-    .X(_2087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4493_ (.A(_2063_),
-    .B(\u_async_wb.u_cmd_if.mem[1][38] ),
-    .X(_2088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4494_ (.A(_2071_),
-    .B(_2087_),
-    .C(_2088_),
-    .X(_2089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4495_ (.A1(_2086_),
-    .A2(_2089_),
-    .B1(_2082_),
-    .X(wbs_adr_o[1]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4496_ (.A(_1991_),
-    .X(_2090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4497_ (.A(_2090_),
-    .B(\u_async_wb.u_cmd_if.mem[2][39] ),
-    .X(_2091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4498_ (.A(_2084_),
-    .B(\u_async_wb.u_cmd_if.mem[3][39] ),
-    .X(_2092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4499_ (.A(_2067_),
-    .B(_2091_),
-    .C(_2092_),
-    .X(_2093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4500_ (.A(_2072_),
-    .B(\u_async_wb.u_cmd_if.mem[0][39] ),
-    .X(_2094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4501_ (.A(_2062_),
-    .X(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4502_ (.A(_2095_),
-    .B(\u_async_wb.u_cmd_if.mem[1][39] ),
-    .X(_2096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4503_ (.A(_2071_),
-    .B(_2094_),
-    .C(_2096_),
-    .X(_2097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4504_ (.A1(_2093_),
-    .A2(_2097_),
-    .B1(_2082_),
-    .X(wbs_adr_o[2]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4505_ (.A(_2066_),
-    .X(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4506_ (.A(_2090_),
-    .B(\u_async_wb.u_cmd_if.mem[2][40] ),
-    .X(_2099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4507_ (.A(_2084_),
-    .B(\u_async_wb.u_cmd_if.mem[3][40] ),
-    .X(_2100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4508_ (.A(_2098_),
-    .B(_2099_),
-    .C(_2100_),
-    .X(_2101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4509_ (.A(_0714_),
-    .X(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4510_ (.A(_2102_),
-    .X(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4511_ (.A(_2038_),
-    .X(_2104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4512_ (.A(_2104_),
-    .B(\u_async_wb.u_cmd_if.mem[0][40] ),
-    .X(_2105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4513_ (.A(_2095_),
-    .B(\u_async_wb.u_cmd_if.mem[1][40] ),
-    .X(_2106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4514_ (.A(_2103_),
-    .B(_2105_),
-    .C(_2106_),
-    .X(_2107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4515_ (.A1(_2101_),
-    .A2(_2107_),
-    .B1(_2082_),
+    .B1(_2054_),
     .X(wbs_adr_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4516_ (.A(_2090_),
+ sky130_fd_sc_hd__or2_4 _4455_ (.A(_2072_),
     .B(\u_async_wb.u_cmd_if.mem[2][41] ),
-    .X(_2108_),
+    .X(_2082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4517_ (.A(_2084_),
+ sky130_fd_sc_hd__or2_4 _4456_ (.A(_2063_),
     .B(\u_async_wb.u_cmd_if.mem[3][41] ),
-    .X(_2109_),
+    .X(_2083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4518_ (.A(_2098_),
-    .B(_2108_),
-    .C(_2109_),
-    .X(_2110_),
+ sky130_fd_sc_hd__and3_4 _4457_ (.A(_2071_),
+    .B(_2082_),
+    .C(_2083_),
+    .X(_2084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4519_ (.A(_2104_),
+ sky130_fd_sc_hd__or2_4 _4458_ (.A(_2078_),
     .B(\u_async_wb.u_cmd_if.mem[0][41] ),
-    .X(_2111_),
+    .X(_2085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4520_ (.A(_2095_),
+ sky130_fd_sc_hd__or2_4 _4459_ (.A(_2067_),
     .B(\u_async_wb.u_cmd_if.mem[1][41] ),
-    .X(_2112_),
+    .X(_2086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4521_ (.A(_2103_),
-    .B(_2111_),
-    .C(_2112_),
-    .X(_2113_),
+ sky130_fd_sc_hd__and3_4 _4460_ (.A(_2076_),
+    .B(_2085_),
+    .C(_2086_),
+    .X(_2087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4522_ (.A(_2015_),
-    .X(_2114_),
+ sky130_fd_sc_hd__buf_2 _4461_ (.A(_2021_),
+    .X(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4523_ (.A1(_2110_),
-    .A2(_2113_),
-    .B1(_2114_),
+ sky130_fd_sc_hd__o21a_4 _4462_ (.A1(_2084_),
+    .A2(_2087_),
+    .B1(_2088_),
     .X(wbs_adr_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4524_ (.A(_2090_),
+ sky130_fd_sc_hd__or2_4 _4463_ (.A(_2072_),
     .B(\u_async_wb.u_cmd_if.mem[2][42] ),
-    .X(_2115_),
+    .X(_2089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4525_ (.A(_2018_),
-    .X(_2116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4526_ (.A(_2116_),
+ sky130_fd_sc_hd__or2_4 _4464_ (.A(_2063_),
     .B(\u_async_wb.u_cmd_if.mem[3][42] ),
-    .X(_2117_),
+    .X(_2090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4527_ (.A(_2098_),
-    .B(_2115_),
-    .C(_2117_),
-    .X(_2118_),
+ sky130_fd_sc_hd__and3_4 _4465_ (.A(_2071_),
+    .B(_2089_),
+    .C(_2090_),
+    .X(_2091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4528_ (.A(_2104_),
+ sky130_fd_sc_hd__or2_4 _4466_ (.A(_2078_),
     .B(\u_async_wb.u_cmd_if.mem[0][42] ),
-    .X(_2119_),
+    .X(_2092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4529_ (.A(_2095_),
+ sky130_fd_sc_hd__or2_4 _4467_ (.A(_2067_),
     .B(\u_async_wb.u_cmd_if.mem[1][42] ),
-    .X(_2120_),
+    .X(_2093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4530_ (.A(_2103_),
-    .B(_2119_),
-    .C(_2120_),
-    .X(_2121_),
+ sky130_fd_sc_hd__and3_4 _4468_ (.A(_2076_),
+    .B(_2092_),
+    .C(_2093_),
+    .X(_2094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4531_ (.A1(_2118_),
-    .A2(_2121_),
-    .B1(_2114_),
+ sky130_fd_sc_hd__o21a_4 _4469_ (.A1(_2091_),
+    .A2(_2094_),
+    .B1(_2088_),
     .X(wbs_adr_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4532_ (.A(_0691_),
-    .X(_2122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4533_ (.A(_2122_),
-    .X(_2123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4534_ (.A(_2123_),
+ sky130_fd_sc_hd__or2_4 _4470_ (.A(_2072_),
     .B(\u_async_wb.u_cmd_if.mem[2][43] ),
-    .X(_2124_),
+    .X(_2095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4535_ (.A(_2116_),
+ sky130_fd_sc_hd__buf_2 _4471_ (.A(_2062_),
+    .X(_2096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4472_ (.A(_2096_),
     .B(\u_async_wb.u_cmd_if.mem[3][43] ),
-    .X(_2125_),
+    .X(_2097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4536_ (.A(_2098_),
-    .B(_2124_),
-    .C(_2125_),
-    .X(_2126_),
+ sky130_fd_sc_hd__and3_4 _4473_ (.A(_2071_),
+    .B(_2095_),
+    .C(_2097_),
+    .X(_2098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4537_ (.A(_2104_),
+ sky130_fd_sc_hd__or2_4 _4474_ (.A(_2078_),
     .B(\u_async_wb.u_cmd_if.mem[0][43] ),
-    .X(_2127_),
+    .X(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4538_ (.A(_2062_),
-    .X(_2128_),
+ sky130_fd_sc_hd__buf_2 _4475_ (.A(_1770_),
+    .X(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4539_ (.A(_2128_),
+ sky130_fd_sc_hd__buf_2 _4476_ (.A(_2100_),
+    .X(_2101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4477_ (.A(_2101_),
     .B(\u_async_wb.u_cmd_if.mem[1][43] ),
-    .X(_2129_),
+    .X(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4540_ (.A(_2103_),
-    .B(_2127_),
-    .C(_2129_),
-    .X(_2130_),
+ sky130_fd_sc_hd__and3_4 _4478_ (.A(_2076_),
+    .B(_2099_),
+    .C(_2102_),
+    .X(_2103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4541_ (.A1(_2126_),
-    .A2(_2130_),
-    .B1(_2114_),
+ sky130_fd_sc_hd__o21a_4 _4479_ (.A1(_2098_),
+    .A2(_2103_),
+    .B1(_2088_),
     .X(wbs_adr_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4542_ (.A(_2066_),
-    .X(_2131_),
+ sky130_fd_sc_hd__buf_2 _4480_ (.A(_2070_),
+    .X(_2104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4543_ (.A(_2123_),
+ sky130_fd_sc_hd__buf_2 _4481_ (.A(_2038_),
+    .X(_2105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4482_ (.A(_2105_),
     .B(\u_async_wb.u_cmd_if.mem[2][44] ),
-    .X(_2132_),
+    .X(_2106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4544_ (.A(_2116_),
+ sky130_fd_sc_hd__or2_4 _4483_ (.A(_2096_),
     .B(\u_async_wb.u_cmd_if.mem[3][44] ),
-    .X(_2133_),
+    .X(_2107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4545_ (.A(_2131_),
-    .B(_2132_),
-    .C(_2133_),
-    .X(_2134_),
+ sky130_fd_sc_hd__and3_4 _4484_ (.A(_2104_),
+    .B(_2106_),
+    .C(_2107_),
+    .X(_2108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4546_ (.A(_2102_),
-    .X(_2135_),
+ sky130_fd_sc_hd__buf_2 _4485_ (.A(_0703_),
+    .X(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4547_ (.A(_2038_),
-    .X(_2136_),
+ sky130_fd_sc_hd__buf_2 _4486_ (.A(_2109_),
+    .X(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4548_ (.A(_2136_),
+ sky130_fd_sc_hd__buf_2 _4487_ (.A(_2077_),
+    .X(_2111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4488_ (.A(_2111_),
     .B(\u_async_wb.u_cmd_if.mem[0][44] ),
-    .X(_2137_),
+    .X(_2112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4549_ (.A(_2128_),
+ sky130_fd_sc_hd__or2_4 _4489_ (.A(_2101_),
     .B(\u_async_wb.u_cmd_if.mem[1][44] ),
-    .X(_2138_),
+    .X(_2113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4550_ (.A(_2135_),
-    .B(_2137_),
-    .C(_2138_),
-    .X(_2139_),
+ sky130_fd_sc_hd__and3_4 _4490_ (.A(_2110_),
+    .B(_2112_),
+    .C(_2113_),
+    .X(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4551_ (.A1(_2134_),
-    .A2(_2139_),
-    .B1(_2114_),
+ sky130_fd_sc_hd__o21a_4 _4491_ (.A1(_2108_),
+    .A2(_2114_),
+    .B1(_2088_),
     .X(wbs_adr_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4552_ (.A(_2123_),
+ sky130_fd_sc_hd__or2_4 _4492_ (.A(_2105_),
     .B(\u_async_wb.u_cmd_if.mem[2][45] ),
+    .X(_2115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4493_ (.A(_2096_),
+    .B(\u_async_wb.u_cmd_if.mem[3][45] ),
+    .X(_2116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4494_ (.A(_2104_),
+    .B(_2115_),
+    .C(_2116_),
+    .X(_2117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4495_ (.A(_2111_),
+    .B(\u_async_wb.u_cmd_if.mem[0][45] ),
+    .X(_2118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4496_ (.A(_2101_),
+    .B(\u_async_wb.u_cmd_if.mem[1][45] ),
+    .X(_2119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4497_ (.A(_2110_),
+    .B(_2118_),
+    .C(_2119_),
+    .X(_2120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4498_ (.A(_2021_),
+    .X(_2121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4499_ (.A1(_2117_),
+    .A2(_2120_),
+    .B1(_2121_),
+    .X(wbs_adr_o[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4500_ (.A(_2105_),
+    .B(\u_async_wb.u_cmd_if.mem[2][46] ),
+    .X(_2122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4501_ (.A(_2096_),
+    .B(\u_async_wb.u_cmd_if.mem[3][46] ),
+    .X(_2123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4502_ (.A(_2104_),
+    .B(_2122_),
+    .C(_2123_),
+    .X(_2124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4503_ (.A(_2111_),
+    .B(\u_async_wb.u_cmd_if.mem[0][46] ),
+    .X(_2125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4504_ (.A(_2101_),
+    .B(\u_async_wb.u_cmd_if.mem[1][46] ),
+    .X(_2126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4505_ (.A(_2110_),
+    .B(_2125_),
+    .C(_2126_),
+    .X(_2127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4506_ (.A1(_2124_),
+    .A2(_2127_),
+    .B1(_2121_),
+    .X(wbs_adr_o[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4507_ (.A(_2105_),
+    .B(\u_async_wb.u_cmd_if.mem[2][47] ),
+    .X(_2128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4508_ (.A(_2062_),
+    .X(_2129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4509_ (.A(_2129_),
+    .B(\u_async_wb.u_cmd_if.mem[3][47] ),
+    .X(_2130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4510_ (.A(_2104_),
+    .B(_2128_),
+    .C(_2130_),
+    .X(_2131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4511_ (.A(_2111_),
+    .B(\u_async_wb.u_cmd_if.mem[0][47] ),
+    .X(_2132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4512_ (.A(_2100_),
+    .X(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4513_ (.A(_2133_),
+    .B(\u_async_wb.u_cmd_if.mem[1][47] ),
+    .X(_2134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4514_ (.A(_2110_),
+    .B(_2132_),
+    .C(_2134_),
+    .X(_2135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _4515_ (.A1(_2131_),
+    .A2(_2135_),
+    .B1(_2121_),
+    .X(wbs_adr_o[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4516_ (.A(_2070_),
+    .X(_2136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4517_ (.A(_2038_),
+    .X(_2137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4518_ (.A(_2137_),
+    .B(\u_async_wb.u_cmd_if.mem[2][48] ),
+    .X(_2138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4519_ (.A(_2129_),
+    .B(\u_async_wb.u_cmd_if.mem[3][48] ),
+    .X(_2139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _4520_ (.A(_2136_),
+    .B(_2138_),
+    .C(_2139_),
     .X(_2140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4553_ (.A(_2116_),
-    .B(\u_async_wb.u_cmd_if.mem[3][45] ),
+ sky130_fd_sc_hd__buf_2 _4521_ (.A(_2109_),
     .X(_2141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4554_ (.A(_2131_),
-    .B(_2140_),
-    .C(_2141_),
+ sky130_fd_sc_hd__buf_2 _4522_ (.A(_2077_),
     .X(_2142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4555_ (.A(_2136_),
-    .B(\u_async_wb.u_cmd_if.mem[0][45] ),
+ sky130_fd_sc_hd__or2_4 _4523_ (.A(_2142_),
+    .B(\u_async_wb.u_cmd_if.mem[0][48] ),
     .X(_2143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4556_ (.A(_2128_),
-    .B(\u_async_wb.u_cmd_if.mem[1][45] ),
+ sky130_fd_sc_hd__or2_4 _4524_ (.A(_2133_),
+    .B(\u_async_wb.u_cmd_if.mem[1][48] ),
     .X(_2144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4557_ (.A(_2135_),
+ sky130_fd_sc_hd__and3_4 _4525_ (.A(_2141_),
     .B(_2143_),
     .C(_2144_),
     .X(_2145_),
@@ -15825,111 +15847,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4558_ (.A(_0735_),
+ sky130_fd_sc_hd__o21a_4 _4526_ (.A1(_2140_),
+    .A2(_2145_),
+    .B1(_2121_),
+    .X(wbs_adr_o[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4527_ (.A(_2137_),
+    .B(\u_async_wb.u_cmd_if.mem[2][49] ),
     .X(_2146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4559_ (.A(_2146_),
+ sky130_fd_sc_hd__or2_4 _4528_ (.A(_2129_),
+    .B(\u_async_wb.u_cmd_if.mem[3][49] ),
     .X(_2147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4560_ (.A1(_2142_),
-    .A2(_2145_),
-    .B1(_2147_),
-    .X(wbs_adr_o[8]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4561_ (.A(_2123_),
-    .B(\u_async_wb.u_cmd_if.mem[2][46] ),
+ sky130_fd_sc_hd__and3_4 _4529_ (.A(_2136_),
+    .B(_2146_),
+    .C(_2147_),
     .X(_2148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4562_ (.A(_0704_),
+ sky130_fd_sc_hd__or2_4 _4530_ (.A(_2142_),
+    .B(\u_async_wb.u_cmd_if.mem[0][49] ),
     .X(_2149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4563_ (.A(_2149_),
+ sky130_fd_sc_hd__or2_4 _4531_ (.A(_2133_),
+    .B(\u_async_wb.u_cmd_if.mem[1][49] ),
     .X(_2150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4564_ (.A(_2150_),
-    .B(\u_async_wb.u_cmd_if.mem[3][46] ),
+ sky130_fd_sc_hd__and3_4 _4532_ (.A(_2141_),
+    .B(_2149_),
+    .C(_2150_),
     .X(_2151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4565_ (.A(_2131_),
-    .B(_2148_),
-    .C(_2151_),
+ sky130_fd_sc_hd__buf_2 _4533_ (.A(_0719_),
     .X(_2152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4566_ (.A(_2136_),
-    .B(\u_async_wb.u_cmd_if.mem[0][46] ),
+ sky130_fd_sc_hd__buf_2 _4534_ (.A(_2152_),
     .X(_2153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4567_ (.A(_2128_),
-    .B(\u_async_wb.u_cmd_if.mem[1][46] ),
+ sky130_fd_sc_hd__o21a_4 _4535_ (.A1(_2148_),
+    .A2(_2151_),
+    .B1(_2153_),
+    .X(wbs_adr_o[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4536_ (.A(_2137_),
+    .B(\u_async_wb.u_cmd_if.mem[2][50] ),
     .X(_2154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4568_ (.A(_2135_),
-    .B(_2153_),
-    .C(_2154_),
+ sky130_fd_sc_hd__or2_4 _4537_ (.A(_2129_),
+    .B(\u_async_wb.u_cmd_if.mem[3][50] ),
     .X(_2155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4569_ (.A1(_2152_),
-    .A2(_2155_),
-    .B1(_2147_),
-    .X(wbs_adr_o[9]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4570_ (.A(_2122_),
+ sky130_fd_sc_hd__and3_4 _4538_ (.A(_2136_),
+    .B(_2154_),
+    .C(_2155_),
     .X(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4571_ (.A(_2156_),
-    .B(\u_async_wb.u_cmd_if.mem[2][47] ),
+ sky130_fd_sc_hd__or2_4 _4539_ (.A(_2142_),
+    .B(\u_async_wb.u_cmd_if.mem[0][50] ),
     .X(_2157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4572_ (.A(_2150_),
-    .B(\u_async_wb.u_cmd_if.mem[3][47] ),
+ sky130_fd_sc_hd__or2_4 _4540_ (.A(_2133_),
+    .B(\u_async_wb.u_cmd_if.mem[1][50] ),
     .X(_2158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4573_ (.A(_2131_),
+ sky130_fd_sc_hd__and3_4 _4541_ (.A(_2141_),
     .B(_2157_),
     .C(_2158_),
     .X(_2159_),
@@ -15937,27 +15963,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4574_ (.A(_2136_),
-    .B(\u_async_wb.u_cmd_if.mem[0][47] ),
+ sky130_fd_sc_hd__o21a_4 _4542_ (.A1(_2156_),
+    .A2(_2159_),
+    .B1(_2153_),
+    .X(wbs_adr_o[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4543_ (.A(_2137_),
+    .B(\u_async_wb.u_cmd_if.mem[2][51] ),
     .X(_2160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4575_ (.A(_2062_),
+ sky130_fd_sc_hd__buf_2 _4544_ (.A(_2062_),
     .X(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4576_ (.A(_2161_),
-    .B(\u_async_wb.u_cmd_if.mem[1][47] ),
+ sky130_fd_sc_hd__or2_4 _4545_ (.A(_2161_),
+    .B(\u_async_wb.u_cmd_if.mem[3][51] ),
     .X(_2162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4577_ (.A(_2135_),
+ sky130_fd_sc_hd__and3_4 _4546_ (.A(_2136_),
     .B(_2160_),
     .C(_2162_),
     .X(_2163_),
@@ -15965,75 +15999,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4578_ (.A1(_2159_),
-    .A2(_2163_),
-    .B1(_2147_),
-    .X(wbs_adr_o[10]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4579_ (.A(_2066_),
+ sky130_fd_sc_hd__or2_4 _4547_ (.A(_2142_),
+    .B(\u_async_wb.u_cmd_if.mem[0][51] ),
     .X(_2164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4580_ (.A(_2156_),
-    .B(\u_async_wb.u_cmd_if.mem[2][48] ),
+ sky130_fd_sc_hd__buf_2 _4548_ (.A(_2100_),
     .X(_2165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4581_ (.A(_2150_),
-    .B(\u_async_wb.u_cmd_if.mem[3][48] ),
+ sky130_fd_sc_hd__or2_4 _4549_ (.A(_2165_),
+    .B(\u_async_wb.u_cmd_if.mem[1][51] ),
     .X(_2166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4582_ (.A(_2164_),
-    .B(_2165_),
+ sky130_fd_sc_hd__and3_4 _4550_ (.A(_2141_),
+    .B(_2164_),
     .C(_2166_),
     .X(_2167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4583_ (.A(_2102_),
+ sky130_fd_sc_hd__o21a_4 _4551_ (.A1(_2163_),
+    .A2(_2167_),
+    .B1(_2153_),
+    .X(wbs_adr_o[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4552_ (.A(_2070_),
     .X(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4584_ (.A(_1773_),
+ sky130_fd_sc_hd__buf_2 _4553_ (.A(_0684_),
     .X(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4585_ (.A(_2169_),
+ sky130_fd_sc_hd__buf_2 _4554_ (.A(_2169_),
     .X(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4586_ (.A(_2170_),
-    .B(\u_async_wb.u_cmd_if.mem[0][48] ),
+ sky130_fd_sc_hd__or2_4 _4555_ (.A(_2170_),
+    .B(\u_async_wb.u_cmd_if.mem[2][52] ),
     .X(_2171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4587_ (.A(_2161_),
-    .B(\u_async_wb.u_cmd_if.mem[1][48] ),
+ sky130_fd_sc_hd__or2_4 _4556_ (.A(_2161_),
+    .B(\u_async_wb.u_cmd_if.mem[3][52] ),
     .X(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4588_ (.A(_2168_),
+ sky130_fd_sc_hd__and3_4 _4557_ (.A(_2168_),
     .B(_2171_),
     .C(_2172_),
     .X(_2173_),
@@ -16041,151 +16075,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4589_ (.A1(_2167_),
-    .A2(_2173_),
-    .B1(_2147_),
-    .X(wbs_adr_o[11]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4590_ (.A(_2156_),
-    .B(\u_async_wb.u_cmd_if.mem[2][49] ),
+ sky130_fd_sc_hd__buf_2 _4558_ (.A(_2109_),
     .X(_2174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4591_ (.A(_2150_),
-    .B(\u_async_wb.u_cmd_if.mem[3][49] ),
+ sky130_fd_sc_hd__buf_2 _4559_ (.A(_2077_),
     .X(_2175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4592_ (.A(_2164_),
-    .B(_2174_),
-    .C(_2175_),
+ sky130_fd_sc_hd__or2_4 _4560_ (.A(_2175_),
+    .B(\u_async_wb.u_cmd_if.mem[0][52] ),
     .X(_2176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4593_ (.A(_2170_),
-    .B(\u_async_wb.u_cmd_if.mem[0][49] ),
+ sky130_fd_sc_hd__or2_4 _4561_ (.A(_2165_),
+    .B(\u_async_wb.u_cmd_if.mem[1][52] ),
     .X(_2177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4594_ (.A(_2161_),
-    .B(\u_async_wb.u_cmd_if.mem[1][49] ),
+ sky130_fd_sc_hd__and3_4 _4562_ (.A(_2174_),
+    .B(_2176_),
+    .C(_2177_),
     .X(_2178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4595_ (.A(_2168_),
-    .B(_2177_),
-    .C(_2178_),
+ sky130_fd_sc_hd__o21a_4 _4563_ (.A1(_2173_),
+    .A2(_2178_),
+    .B1(_2153_),
+    .X(wbs_adr_o[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4564_ (.A(_2170_),
+    .B(\u_async_wb.u_cmd_if.mem[2][53] ),
     .X(_2179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4596_ (.A(_2146_),
+ sky130_fd_sc_hd__or2_4 _4565_ (.A(_2161_),
+    .B(\u_async_wb.u_cmd_if.mem[3][53] ),
     .X(_2180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4597_ (.A1(_2176_),
-    .A2(_2179_),
-    .B1(_2180_),
-    .X(wbs_adr_o[12]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4598_ (.A(_2156_),
-    .B(\u_async_wb.u_cmd_if.mem[2][50] ),
+ sky130_fd_sc_hd__and3_4 _4566_ (.A(_2168_),
+    .B(_2179_),
+    .C(_2180_),
     .X(_2181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4599_ (.A(_2149_),
+ sky130_fd_sc_hd__or2_4 _4567_ (.A(_2175_),
+    .B(\u_async_wb.u_cmd_if.mem[0][53] ),
     .X(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4600_ (.A(_2182_),
-    .B(\u_async_wb.u_cmd_if.mem[3][50] ),
+ sky130_fd_sc_hd__or2_4 _4568_ (.A(_2165_),
+    .B(\u_async_wb.u_cmd_if.mem[1][53] ),
     .X(_2183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4601_ (.A(_2164_),
-    .B(_2181_),
+ sky130_fd_sc_hd__and3_4 _4569_ (.A(_2174_),
+    .B(_2182_),
     .C(_2183_),
     .X(_2184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4602_ (.A(_2170_),
-    .B(\u_async_wb.u_cmd_if.mem[0][50] ),
+ sky130_fd_sc_hd__buf_2 _4570_ (.A(_2152_),
     .X(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4603_ (.A(_2161_),
-    .B(\u_async_wb.u_cmd_if.mem[1][50] ),
+ sky130_fd_sc_hd__o21a_4 _4571_ (.A1(_2181_),
+    .A2(_2184_),
+    .B1(_2185_),
+    .X(wbs_adr_o[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4572_ (.A(_2170_),
+    .B(\u_async_wb.u_cmd_if.mem[2][54] ),
     .X(_2186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4604_ (.A(_2168_),
-    .B(_2185_),
-    .C(_2186_),
+ sky130_fd_sc_hd__or2_4 _4573_ (.A(_2161_),
+    .B(\u_async_wb.u_cmd_if.mem[3][54] ),
     .X(_2187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4605_ (.A1(_2184_),
-    .A2(_2187_),
-    .B1(_2180_),
-    .X(wbs_adr_o[13]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4606_ (.A(_2122_),
+ sky130_fd_sc_hd__and3_4 _4574_ (.A(_2168_),
+    .B(_2186_),
+    .C(_2187_),
     .X(_2188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4607_ (.A(_2188_),
-    .B(\u_async_wb.u_cmd_if.mem[2][51] ),
+ sky130_fd_sc_hd__or2_4 _4575_ (.A(_2175_),
+    .B(\u_async_wb.u_cmd_if.mem[0][54] ),
     .X(_2189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4608_ (.A(_2182_),
-    .B(\u_async_wb.u_cmd_if.mem[3][51] ),
+ sky130_fd_sc_hd__or2_4 _4576_ (.A(_2165_),
+    .B(\u_async_wb.u_cmd_if.mem[1][54] ),
     .X(_2190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4609_ (.A(_2164_),
+ sky130_fd_sc_hd__and3_4 _4577_ (.A(_2174_),
     .B(_2189_),
     .C(_2190_),
     .X(_2191_),
@@ -16193,139 +16219,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4610_ (.A(_2170_),
-    .B(\u_async_wb.u_cmd_if.mem[0][51] ),
+ sky130_fd_sc_hd__o21a_4 _4578_ (.A1(_2188_),
+    .A2(_2191_),
+    .B1(_2185_),
+    .X(wbs_adr_o[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4579_ (.A(_2170_),
+    .B(\u_async_wb.u_cmd_if.mem[2][55] ),
     .X(_2192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4611_ (.A(_1777_),
+ sky130_fd_sc_hd__buf_2 _4580_ (.A(_0698_),
     .X(_2193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4612_ (.A(_2193_),
+ sky130_fd_sc_hd__or2_4 _4581_ (.A(_2193_),
+    .B(\u_async_wb.u_cmd_if.mem[3][55] ),
     .X(_2194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4613_ (.A(_2194_),
-    .B(\u_async_wb.u_cmd_if.mem[1][51] ),
+ sky130_fd_sc_hd__and3_4 _4582_ (.A(_2168_),
+    .B(_2192_),
+    .C(_2194_),
     .X(_2195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4614_ (.A(_2168_),
-    .B(_2192_),
-    .C(_2195_),
+ sky130_fd_sc_hd__or2_4 _4583_ (.A(_2175_),
+    .B(\u_async_wb.u_cmd_if.mem[0][55] ),
     .X(_2196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4615_ (.A1(_2191_),
-    .A2(_2196_),
-    .B1(_2180_),
-    .X(wbs_adr_o[14]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4616_ (.A(_0687_),
+ sky130_fd_sc_hd__buf_2 _4584_ (.A(_2100_),
     .X(_2197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4617_ (.A(_2197_),
+ sky130_fd_sc_hd__or2_4 _4585_ (.A(_2197_),
+    .B(\u_async_wb.u_cmd_if.mem[1][55] ),
     .X(_2198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4618_ (.A(_2188_),
-    .B(\u_async_wb.u_cmd_if.mem[2][52] ),
+ sky130_fd_sc_hd__and3_4 _4586_ (.A(_2174_),
+    .B(_2196_),
+    .C(_2198_),
     .X(_2199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4619_ (.A(_2182_),
-    .B(\u_async_wb.u_cmd_if.mem[3][52] ),
+ sky130_fd_sc_hd__o21a_4 _4587_ (.A1(_2195_),
+    .A2(_2199_),
+    .B1(_2185_),
+    .X(wbs_adr_o[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4588_ (.A(_0691_),
     .X(_2200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4620_ (.A(_2198_),
-    .B(_2199_),
-    .C(_2200_),
+ sky130_fd_sc_hd__buf_2 _4589_ (.A(_2169_),
     .X(_2201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4621_ (.A(_2102_),
+ sky130_fd_sc_hd__or2_4 _4590_ (.A(_2201_),
+    .B(\u_async_wb.u_cmd_if.mem[2][56] ),
     .X(_2202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4622_ (.A(_2169_),
+ sky130_fd_sc_hd__or2_4 _4591_ (.A(_2193_),
+    .B(\u_async_wb.u_cmd_if.mem[3][56] ),
     .X(_2203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4623_ (.A(_2203_),
-    .B(\u_async_wb.u_cmd_if.mem[0][52] ),
+ sky130_fd_sc_hd__and3_4 _4592_ (.A(_2200_),
+    .B(_2202_),
+    .C(_2203_),
     .X(_2204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4624_ (.A(_2194_),
-    .B(\u_async_wb.u_cmd_if.mem[1][52] ),
+ sky130_fd_sc_hd__buf_2 _4593_ (.A(_2109_),
     .X(_2205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4625_ (.A(_2202_),
-    .B(_2204_),
-    .C(_2205_),
+ sky130_fd_sc_hd__buf_2 _4594_ (.A(_1745_),
     .X(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4626_ (.A1(_2201_),
-    .A2(_2206_),
-    .B1(_2180_),
-    .X(wbs_adr_o[15]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4627_ (.A(_2188_),
-    .B(\u_async_wb.u_cmd_if.mem[2][53] ),
+ sky130_fd_sc_hd__or2_4 _4595_ (.A(_2206_),
+    .B(\u_async_wb.u_cmd_if.mem[0][56] ),
     .X(_2207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4628_ (.A(_2182_),
-    .B(\u_async_wb.u_cmd_if.mem[3][53] ),
+ sky130_fd_sc_hd__or2_4 _4596_ (.A(_2197_),
+    .B(\u_async_wb.u_cmd_if.mem[1][56] ),
     .X(_2208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4629_ (.A(_2198_),
+ sky130_fd_sc_hd__and3_4 _4597_ (.A(_2205_),
     .B(_2207_),
     .C(_2208_),
     .X(_2209_),
@@ -16333,21 +16359,29 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4630_ (.A(_2203_),
-    .B(\u_async_wb.u_cmd_if.mem[0][53] ),
+ sky130_fd_sc_hd__o21a_4 _4598_ (.A1(_2204_),
+    .A2(_2209_),
+    .B1(_2185_),
+    .X(wbs_adr_o[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4599_ (.A(_2201_),
+    .B(\u_async_wb.u_cmd_if.mem[2][57] ),
     .X(_2210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4631_ (.A(_2194_),
-    .B(\u_async_wb.u_cmd_if.mem[1][53] ),
+ sky130_fd_sc_hd__or2_4 _4600_ (.A(_2193_),
+    .B(\u_async_wb.u_cmd_if.mem[3][57] ),
     .X(_2211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4632_ (.A(_2202_),
+ sky130_fd_sc_hd__and3_4 _4601_ (.A(_2200_),
     .B(_2210_),
     .C(_2211_),
     .X(_2212_),
@@ -16355,227 +16389,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4633_ (.A(_2146_),
+ sky130_fd_sc_hd__or2_4 _4602_ (.A(_2206_),
+    .B(\u_async_wb.u_cmd_if.mem[0][57] ),
     .X(_2213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4634_ (.A1(_2209_),
-    .A2(_2212_),
-    .B1(_2213_),
-    .X(wbs_adr_o[16]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4635_ (.A(_2188_),
-    .B(\u_async_wb.u_cmd_if.mem[2][54] ),
+ sky130_fd_sc_hd__or2_4 _4603_ (.A(_2197_),
+    .B(\u_async_wb.u_cmd_if.mem[1][57] ),
     .X(_2214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4636_ (.A(_2149_),
+ sky130_fd_sc_hd__and3_4 _4604_ (.A(_2205_),
+    .B(_2213_),
+    .C(_2214_),
     .X(_2215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4637_ (.A(_2215_),
-    .B(\u_async_wb.u_cmd_if.mem[3][54] ),
+ sky130_fd_sc_hd__buf_2 _4605_ (.A(_2152_),
     .X(_2216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4638_ (.A(_2198_),
-    .B(_2214_),
-    .C(_2216_),
+ sky130_fd_sc_hd__o21a_4 _4606_ (.A1(_2212_),
+    .A2(_2215_),
+    .B1(_2216_),
+    .X(wbs_adr_o[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4607_ (.A(_2201_),
+    .B(\u_async_wb.u_cmd_if.mem[2][58] ),
     .X(_2217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4639_ (.A(_2203_),
-    .B(\u_async_wb.u_cmd_if.mem[0][54] ),
+ sky130_fd_sc_hd__or2_4 _4608_ (.A(_2193_),
+    .B(\u_async_wb.u_cmd_if.mem[3][58] ),
     .X(_2218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4640_ (.A(_2194_),
-    .B(\u_async_wb.u_cmd_if.mem[1][54] ),
+ sky130_fd_sc_hd__and3_4 _4609_ (.A(_2200_),
+    .B(_2217_),
+    .C(_2218_),
     .X(_2219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4641_ (.A(_2202_),
-    .B(_2218_),
-    .C(_2219_),
+ sky130_fd_sc_hd__or2_4 _4610_ (.A(_2206_),
+    .B(\u_async_wb.u_cmd_if.mem[0][58] ),
     .X(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4642_ (.A1(_2217_),
-    .A2(_2220_),
-    .B1(_2213_),
-    .X(wbs_adr_o[17]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4643_ (.A(_2122_),
+ sky130_fd_sc_hd__or2_4 _4611_ (.A(_2197_),
+    .B(\u_async_wb.u_cmd_if.mem[1][58] ),
     .X(_2221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4644_ (.A(_2221_),
-    .B(\u_async_wb.u_cmd_if.mem[2][55] ),
+ sky130_fd_sc_hd__and3_4 _4612_ (.A(_2205_),
+    .B(_2220_),
+    .C(_2221_),
     .X(_2222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4645_ (.A(_2215_),
-    .B(\u_async_wb.u_cmd_if.mem[3][55] ),
+ sky130_fd_sc_hd__o21a_4 _4613_ (.A1(_2219_),
+    .A2(_2222_),
+    .B1(_2216_),
+    .X(wbs_adr_o[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4614_ (.A(_2201_),
+    .B(\u_async_wb.u_cmd_if.mem[2][59] ),
     .X(_2223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4646_ (.A(_2198_),
-    .B(_2222_),
-    .C(_2223_),
+ sky130_fd_sc_hd__buf_2 _4615_ (.A(_0698_),
     .X(_2224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4647_ (.A(_2203_),
-    .B(\u_async_wb.u_cmd_if.mem[0][55] ),
+ sky130_fd_sc_hd__or2_4 _4616_ (.A(_2224_),
+    .B(\u_async_wb.u_cmd_if.mem[3][59] ),
     .X(_2225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4648_ (.A(_2193_),
+ sky130_fd_sc_hd__and3_4 _4617_ (.A(_2200_),
+    .B(_2223_),
+    .C(_2225_),
     .X(_2226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4649_ (.A(_2226_),
-    .B(\u_async_wb.u_cmd_if.mem[1][55] ),
+ sky130_fd_sc_hd__or2_4 _4618_ (.A(_2206_),
+    .B(\u_async_wb.u_cmd_if.mem[0][59] ),
     .X(_2227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4650_ (.A(_2202_),
-    .B(_2225_),
-    .C(_2227_),
+ sky130_fd_sc_hd__buf_2 _4619_ (.A(_1749_),
     .X(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4651_ (.A1(_2224_),
-    .A2(_2228_),
-    .B1(_2213_),
-    .X(wbs_adr_o[18]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4652_ (.A(_2197_),
+ sky130_fd_sc_hd__or2_4 _4620_ (.A(_2228_),
+    .B(\u_async_wb.u_cmd_if.mem[1][59] ),
     .X(_2229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4653_ (.A(_2221_),
-    .B(\u_async_wb.u_cmd_if.mem[2][56] ),
+ sky130_fd_sc_hd__and3_4 _4621_ (.A(_2205_),
+    .B(_2227_),
+    .C(_2229_),
     .X(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4654_ (.A(_2215_),
-    .B(\u_async_wb.u_cmd_if.mem[3][56] ),
+ sky130_fd_sc_hd__o21a_4 _4622_ (.A1(_2226_),
+    .A2(_2230_),
+    .B1(_2216_),
+    .X(wbs_adr_o[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4623_ (.A(_0691_),
     .X(_2231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4655_ (.A(_2229_),
-    .B(_2230_),
-    .C(_2231_),
+ sky130_fd_sc_hd__buf_2 _4624_ (.A(_2169_),
     .X(_2232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4656_ (.A(_0715_),
+ sky130_fd_sc_hd__or2_4 _4625_ (.A(_2232_),
+    .B(\u_async_wb.u_cmd_if.mem[2][60] ),
     .X(_2233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4657_ (.A(_2169_),
+ sky130_fd_sc_hd__or2_4 _4626_ (.A(_2224_),
+    .B(\u_async_wb.u_cmd_if.mem[3][60] ),
     .X(_2234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4658_ (.A(_2234_),
-    .B(\u_async_wb.u_cmd_if.mem[0][56] ),
+ sky130_fd_sc_hd__and3_4 _4627_ (.A(_2231_),
+    .B(_2233_),
+    .C(_2234_),
     .X(_2235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4659_ (.A(_2226_),
-    .B(\u_async_wb.u_cmd_if.mem[1][56] ),
+ sky130_fd_sc_hd__buf_2 _4628_ (.A(_0706_),
     .X(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4660_ (.A(_2233_),
-    .B(_2235_),
-    .C(_2236_),
+ sky130_fd_sc_hd__buf_2 _4629_ (.A(_1745_),
     .X(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4661_ (.A1(_2232_),
-    .A2(_2237_),
-    .B1(_2213_),
-    .X(wbs_adr_o[19]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4662_ (.A(_2221_),
-    .B(\u_async_wb.u_cmd_if.mem[2][57] ),
+ sky130_fd_sc_hd__or2_4 _4630_ (.A(_2237_),
+    .B(\u_async_wb.u_cmd_if.mem[0][60] ),
     .X(_2238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4663_ (.A(_2215_),
-    .B(\u_async_wb.u_cmd_if.mem[3][57] ),
+ sky130_fd_sc_hd__or2_4 _4631_ (.A(_2228_),
+    .B(\u_async_wb.u_cmd_if.mem[1][60] ),
     .X(_2239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4664_ (.A(_2229_),
+ sky130_fd_sc_hd__and3_4 _4632_ (.A(_2236_),
     .B(_2238_),
     .C(_2239_),
     .X(_2240_),
@@ -16583,21 +16609,29 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4665_ (.A(_2234_),
-    .B(\u_async_wb.u_cmd_if.mem[0][57] ),
+ sky130_fd_sc_hd__o21a_4 _4633_ (.A1(_2235_),
+    .A2(_2240_),
+    .B1(_2216_),
+    .X(wbs_adr_o[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4634_ (.A(_2232_),
+    .B(\u_async_wb.u_cmd_if.mem[2][61] ),
     .X(_2241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4666_ (.A(_2226_),
-    .B(\u_async_wb.u_cmd_if.mem[1][57] ),
+ sky130_fd_sc_hd__or2_4 _4635_ (.A(_2224_),
+    .B(\u_async_wb.u_cmd_if.mem[3][61] ),
     .X(_2242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4667_ (.A(_2233_),
+ sky130_fd_sc_hd__and3_4 _4636_ (.A(_2231_),
     .B(_2241_),
     .C(_2242_),
     .X(_2243_),
@@ -16605,227 +16639,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4668_ (.A(_2146_),
+ sky130_fd_sc_hd__or2_4 _4637_ (.A(_2237_),
+    .B(\u_async_wb.u_cmd_if.mem[0][61] ),
     .X(_2244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4669_ (.A1(_2240_),
-    .A2(_2243_),
-    .B1(_2244_),
-    .X(wbs_adr_o[20]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4670_ (.A(_2221_),
-    .B(\u_async_wb.u_cmd_if.mem[2][58] ),
+ sky130_fd_sc_hd__or2_4 _4638_ (.A(_2228_),
+    .B(\u_async_wb.u_cmd_if.mem[1][61] ),
     .X(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4671_ (.A(_2149_),
+ sky130_fd_sc_hd__and3_4 _4639_ (.A(_2236_),
+    .B(_2244_),
+    .C(_2245_),
     .X(_2246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4672_ (.A(_2246_),
-    .B(\u_async_wb.u_cmd_if.mem[3][58] ),
+ sky130_fd_sc_hd__buf_2 _4640_ (.A(_2152_),
     .X(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4673_ (.A(_2229_),
-    .B(_2245_),
-    .C(_2247_),
+ sky130_fd_sc_hd__o21a_4 _4641_ (.A1(_2243_),
+    .A2(_2246_),
+    .B1(_2247_),
+    .X(wbs_adr_o[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4642_ (.A(_2232_),
+    .B(\u_async_wb.u_cmd_if.mem[2][62] ),
     .X(_2248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4674_ (.A(_2234_),
-    .B(\u_async_wb.u_cmd_if.mem[0][58] ),
+ sky130_fd_sc_hd__or2_4 _4643_ (.A(_2224_),
+    .B(\u_async_wb.u_cmd_if.mem[3][62] ),
     .X(_2249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4675_ (.A(_2226_),
-    .B(\u_async_wb.u_cmd_if.mem[1][58] ),
+ sky130_fd_sc_hd__and3_4 _4644_ (.A(_2231_),
+    .B(_2248_),
+    .C(_2249_),
     .X(_2250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4676_ (.A(_2233_),
-    .B(_2249_),
-    .C(_2250_),
+ sky130_fd_sc_hd__or2_4 _4645_ (.A(_2237_),
+    .B(\u_async_wb.u_cmd_if.mem[0][62] ),
     .X(_2251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4677_ (.A1(_2248_),
-    .A2(_2251_),
-    .B1(_2244_),
-    .X(wbs_adr_o[21]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4678_ (.A(_0692_),
+ sky130_fd_sc_hd__or2_4 _4646_ (.A(_2228_),
+    .B(\u_async_wb.u_cmd_if.mem[1][62] ),
     .X(_2252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4679_ (.A(_2252_),
-    .B(\u_async_wb.u_cmd_if.mem[2][59] ),
+ sky130_fd_sc_hd__and3_4 _4647_ (.A(_2236_),
+    .B(_2251_),
+    .C(_2252_),
     .X(_2253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4680_ (.A(_2246_),
-    .B(\u_async_wb.u_cmd_if.mem[3][59] ),
+ sky130_fd_sc_hd__o21a_4 _4648_ (.A1(_2250_),
+    .A2(_2253_),
+    .B1(_2247_),
+    .X(wbs_adr_o[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4649_ (.A(_2232_),
+    .B(\u_async_wb.u_cmd_if.mem[2][63] ),
     .X(_2254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4681_ (.A(_2229_),
-    .B(_2253_),
-    .C(_2254_),
+ sky130_fd_sc_hd__buf_2 _4650_ (.A(_0698_),
     .X(_2255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4682_ (.A(_2234_),
-    .B(\u_async_wb.u_cmd_if.mem[0][59] ),
+ sky130_fd_sc_hd__or2_4 _4651_ (.A(_2255_),
+    .B(\u_async_wb.u_cmd_if.mem[3][63] ),
     .X(_2256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4683_ (.A(_2193_),
+ sky130_fd_sc_hd__and3_4 _4652_ (.A(_2231_),
+    .B(_2254_),
+    .C(_2256_),
     .X(_2257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4684_ (.A(_2257_),
-    .B(\u_async_wb.u_cmd_if.mem[1][59] ),
+ sky130_fd_sc_hd__or2_4 _4653_ (.A(_2237_),
+    .B(\u_async_wb.u_cmd_if.mem[0][63] ),
     .X(_2258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4685_ (.A(_2233_),
-    .B(_2256_),
-    .C(_2258_),
+ sky130_fd_sc_hd__buf_2 _4654_ (.A(_1749_),
     .X(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4686_ (.A1(_2255_),
-    .A2(_2259_),
-    .B1(_2244_),
-    .X(wbs_adr_o[22]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4687_ (.A(_2197_),
+ sky130_fd_sc_hd__or2_4 _4655_ (.A(_2259_),
+    .B(\u_async_wb.u_cmd_if.mem[1][63] ),
     .X(_2260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4688_ (.A(_2252_),
-    .B(\u_async_wb.u_cmd_if.mem[2][60] ),
+ sky130_fd_sc_hd__and3_4 _4656_ (.A(_2236_),
+    .B(_2258_),
+    .C(_2260_),
     .X(_2261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4689_ (.A(_2246_),
-    .B(\u_async_wb.u_cmd_if.mem[3][60] ),
+ sky130_fd_sc_hd__o21a_4 _4657_ (.A1(_2257_),
+    .A2(_2261_),
+    .B1(_2247_),
+    .X(wbs_adr_o[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _4658_ (.A(_0691_),
     .X(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4690_ (.A(_2260_),
-    .B(_2261_),
-    .C(_2262_),
+ sky130_fd_sc_hd__buf_2 _4659_ (.A(_2169_),
     .X(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4691_ (.A(_0715_),
+ sky130_fd_sc_hd__or2_4 _4660_ (.A(_2263_),
+    .B(\u_async_wb.u_cmd_if.mem[2][64] ),
     .X(_2264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4692_ (.A(_2169_),
+ sky130_fd_sc_hd__or2_4 _4661_ (.A(_2255_),
+    .B(\u_async_wb.u_cmd_if.mem[3][64] ),
     .X(_2265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4693_ (.A(_2265_),
-    .B(\u_async_wb.u_cmd_if.mem[0][60] ),
+ sky130_fd_sc_hd__and3_4 _4662_ (.A(_2262_),
+    .B(_2264_),
+    .C(_2265_),
     .X(_2266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4694_ (.A(_2257_),
-    .B(\u_async_wb.u_cmd_if.mem[1][60] ),
+ sky130_fd_sc_hd__buf_2 _4663_ (.A(_0706_),
     .X(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4695_ (.A(_2264_),
-    .B(_2266_),
-    .C(_2267_),
+ sky130_fd_sc_hd__buf_2 _4664_ (.A(_1745_),
     .X(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4696_ (.A1(_2263_),
-    .A2(_2268_),
-    .B1(_2244_),
-    .X(wbs_adr_o[23]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4697_ (.A(_2252_),
-    .B(\u_async_wb.u_cmd_if.mem[2][61] ),
+ sky130_fd_sc_hd__or2_4 _4665_ (.A(_2268_),
+    .B(\u_async_wb.u_cmd_if.mem[0][64] ),
     .X(_2269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4698_ (.A(_2246_),
-    .B(\u_async_wb.u_cmd_if.mem[3][61] ),
+ sky130_fd_sc_hd__or2_4 _4666_ (.A(_2259_),
+    .B(\u_async_wb.u_cmd_if.mem[1][64] ),
     .X(_2270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4699_ (.A(_2260_),
+ sky130_fd_sc_hd__and3_4 _4667_ (.A(_2267_),
     .B(_2269_),
     .C(_2270_),
     .X(_2271_),
@@ -16833,21 +16859,29 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4700_ (.A(_2265_),
-    .B(\u_async_wb.u_cmd_if.mem[0][61] ),
+ sky130_fd_sc_hd__o21a_4 _4668_ (.A1(_2266_),
+    .A2(_2271_),
+    .B1(_2247_),
+    .X(wbs_adr_o[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4669_ (.A(_2263_),
+    .B(\u_async_wb.u_cmd_if.mem[2][65] ),
     .X(_2272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4701_ (.A(_2257_),
-    .B(\u_async_wb.u_cmd_if.mem[1][61] ),
+ sky130_fd_sc_hd__or2_4 _4670_ (.A(_2255_),
+    .B(\u_async_wb.u_cmd_if.mem[3][65] ),
     .X(_2273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4702_ (.A(_2264_),
+ sky130_fd_sc_hd__and3_4 _4671_ (.A(_2262_),
     .B(_2272_),
     .C(_2273_),
     .X(_2274_),
@@ -16855,3652 +16889,3316 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4703_ (.A(_1883_),
+ sky130_fd_sc_hd__or2_4 _4672_ (.A(_2268_),
+    .B(\u_async_wb.u_cmd_if.mem[0][65] ),
     .X(_2275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4704_ (.A1(_2271_),
-    .A2(_2274_),
-    .B1(_2275_),
-    .X(wbs_adr_o[24]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4705_ (.A(_2252_),
-    .B(\u_async_wb.u_cmd_if.mem[2][62] ),
+ sky130_fd_sc_hd__or2_4 _4673_ (.A(_2259_),
+    .B(\u_async_wb.u_cmd_if.mem[1][65] ),
     .X(_2276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4706_ (.A(_0705_),
+ sky130_fd_sc_hd__and3_4 _4674_ (.A(_2267_),
+    .B(_2275_),
+    .C(_2276_),
     .X(_2277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4707_ (.A(_2277_),
-    .B(\u_async_wb.u_cmd_if.mem[3][62] ),
+ sky130_fd_sc_hd__buf_2 _4675_ (.A(_1756_),
     .X(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4708_ (.A(_2260_),
-    .B(_2276_),
-    .C(_2278_),
+ sky130_fd_sc_hd__o21a_4 _4676_ (.A1(_2274_),
+    .A2(_2277_),
+    .B1(_2278_),
+    .X(wbs_adr_o[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4677_ (.A(_2263_),
+    .B(\u_async_wb.u_cmd_if.mem[2][66] ),
     .X(_2279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4709_ (.A(_2265_),
-    .B(\u_async_wb.u_cmd_if.mem[0][62] ),
+ sky130_fd_sc_hd__or2_4 _4678_ (.A(_2255_),
+    .B(\u_async_wb.u_cmd_if.mem[3][66] ),
     .X(_2280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4710_ (.A(_2257_),
-    .B(\u_async_wb.u_cmd_if.mem[1][62] ),
+ sky130_fd_sc_hd__and3_4 _4679_ (.A(_2262_),
+    .B(_2279_),
+    .C(_2280_),
     .X(_2281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4711_ (.A(_2264_),
-    .B(_2280_),
-    .C(_2281_),
+ sky130_fd_sc_hd__or2_4 _4680_ (.A(_2268_),
+    .B(\u_async_wb.u_cmd_if.mem[0][66] ),
     .X(_2282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4712_ (.A1(_2279_),
-    .A2(_2282_),
-    .B1(_2275_),
-    .X(wbs_adr_o[25]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4713_ (.A(_0692_),
+ sky130_fd_sc_hd__or2_4 _4681_ (.A(_2259_),
+    .B(\u_async_wb.u_cmd_if.mem[1][66] ),
     .X(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4714_ (.A(_2283_),
-    .B(\u_async_wb.u_cmd_if.mem[2][63] ),
+ sky130_fd_sc_hd__and3_4 _4682_ (.A(_2267_),
+    .B(_2282_),
+    .C(_2283_),
     .X(_2284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4715_ (.A(_2277_),
-    .B(\u_async_wb.u_cmd_if.mem[3][63] ),
+ sky130_fd_sc_hd__o21a_4 _4683_ (.A1(_2281_),
+    .A2(_2284_),
+    .B1(_2278_),
+    .X(wbs_adr_o[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _4684_ (.A(_2263_),
+    .B(\u_async_wb.u_cmd_if.mem[2][67] ),
     .X(_2285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4716_ (.A(_2260_),
-    .B(_2284_),
-    .C(_2285_),
+ sky130_fd_sc_hd__or2_4 _4685_ (.A(_1771_),
+    .B(\u_async_wb.u_cmd_if.mem[3][67] ),
     .X(_2286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4717_ (.A(_2265_),
-    .B(\u_async_wb.u_cmd_if.mem[0][63] ),
+ sky130_fd_sc_hd__and3_4 _4686_ (.A(_2262_),
+    .B(_2285_),
+    .C(_2286_),
     .X(_2287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4718_ (.A(_2193_),
+ sky130_fd_sc_hd__or2_4 _4687_ (.A(_2268_),
+    .B(\u_async_wb.u_cmd_if.mem[0][67] ),
     .X(_2288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4719_ (.A(_2288_),
-    .B(\u_async_wb.u_cmd_if.mem[1][63] ),
+ sky130_fd_sc_hd__or2_4 _4688_ (.A(_1750_),
+    .B(\u_async_wb.u_cmd_if.mem[1][67] ),
     .X(_2289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4720_ (.A(_2264_),
-    .B(_2287_),
+ sky130_fd_sc_hd__and3_4 _4689_ (.A(_2267_),
+    .B(_2288_),
     .C(_2289_),
     .X(_2290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4721_ (.A1(_2286_),
+ sky130_fd_sc_hd__o21a_4 _4690_ (.A1(_2287_),
     .A2(_2290_),
-    .B1(_2275_),
-    .X(wbs_adr_o[26]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4722_ (.A(_2197_),
-    .X(_2291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4723_ (.A(_2283_),
-    .B(\u_async_wb.u_cmd_if.mem[2][64] ),
-    .X(_2292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4724_ (.A(_2277_),
-    .B(\u_async_wb.u_cmd_if.mem[3][64] ),
-    .X(_2293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4725_ (.A(_2291_),
-    .B(_2292_),
-    .C(_2293_),
-    .X(_2294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4726_ (.A(_0715_),
-    .X(_2295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4727_ (.A(_1774_),
-    .X(_2296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4728_ (.A(_2296_),
-    .B(\u_async_wb.u_cmd_if.mem[0][64] ),
-    .X(_2297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4729_ (.A(_2288_),
-    .B(\u_async_wb.u_cmd_if.mem[1][64] ),
-    .X(_2298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4730_ (.A(_2295_),
-    .B(_2297_),
-    .C(_2298_),
-    .X(_2299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4731_ (.A1(_2294_),
-    .A2(_2299_),
-    .B1(_2275_),
-    .X(wbs_adr_o[27]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4732_ (.A(_2283_),
-    .B(\u_async_wb.u_cmd_if.mem[2][65] ),
-    .X(_2300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4733_ (.A(_2277_),
-    .B(\u_async_wb.u_cmd_if.mem[3][65] ),
-    .X(_2301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4734_ (.A(_2291_),
-    .B(_2300_),
-    .C(_2301_),
-    .X(_2302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4735_ (.A(_2296_),
-    .B(\u_async_wb.u_cmd_if.mem[0][65] ),
-    .X(_2303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4736_ (.A(_2288_),
-    .B(\u_async_wb.u_cmd_if.mem[1][65] ),
-    .X(_2304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4737_ (.A(_2295_),
-    .B(_2303_),
-    .C(_2304_),
-    .X(_2305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4738_ (.A(_1883_),
-    .X(_2306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4739_ (.A1(_2302_),
-    .A2(_2305_),
-    .B1(_2306_),
-    .X(wbs_adr_o[28]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4740_ (.A(_2283_),
-    .B(\u_async_wb.u_cmd_if.mem[2][66] ),
-    .X(_2307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4741_ (.A(_0705_),
-    .X(_2308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4742_ (.A(_2308_),
-    .B(\u_async_wb.u_cmd_if.mem[3][66] ),
-    .X(_2309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4743_ (.A(_2291_),
-    .B(_2307_),
-    .C(_2309_),
-    .X(_2310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4744_ (.A(_2296_),
-    .B(\u_async_wb.u_cmd_if.mem[0][66] ),
-    .X(_2311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4745_ (.A(_2288_),
-    .B(\u_async_wb.u_cmd_if.mem[1][66] ),
-    .X(_2312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4746_ (.A(_2295_),
-    .B(_2311_),
-    .C(_2312_),
-    .X(_2313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4747_ (.A1(_2310_),
-    .A2(_2313_),
-    .B1(_2306_),
-    .X(wbs_adr_o[29]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4748_ (.A(_0693_),
-    .B(\u_async_wb.u_cmd_if.mem[2][67] ),
-    .X(_2314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4749_ (.A(_2308_),
-    .B(\u_async_wb.u_cmd_if.mem[3][67] ),
-    .X(_2315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4750_ (.A(_2291_),
-    .B(_2314_),
-    .C(_2315_),
-    .X(_2316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4751_ (.A(_2296_),
-    .B(\u_async_wb.u_cmd_if.mem[0][67] ),
-    .X(_2317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4752_ (.A(_1779_),
-    .B(\u_async_wb.u_cmd_if.mem[1][67] ),
-    .X(_2318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4753_ (.A(_2295_),
-    .B(_2317_),
-    .C(_2318_),
-    .X(_2319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4754_ (.A1(_2316_),
-    .A2(_2319_),
-    .B1(_2306_),
+    .B1(_2278_),
     .X(wbs_adr_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4755_ (.A(_0693_),
+ sky130_fd_sc_hd__or2_4 _4691_ (.A(_0686_),
     .B(\u_async_wb.u_cmd_if.mem[2][68] ),
-    .X(_2320_),
+    .X(_2291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4756_ (.A(_2308_),
+ sky130_fd_sc_hd__or2_4 _4692_ (.A(_1771_),
     .B(\u_async_wb.u_cmd_if.mem[3][68] ),
-    .X(_2321_),
+    .X(_2292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4757_ (.A(_0689_),
-    .B(_2320_),
-    .C(_2321_),
-    .X(_2322_),
+ sky130_fd_sc_hd__and3_4 _4693_ (.A(_0683_),
+    .B(_2291_),
+    .C(_2292_),
+    .X(_2293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4758_ (.A(_1775_),
+ sky130_fd_sc_hd__or2_4 _4694_ (.A(_1746_),
     .B(\u_async_wb.u_cmd_if.mem[0][68] ),
-    .X(_2323_),
+    .X(_2294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4759_ (.A(_1779_),
+ sky130_fd_sc_hd__or2_4 _4695_ (.A(_1750_),
     .B(\u_async_wb.u_cmd_if.mem[1][68] ),
-    .X(_2324_),
+    .X(_2295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4760_ (.A(_0712_),
-    .B(_2323_),
-    .C(_2324_),
-    .X(_2325_),
+ sky130_fd_sc_hd__and3_4 _4696_ (.A(_0704_),
+    .B(_2294_),
+    .C(_2295_),
+    .X(_2296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4761_ (.A1(_2322_),
-    .A2(_2325_),
-    .B1(_2306_),
+ sky130_fd_sc_hd__o21a_4 _4697_ (.A1(_2293_),
+    .A2(_2296_),
+    .B1(_2278_),
     .X(wbs_adr_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4762_ (.A(_0693_),
-    .B(\u_async_wb.u_cmd_if.mem[2][70] ),
-    .X(_2326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4763_ (.A(_2308_),
-    .B(\u_async_wb.u_cmd_if.mem[3][70] ),
-    .X(_2327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4764_ (.A(_0689_),
-    .B(_2326_),
-    .C(_2327_),
-    .X(_2328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4765_ (.A(_1775_),
-    .B(\u_async_wb.u_cmd_if.mem[0][70] ),
-    .X(_2329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _4766_ (.A(_1779_),
-    .B(\u_async_wb.u_cmd_if.mem[1][70] ),
-    .X(_2330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _4767_ (.A(_0712_),
-    .B(_2329_),
-    .C(_2330_),
-    .X(_2331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _4768_ (.A1(_2328_),
-    .A2(_2331_),
-    .B1(_1785_),
-    .X(wbs_cyc_o),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _4769_ (.A1(_0646_),
+ sky130_fd_sc_hd__o22a_4 _4698_ (.A1(_0639_),
     .A2(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
     .B1(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
-    .B2(_1152_),
-    .X(_2332_),
+    .B2(_1135_),
+    .X(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _4770_ (.A(\u_wbclk.low_count ),
+ sky130_fd_sc_hd__or3_4 _4699_ (.A(\u_wbclk.low_count ),
     .B(\u_wbclk.high_count ),
-    .C(_2332_),
-    .X(_2333_),
+    .C(_2297_),
+    .X(_2298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _4771_ (.A(_2333_),
+ sky130_fd_sc_hd__inv_2 _4700_ (.A(_2298_),
     .Y(_0001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _4772_ (.A1(\u_wbclk.low_count ),
+ sky130_fd_sc_hd__o21ai_4 _4701_ (.A1(\u_wbclk.low_count ),
     .A2(\u_wbclk.clk_o ),
-    .B1(_1156_),
+    .B1(_1140_),
     .Y(_0002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _4773_ (.A(_0659_),
-    .X(_0160_),
+ sky130_fd_sc_hd__buf_2 _4702_ (.A(_0652_),
+    .X(_0161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4774_ (.LO(la_data_out[0]),
+ sky130_fd_sc_hd__conb_1 _4703_ (.LO(la_data_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4775_ (.LO(la_data_out[1]),
+ sky130_fd_sc_hd__conb_1 _4704_ (.LO(la_data_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4776_ (.LO(la_data_out[2]),
+ sky130_fd_sc_hd__conb_1 _4705_ (.LO(la_data_out[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4777_ (.LO(la_data_out[3]),
+ sky130_fd_sc_hd__conb_1 _4706_ (.LO(la_data_out[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4778_ (.LO(la_data_out[4]),
+ sky130_fd_sc_hd__conb_1 _4707_ (.LO(la_data_out[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4779_ (.LO(la_data_out[5]),
+ sky130_fd_sc_hd__conb_1 _4708_ (.LO(la_data_out[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4780_ (.LO(la_data_out[6]),
+ sky130_fd_sc_hd__conb_1 _4709_ (.LO(la_data_out[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4781_ (.LO(la_data_out[7]),
+ sky130_fd_sc_hd__conb_1 _4710_ (.LO(la_data_out[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4782_ (.LO(la_data_out[8]),
+ sky130_fd_sc_hd__conb_1 _4711_ (.LO(la_data_out[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4783_ (.LO(la_data_out[9]),
+ sky130_fd_sc_hd__conb_1 _4712_ (.LO(la_data_out[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4784_ (.LO(la_data_out[10]),
+ sky130_fd_sc_hd__conb_1 _4713_ (.LO(la_data_out[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4785_ (.LO(la_data_out[11]),
+ sky130_fd_sc_hd__conb_1 _4714_ (.LO(la_data_out[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4786_ (.LO(la_data_out[12]),
+ sky130_fd_sc_hd__conb_1 _4715_ (.LO(la_data_out[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4787_ (.LO(la_data_out[13]),
+ sky130_fd_sc_hd__conb_1 _4716_ (.LO(la_data_out[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4788_ (.LO(la_data_out[14]),
+ sky130_fd_sc_hd__conb_1 _4717_ (.LO(la_data_out[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4789_ (.LO(la_data_out[15]),
+ sky130_fd_sc_hd__conb_1 _4718_ (.LO(la_data_out[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4790_ (.LO(la_data_out[16]),
+ sky130_fd_sc_hd__conb_1 _4719_ (.LO(la_data_out[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4791_ (.LO(la_data_out[17]),
+ sky130_fd_sc_hd__conb_1 _4720_ (.LO(la_data_out[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4792_ (.LO(la_data_out[18]),
+ sky130_fd_sc_hd__conb_1 _4721_ (.LO(la_data_out[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4793_ (.LO(la_data_out[19]),
+ sky130_fd_sc_hd__conb_1 _4722_ (.LO(la_data_out[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4794_ (.LO(la_data_out[20]),
+ sky130_fd_sc_hd__conb_1 _4723_ (.LO(la_data_out[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4795_ (.LO(la_data_out[21]),
+ sky130_fd_sc_hd__conb_1 _4724_ (.LO(la_data_out[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4796_ (.LO(la_data_out[22]),
+ sky130_fd_sc_hd__conb_1 _4725_ (.LO(la_data_out[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4797_ (.LO(la_data_out[23]),
+ sky130_fd_sc_hd__conb_1 _4726_ (.LO(la_data_out[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4798_ (.LO(la_data_out[24]),
+ sky130_fd_sc_hd__conb_1 _4727_ (.LO(la_data_out[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4799_ (.LO(la_data_out[25]),
+ sky130_fd_sc_hd__conb_1 _4728_ (.LO(la_data_out[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4800_ (.LO(la_data_out[26]),
+ sky130_fd_sc_hd__conb_1 _4729_ (.LO(la_data_out[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4801_ (.LO(la_data_out[27]),
+ sky130_fd_sc_hd__conb_1 _4730_ (.LO(la_data_out[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4802_ (.LO(la_data_out[28]),
+ sky130_fd_sc_hd__conb_1 _4731_ (.LO(la_data_out[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4803_ (.LO(la_data_out[29]),
+ sky130_fd_sc_hd__conb_1 _4732_ (.LO(la_data_out[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4804_ (.LO(la_data_out[30]),
+ sky130_fd_sc_hd__conb_1 _4733_ (.LO(la_data_out[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4805_ (.LO(la_data_out[31]),
+ sky130_fd_sc_hd__conb_1 _4734_ (.LO(la_data_out[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4806_ (.LO(la_data_out[32]),
+ sky130_fd_sc_hd__conb_1 _4735_ (.LO(la_data_out[32]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4807_ (.LO(la_data_out[33]),
+ sky130_fd_sc_hd__conb_1 _4736_ (.LO(la_data_out[33]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4808_ (.LO(la_data_out[34]),
+ sky130_fd_sc_hd__conb_1 _4737_ (.LO(la_data_out[34]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4809_ (.LO(la_data_out[35]),
+ sky130_fd_sc_hd__conb_1 _4738_ (.LO(la_data_out[35]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4810_ (.LO(la_data_out[36]),
+ sky130_fd_sc_hd__conb_1 _4739_ (.LO(la_data_out[36]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4811_ (.LO(la_data_out[37]),
+ sky130_fd_sc_hd__conb_1 _4740_ (.LO(la_data_out[37]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4812_ (.LO(la_data_out[38]),
+ sky130_fd_sc_hd__conb_1 _4741_ (.LO(la_data_out[38]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4813_ (.LO(la_data_out[39]),
+ sky130_fd_sc_hd__conb_1 _4742_ (.LO(la_data_out[39]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4814_ (.LO(la_data_out[40]),
+ sky130_fd_sc_hd__conb_1 _4743_ (.LO(la_data_out[40]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4815_ (.LO(la_data_out[41]),
+ sky130_fd_sc_hd__conb_1 _4744_ (.LO(la_data_out[41]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4816_ (.LO(la_data_out[42]),
+ sky130_fd_sc_hd__conb_1 _4745_ (.LO(la_data_out[42]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4817_ (.LO(la_data_out[43]),
+ sky130_fd_sc_hd__conb_1 _4746_ (.LO(la_data_out[43]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4818_ (.LO(la_data_out[44]),
+ sky130_fd_sc_hd__conb_1 _4747_ (.LO(la_data_out[44]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4819_ (.LO(la_data_out[45]),
+ sky130_fd_sc_hd__conb_1 _4748_ (.LO(la_data_out[45]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4820_ (.LO(la_data_out[46]),
+ sky130_fd_sc_hd__conb_1 _4749_ (.LO(la_data_out[46]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4821_ (.LO(la_data_out[47]),
+ sky130_fd_sc_hd__conb_1 _4750_ (.LO(la_data_out[47]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4822_ (.LO(la_data_out[48]),
+ sky130_fd_sc_hd__conb_1 _4751_ (.LO(la_data_out[48]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4823_ (.LO(la_data_out[49]),
+ sky130_fd_sc_hd__conb_1 _4752_ (.LO(la_data_out[49]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4824_ (.LO(la_data_out[50]),
+ sky130_fd_sc_hd__conb_1 _4753_ (.LO(la_data_out[50]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4825_ (.LO(la_data_out[51]),
+ sky130_fd_sc_hd__conb_1 _4754_ (.LO(la_data_out[51]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4826_ (.LO(la_data_out[52]),
+ sky130_fd_sc_hd__conb_1 _4755_ (.LO(la_data_out[52]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4827_ (.LO(la_data_out[53]),
+ sky130_fd_sc_hd__conb_1 _4756_ (.LO(la_data_out[53]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4828_ (.LO(la_data_out[54]),
+ sky130_fd_sc_hd__conb_1 _4757_ (.LO(la_data_out[54]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4829_ (.LO(la_data_out[55]),
+ sky130_fd_sc_hd__conb_1 _4758_ (.LO(la_data_out[55]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4830_ (.LO(la_data_out[56]),
+ sky130_fd_sc_hd__conb_1 _4759_ (.LO(la_data_out[56]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4831_ (.LO(la_data_out[57]),
+ sky130_fd_sc_hd__conb_1 _4760_ (.LO(la_data_out[57]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4832_ (.LO(la_data_out[58]),
+ sky130_fd_sc_hd__conb_1 _4761_ (.LO(la_data_out[58]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4833_ (.LO(la_data_out[59]),
+ sky130_fd_sc_hd__conb_1 _4762_ (.LO(la_data_out[59]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4834_ (.LO(la_data_out[60]),
+ sky130_fd_sc_hd__conb_1 _4763_ (.LO(la_data_out[60]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4835_ (.LO(la_data_out[61]),
+ sky130_fd_sc_hd__conb_1 _4764_ (.LO(la_data_out[61]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4836_ (.LO(la_data_out[62]),
+ sky130_fd_sc_hd__conb_1 _4765_ (.LO(la_data_out[62]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _4766_ (.LO(la_data_out[63]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4837_ (.LO(la_data_out[63]),
+ sky130_fd_sc_hd__conb_1 _4767_ (.LO(la_data_out[64]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4838_ (.LO(la_data_out[64]),
+ sky130_fd_sc_hd__conb_1 _4768_ (.LO(la_data_out[65]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4839_ (.LO(la_data_out[65]),
+ sky130_fd_sc_hd__conb_1 _4769_ (.LO(la_data_out[66]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4840_ (.LO(la_data_out[66]),
+ sky130_fd_sc_hd__conb_1 _4770_ (.LO(la_data_out[67]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4841_ (.LO(la_data_out[67]),
+ sky130_fd_sc_hd__conb_1 _4771_ (.LO(la_data_out[68]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4842_ (.LO(la_data_out[68]),
+ sky130_fd_sc_hd__conb_1 _4772_ (.LO(la_data_out[69]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4843_ (.LO(la_data_out[69]),
+ sky130_fd_sc_hd__conb_1 _4773_ (.LO(la_data_out[70]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4844_ (.LO(la_data_out[70]),
+ sky130_fd_sc_hd__conb_1 _4774_ (.LO(la_data_out[71]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4845_ (.LO(la_data_out[71]),
+ sky130_fd_sc_hd__conb_1 _4775_ (.LO(la_data_out[72]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4846_ (.LO(la_data_out[72]),
+ sky130_fd_sc_hd__conb_1 _4776_ (.LO(la_data_out[73]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4847_ (.LO(la_data_out[73]),
+ sky130_fd_sc_hd__conb_1 _4777_ (.LO(la_data_out[74]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4848_ (.LO(la_data_out[74]),
+ sky130_fd_sc_hd__conb_1 _4778_ (.LO(la_data_out[75]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4849_ (.LO(la_data_out[75]),
+ sky130_fd_sc_hd__conb_1 _4779_ (.LO(la_data_out[76]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4850_ (.LO(la_data_out[76]),
+ sky130_fd_sc_hd__conb_1 _4780_ (.LO(la_data_out[77]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4851_ (.LO(la_data_out[77]),
+ sky130_fd_sc_hd__conb_1 _4781_ (.LO(la_data_out[78]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4852_ (.LO(la_data_out[78]),
+ sky130_fd_sc_hd__conb_1 _4782_ (.LO(la_data_out[79]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4853_ (.LO(la_data_out[79]),
+ sky130_fd_sc_hd__conb_1 _4783_ (.LO(la_data_out[80]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4854_ (.LO(la_data_out[80]),
+ sky130_fd_sc_hd__conb_1 _4784_ (.LO(la_data_out[81]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4855_ (.LO(la_data_out[81]),
+ sky130_fd_sc_hd__conb_1 _4785_ (.LO(la_data_out[82]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4856_ (.LO(la_data_out[82]),
+ sky130_fd_sc_hd__conb_1 _4786_ (.LO(la_data_out[83]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4857_ (.LO(la_data_out[83]),
+ sky130_fd_sc_hd__conb_1 _4787_ (.LO(la_data_out[84]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4858_ (.LO(la_data_out[84]),
+ sky130_fd_sc_hd__conb_1 _4788_ (.LO(la_data_out[85]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4859_ (.LO(la_data_out[85]),
+ sky130_fd_sc_hd__conb_1 _4789_ (.LO(la_data_out[86]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4860_ (.LO(la_data_out[86]),
+ sky130_fd_sc_hd__conb_1 _4790_ (.LO(la_data_out[87]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4861_ (.LO(la_data_out[87]),
+ sky130_fd_sc_hd__conb_1 _4791_ (.LO(la_data_out[88]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4862_ (.LO(la_data_out[88]),
+ sky130_fd_sc_hd__conb_1 _4792_ (.LO(la_data_out[89]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4863_ (.LO(la_data_out[89]),
+ sky130_fd_sc_hd__conb_1 _4793_ (.LO(la_data_out[90]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4864_ (.LO(la_data_out[90]),
+ sky130_fd_sc_hd__conb_1 _4794_ (.LO(la_data_out[91]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4865_ (.LO(la_data_out[91]),
+ sky130_fd_sc_hd__conb_1 _4795_ (.LO(la_data_out[92]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4866_ (.LO(la_data_out[92]),
+ sky130_fd_sc_hd__conb_1 _4796_ (.LO(la_data_out[93]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4867_ (.LO(la_data_out[93]),
+ sky130_fd_sc_hd__conb_1 _4797_ (.LO(la_data_out[94]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4868_ (.LO(la_data_out[94]),
+ sky130_fd_sc_hd__conb_1 _4798_ (.LO(la_data_out[95]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4869_ (.LO(la_data_out[95]),
+ sky130_fd_sc_hd__conb_1 _4799_ (.LO(la_data_out[96]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4870_ (.LO(la_data_out[96]),
+ sky130_fd_sc_hd__conb_1 _4800_ (.LO(la_data_out[97]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4871_ (.LO(la_data_out[97]),
+ sky130_fd_sc_hd__conb_1 _4801_ (.LO(la_data_out[98]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4872_ (.LO(la_data_out[98]),
+ sky130_fd_sc_hd__conb_1 _4802_ (.LO(la_data_out[99]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4873_ (.LO(la_data_out[99]),
+ sky130_fd_sc_hd__conb_1 _4803_ (.LO(la_data_out[100]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4874_ (.LO(la_data_out[100]),
+ sky130_fd_sc_hd__conb_1 _4804_ (.LO(la_data_out[101]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4875_ (.LO(la_data_out[101]),
+ sky130_fd_sc_hd__conb_1 _4805_ (.LO(la_data_out[102]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4876_ (.LO(la_data_out[102]),
+ sky130_fd_sc_hd__conb_1 _4806_ (.LO(la_data_out[103]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4877_ (.LO(la_data_out[103]),
+ sky130_fd_sc_hd__conb_1 _4807_ (.LO(la_data_out[104]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4878_ (.LO(la_data_out[104]),
+ sky130_fd_sc_hd__conb_1 _4808_ (.LO(la_data_out[105]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4879_ (.LO(la_data_out[105]),
+ sky130_fd_sc_hd__conb_1 _4809_ (.LO(la_data_out[106]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4880_ (.LO(la_data_out[106]),
+ sky130_fd_sc_hd__conb_1 _4810_ (.LO(la_data_out[107]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4881_ (.LO(la_data_out[107]),
+ sky130_fd_sc_hd__conb_1 _4811_ (.LO(la_data_out[108]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4882_ (.LO(la_data_out[108]),
+ sky130_fd_sc_hd__conb_1 _4812_ (.LO(la_data_out[109]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4883_ (.LO(la_data_out[109]),
+ sky130_fd_sc_hd__conb_1 _4813_ (.LO(la_data_out[110]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4884_ (.LO(la_data_out[110]),
+ sky130_fd_sc_hd__conb_1 _4814_ (.LO(la_data_out[111]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4885_ (.LO(la_data_out[111]),
+ sky130_fd_sc_hd__conb_1 _4815_ (.LO(la_data_out[112]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4886_ (.LO(la_data_out[112]),
+ sky130_fd_sc_hd__conb_1 _4816_ (.LO(la_data_out[113]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4887_ (.LO(la_data_out[113]),
+ sky130_fd_sc_hd__conb_1 _4817_ (.LO(la_data_out[114]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4888_ (.LO(la_data_out[114]),
+ sky130_fd_sc_hd__conb_1 _4818_ (.LO(la_data_out[115]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4889_ (.LO(la_data_out[115]),
+ sky130_fd_sc_hd__conb_1 _4819_ (.LO(la_data_out[116]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4890_ (.LO(la_data_out[116]),
+ sky130_fd_sc_hd__conb_1 _4820_ (.LO(la_data_out[117]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4891_ (.LO(la_data_out[117]),
+ sky130_fd_sc_hd__conb_1 _4821_ (.LO(la_data_out[118]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4892_ (.LO(la_data_out[118]),
+ sky130_fd_sc_hd__conb_1 _4822_ (.LO(la_data_out[119]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4893_ (.LO(la_data_out[119]),
+ sky130_fd_sc_hd__conb_1 _4823_ (.LO(la_data_out[120]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4894_ (.LO(la_data_out[120]),
+ sky130_fd_sc_hd__conb_1 _4824_ (.LO(la_data_out[121]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4895_ (.LO(la_data_out[121]),
+ sky130_fd_sc_hd__conb_1 _4825_ (.LO(la_data_out[122]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4896_ (.LO(la_data_out[122]),
+ sky130_fd_sc_hd__conb_1 _4826_ (.LO(la_data_out[123]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4897_ (.LO(la_data_out[123]),
+ sky130_fd_sc_hd__conb_1 _4827_ (.LO(la_data_out[124]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4898_ (.LO(la_data_out[124]),
+ sky130_fd_sc_hd__conb_1 _4828_ (.LO(la_data_out[125]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4899_ (.LO(la_data_out[125]),
+ sky130_fd_sc_hd__conb_1 _4829_ (.LO(la_data_out[126]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4900_ (.LO(la_data_out[126]),
+ sky130_fd_sc_hd__conb_1 _4830_ (.LO(la_data_out[127]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _4901_ (.LO(la_data_out[127]),
+ sky130_fd_sc_hd__buf_2 _4831_ (.A(wbs_cyc_o),
+    .X(wbs_stb_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4902_ (.D(_0161_),
+ sky130_fd_sc_hd__dfxtp_4 _4832_ (.D(_0162_),
     .Q(\u_async_wb.u_resp_if.mem[1][0] ),
     .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4903_ (.D(_0162_),
+ sky130_fd_sc_hd__dfxtp_4 _4833_ (.D(_0163_),
     .Q(\u_async_wb.u_resp_if.mem[1][1] ),
+    .CLK(clknet_3_1_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4834_ (.D(_0164_),
+    .Q(\u_async_wb.u_resp_if.mem[1][2] ),
     .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4904_ (.D(_0163_),
-    .Q(\u_async_wb.u_resp_if.mem[1][2] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4905_ (.D(_0164_),
+ sky130_fd_sc_hd__dfxtp_4 _4835_ (.D(_0165_),
     .Q(\u_async_wb.u_resp_if.mem[1][3] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4906_ (.D(_0165_),
+ sky130_fd_sc_hd__dfxtp_4 _4836_ (.D(_0166_),
     .Q(\u_async_wb.u_resp_if.mem[1][4] ),
     .CLK(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4907_ (.D(_0166_),
+ sky130_fd_sc_hd__dfxtp_4 _4837_ (.D(_0167_),
     .Q(\u_async_wb.u_resp_if.mem[1][5] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4908_ (.D(_0167_),
-    .Q(\u_async_wb.u_resp_if.mem[1][6] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4909_ (.D(_0168_),
-    .Q(\u_async_wb.u_resp_if.mem[1][7] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4910_ (.D(_0169_),
-    .Q(\u_async_wb.u_resp_if.mem[1][8] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4911_ (.D(_0170_),
-    .Q(\u_async_wb.u_resp_if.mem[1][9] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4912_ (.D(_0171_),
-    .Q(\u_async_wb.u_resp_if.mem[1][10] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4913_ (.D(_0172_),
-    .Q(\u_async_wb.u_resp_if.mem[1][11] ),
     .CLK(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4914_ (.D(_0173_),
+ sky130_fd_sc_hd__dfxtp_4 _4838_ (.D(_0168_),
+    .Q(\u_async_wb.u_resp_if.mem[1][6] ),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4839_ (.D(_0169_),
+    .Q(\u_async_wb.u_resp_if.mem[1][7] ),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4840_ (.D(_0170_),
+    .Q(\u_async_wb.u_resp_if.mem[1][8] ),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4841_ (.D(_0171_),
+    .Q(\u_async_wb.u_resp_if.mem[1][9] ),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4842_ (.D(_0172_),
+    .Q(\u_async_wb.u_resp_if.mem[1][10] ),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4843_ (.D(_0173_),
+    .Q(\u_async_wb.u_resp_if.mem[1][11] ),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4844_ (.D(_0174_),
     .Q(\u_async_wb.u_resp_if.mem[1][12] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4915_ (.D(_0174_),
+ sky130_fd_sc_hd__dfxtp_4 _4845_ (.D(_0175_),
     .Q(\u_async_wb.u_resp_if.mem[1][13] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4916_ (.D(_0175_),
+ sky130_fd_sc_hd__dfxtp_4 _4846_ (.D(_0176_),
     .Q(\u_async_wb.u_resp_if.mem[1][14] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
+    .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4917_ (.D(_0176_),
+ sky130_fd_sc_hd__dfxtp_4 _4847_ (.D(_0177_),
     .Q(\u_async_wb.u_resp_if.mem[1][15] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4918_ (.D(_0177_),
+ sky130_fd_sc_hd__dfxtp_4 _4848_ (.D(_0178_),
     .Q(\u_async_wb.u_resp_if.mem[1][16] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
+    .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4919_ (.D(_0178_),
+ sky130_fd_sc_hd__dfxtp_4 _4849_ (.D(_0179_),
     .Q(\u_async_wb.u_resp_if.mem[1][17] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
+    .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4920_ (.D(_0179_),
+ sky130_fd_sc_hd__dfxtp_4 _4850_ (.D(_0180_),
     .Q(\u_async_wb.u_resp_if.mem[1][18] ),
     .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4921_ (.D(_0180_),
+ sky130_fd_sc_hd__dfxtp_4 _4851_ (.D(_0181_),
     .Q(\u_async_wb.u_resp_if.mem[1][19] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4922_ (.D(_0181_),
-    .Q(\u_async_wb.u_resp_if.mem[1][20] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4923_ (.D(_0182_),
-    .Q(\u_async_wb.u_resp_if.mem[1][21] ),
     .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4924_ (.D(_0183_),
+ sky130_fd_sc_hd__dfxtp_4 _4852_ (.D(_0182_),
+    .Q(\u_async_wb.u_resp_if.mem[1][20] ),
+    .CLK(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4853_ (.D(_0183_),
+    .Q(\u_async_wb.u_resp_if.mem[1][21] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4854_ (.D(_0184_),
     .Q(\u_async_wb.u_resp_if.mem[1][22] ),
     .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4925_ (.D(_0184_),
+ sky130_fd_sc_hd__dfxtp_4 _4855_ (.D(_0185_),
     .Q(\u_async_wb.u_resp_if.mem[1][23] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4856_ (.D(_0186_),
+    .Q(\u_async_wb.u_resp_if.mem[1][24] ),
+    .CLK(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4857_ (.D(_0187_),
+    .Q(\u_async_wb.u_resp_if.mem[1][25] ),
     .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4926_ (.D(_0185_),
-    .Q(\u_async_wb.u_resp_if.mem[1][24] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4927_ (.D(_0186_),
-    .Q(\u_async_wb.u_resp_if.mem[1][25] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4928_ (.D(_0187_),
+ sky130_fd_sc_hd__dfxtp_4 _4858_ (.D(_0188_),
     .Q(\u_async_wb.u_resp_if.mem[1][26] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4929_ (.D(_0188_),
+ sky130_fd_sc_hd__dfxtp_4 _4859_ (.D(_0189_),
     .Q(\u_async_wb.u_resp_if.mem[1][27] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4930_ (.D(_0189_),
+ sky130_fd_sc_hd__dfxtp_4 _4860_ (.D(_0190_),
     .Q(\u_async_wb.u_resp_if.mem[1][28] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4931_ (.D(_0190_),
+ sky130_fd_sc_hd__dfxtp_4 _4861_ (.D(_0191_),
     .Q(\u_async_wb.u_resp_if.mem[1][29] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4932_ (.D(_0191_),
+ sky130_fd_sc_hd__dfxtp_4 _4862_ (.D(_0192_),
     .Q(\u_async_wb.u_resp_if.mem[1][30] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4933_ (.D(_0192_),
+ sky130_fd_sc_hd__dfxtp_4 _4863_ (.D(_0193_),
     .Q(\u_async_wb.u_resp_if.mem[1][31] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4934_ (.D(_0193_),
+ sky130_fd_sc_hd__dfxtp_4 _4864_ (.D(_0194_),
     .Q(\u_async_wb.u_resp_if.mem[1][32] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4935_ (.D(_0194_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][0] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4936_ (.D(_0195_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][1] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4937_ (.D(_0196_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][2] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4938_ (.D(_0197_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][3] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4939_ (.D(_0198_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][4] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4940_ (.D(_0199_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][5] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4941_ (.D(_0200_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][6] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4942_ (.D(_0201_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][7] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4943_ (.D(_0202_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][8] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4944_ (.D(_0203_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][9] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4945_ (.D(_0204_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][10] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4946_ (.D(_0205_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][11] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4947_ (.D(_0206_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][12] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4948_ (.D(_0207_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][13] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4949_ (.D(_0208_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][14] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4950_ (.D(_0209_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][15] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4951_ (.D(_0210_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][16] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4952_ (.D(_0211_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][17] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4953_ (.D(_0212_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][18] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4954_ (.D(_0213_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][19] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4955_ (.D(_0214_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][20] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4956_ (.D(_0215_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][21] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4957_ (.D(_0216_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][22] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4958_ (.D(_0217_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][23] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4959_ (.D(_0218_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][24] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4960_ (.D(_0219_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][25] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4961_ (.D(_0220_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][26] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4962_ (.D(_0221_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][27] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4963_ (.D(_0222_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][28] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4964_ (.D(_0223_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][29] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4965_ (.D(_0224_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][30] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4966_ (.D(_0225_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][31] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4967_ (.D(_0226_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][32] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4968_ (.D(_0227_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][33] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4969_ (.D(_0228_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][34] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4970_ (.D(_0229_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][35] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4971_ (.D(_0230_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][36] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4972_ (.D(_0231_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][37] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4973_ (.D(_0232_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][38] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4974_ (.D(_0233_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][39] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4975_ (.D(_0234_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][40] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4976_ (.D(_0235_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][41] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4977_ (.D(_0236_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][42] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4978_ (.D(_0237_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][43] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4979_ (.D(_0238_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][44] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4980_ (.D(_0239_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][45] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4981_ (.D(_0240_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][46] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4982_ (.D(_0241_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][47] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4983_ (.D(_0242_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][48] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4984_ (.D(_0243_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][49] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4985_ (.D(_0244_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][50] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4986_ (.D(_0245_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][51] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4987_ (.D(_0246_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][52] ),
+ sky130_fd_sc_hd__dfxtp_4 _4865_ (.D(_0195_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][0] ),
     .CLK(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4988_ (.D(_0247_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][53] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_4 _4866_ (.D(_0196_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][1] ),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4989_ (.D(_0248_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][54] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4990_ (.D(_0249_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][55] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4991_ (.D(_0250_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][56] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4992_ (.D(_0251_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][57] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4993_ (.D(_0252_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][58] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4994_ (.D(_0253_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][59] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4995_ (.D(_0254_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][60] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4996_ (.D(_0255_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][61] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4997_ (.D(_0256_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][62] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4998_ (.D(_0257_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][63] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _4999_ (.D(_0258_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][64] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5000_ (.D(_0259_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][65] ),
+ sky130_fd_sc_hd__dfxtp_4 _4867_ (.D(_0197_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][2] ),
     .CLK(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5001_ (.D(_0260_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][66] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5002_ (.D(_0261_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][67] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5003_ (.D(_0262_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][68] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5004_ (.D(_0263_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][69] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5005_ (.D(_0264_),
-    .Q(\u_async_wb.u_cmd_if.mem[3][70] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5006_ (.D(_0265_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][0] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5007_ (.D(_0266_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][1] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5008_ (.D(_0267_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][2] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5009_ (.D(_0268_),
+ sky130_fd_sc_hd__dfxtp_4 _4868_ (.D(_0198_),
     .Q(\u_async_wb.u_cmd_if.mem[0][3] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
+    .CLK(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5010_ (.D(_0269_),
+ sky130_fd_sc_hd__dfxtp_4 _4869_ (.D(_0199_),
     .Q(\u_async_wb.u_cmd_if.mem[0][4] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5011_ (.D(_0270_),
+ sky130_fd_sc_hd__dfxtp_4 _4870_ (.D(_0200_),
     .Q(\u_async_wb.u_cmd_if.mem[0][5] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5012_ (.D(_0271_),
+ sky130_fd_sc_hd__dfxtp_4 _4871_ (.D(_0201_),
     .Q(\u_async_wb.u_cmd_if.mem[0][6] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
+    .CLK(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5013_ (.D(_0272_),
+ sky130_fd_sc_hd__dfxtp_4 _4872_ (.D(_0202_),
     .Q(\u_async_wb.u_cmd_if.mem[0][7] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
+    .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5014_ (.D(_0273_),
+ sky130_fd_sc_hd__dfxtp_4 _4873_ (.D(_0203_),
     .Q(\u_async_wb.u_cmd_if.mem[0][8] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
+    .CLK(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5015_ (.D(_0274_),
+ sky130_fd_sc_hd__dfxtp_4 _4874_ (.D(_0204_),
     .Q(\u_async_wb.u_cmd_if.mem[0][9] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
+    .CLK(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5016_ (.D(_0275_),
+ sky130_fd_sc_hd__dfxtp_4 _4875_ (.D(_0205_),
     .Q(\u_async_wb.u_cmd_if.mem[0][10] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
+    .CLK(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5017_ (.D(_0276_),
+ sky130_fd_sc_hd__dfxtp_4 _4876_ (.D(_0206_),
     .Q(\u_async_wb.u_cmd_if.mem[0][11] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
+    .CLK(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5018_ (.D(_0277_),
+ sky130_fd_sc_hd__dfxtp_4 _4877_ (.D(_0207_),
     .Q(\u_async_wb.u_cmd_if.mem[0][12] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
+    .CLK(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5019_ (.D(_0278_),
+ sky130_fd_sc_hd__dfxtp_4 _4878_ (.D(_0208_),
     .Q(\u_async_wb.u_cmd_if.mem[0][13] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
+    .CLK(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5020_ (.D(_0279_),
+ sky130_fd_sc_hd__dfxtp_4 _4879_ (.D(_0209_),
     .Q(\u_async_wb.u_cmd_if.mem[0][14] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
+    .CLK(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5021_ (.D(_0280_),
+ sky130_fd_sc_hd__dfxtp_4 _4880_ (.D(_0210_),
     .Q(\u_async_wb.u_cmd_if.mem[0][15] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
+    .CLK(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5022_ (.D(_0281_),
+ sky130_fd_sc_hd__dfxtp_4 _4881_ (.D(_0211_),
     .Q(\u_async_wb.u_cmd_if.mem[0][16] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
+    .CLK(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5023_ (.D(_0282_),
+ sky130_fd_sc_hd__dfxtp_4 _4882_ (.D(_0212_),
     .Q(\u_async_wb.u_cmd_if.mem[0][17] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5024_ (.D(_0283_),
+ sky130_fd_sc_hd__dfxtp_4 _4883_ (.D(_0213_),
     .Q(\u_async_wb.u_cmd_if.mem[0][18] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5025_ (.D(_0284_),
+ sky130_fd_sc_hd__dfxtp_4 _4884_ (.D(_0214_),
     .Q(\u_async_wb.u_cmd_if.mem[0][19] ),
     .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5026_ (.D(_0285_),
+ sky130_fd_sc_hd__dfxtp_4 _4885_ (.D(_0215_),
     .Q(\u_async_wb.u_cmd_if.mem[0][20] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5027_ (.D(_0286_),
+ sky130_fd_sc_hd__dfxtp_4 _4886_ (.D(_0216_),
     .Q(\u_async_wb.u_cmd_if.mem[0][21] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5028_ (.D(_0287_),
+ sky130_fd_sc_hd__dfxtp_4 _4887_ (.D(_0217_),
     .Q(\u_async_wb.u_cmd_if.mem[0][22] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5029_ (.D(_0288_),
+ sky130_fd_sc_hd__dfxtp_4 _4888_ (.D(_0218_),
     .Q(\u_async_wb.u_cmd_if.mem[0][23] ),
     .CLK(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5030_ (.D(_0289_),
+ sky130_fd_sc_hd__dfxtp_4 _4889_ (.D(_0219_),
     .Q(\u_async_wb.u_cmd_if.mem[0][24] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5031_ (.D(_0290_),
+ sky130_fd_sc_hd__dfxtp_4 _4890_ (.D(_0220_),
     .Q(\u_async_wb.u_cmd_if.mem[0][25] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5032_ (.D(_0291_),
+ sky130_fd_sc_hd__dfxtp_4 _4891_ (.D(_0221_),
     .Q(\u_async_wb.u_cmd_if.mem[0][26] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5033_ (.D(_0292_),
+ sky130_fd_sc_hd__dfxtp_4 _4892_ (.D(_0222_),
     .Q(\u_async_wb.u_cmd_if.mem[0][27] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5034_ (.D(_0293_),
+ sky130_fd_sc_hd__dfxtp_4 _4893_ (.D(_0223_),
     .Q(\u_async_wb.u_cmd_if.mem[0][28] ),
     .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5035_ (.D(_0294_),
+ sky130_fd_sc_hd__dfxtp_4 _4894_ (.D(_0224_),
     .Q(\u_async_wb.u_cmd_if.mem[0][29] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5036_ (.D(_0295_),
+ sky130_fd_sc_hd__dfxtp_4 _4895_ (.D(_0225_),
     .Q(\u_async_wb.u_cmd_if.mem[0][30] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5037_ (.D(_0296_),
+ sky130_fd_sc_hd__dfxtp_4 _4896_ (.D(_0226_),
     .Q(\u_async_wb.u_cmd_if.mem[0][31] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5038_ (.D(_0297_),
+ sky130_fd_sc_hd__dfxtp_4 _4897_ (.D(_0227_),
     .Q(\u_async_wb.u_cmd_if.mem[0][32] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5039_ (.D(_0298_),
+ sky130_fd_sc_hd__dfxtp_4 _4898_ (.D(_0228_),
     .Q(\u_async_wb.u_cmd_if.mem[0][33] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5040_ (.D(_0299_),
+ sky130_fd_sc_hd__dfxtp_4 _4899_ (.D(_0229_),
     .Q(\u_async_wb.u_cmd_if.mem[0][34] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5041_ (.D(_0300_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][35] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5042_ (.D(_0301_),
+ sky130_fd_sc_hd__dfxtp_4 _4900_ (.D(_0230_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][35] ),
+    .CLK(clknet_5_24_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4901_ (.D(_0231_),
     .Q(\u_async_wb.u_cmd_if.mem[0][36] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5043_ (.D(_0302_),
+ sky130_fd_sc_hd__dfxtp_4 _4902_ (.D(_0232_),
     .Q(\u_async_wb.u_cmd_if.mem[0][37] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5044_ (.D(_0303_),
+ sky130_fd_sc_hd__dfxtp_4 _4903_ (.D(_0233_),
     .Q(\u_async_wb.u_cmd_if.mem[0][38] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5045_ (.D(_0304_),
+ sky130_fd_sc_hd__dfxtp_4 _4904_ (.D(_0234_),
     .Q(\u_async_wb.u_cmd_if.mem[0][39] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5046_ (.D(_0305_),
+ sky130_fd_sc_hd__dfxtp_4 _4905_ (.D(_0235_),
     .Q(\u_async_wb.u_cmd_if.mem[0][40] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5047_ (.D(_0306_),
+ sky130_fd_sc_hd__dfxtp_4 _4906_ (.D(_0236_),
     .Q(\u_async_wb.u_cmd_if.mem[0][41] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5048_ (.D(_0307_),
+ sky130_fd_sc_hd__dfxtp_4 _4907_ (.D(_0237_),
     .Q(\u_async_wb.u_cmd_if.mem[0][42] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5049_ (.D(_0308_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][43] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5050_ (.D(_0309_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][44] ),
     .CLK(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5051_ (.D(_0310_),
+ sky130_fd_sc_hd__dfxtp_4 _4908_ (.D(_0238_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][43] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4909_ (.D(_0239_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][44] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4910_ (.D(_0240_),
     .Q(\u_async_wb.u_cmd_if.mem[0][45] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
+    .CLK(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5052_ (.D(_0311_),
+ sky130_fd_sc_hd__dfxtp_4 _4911_ (.D(_0241_),
     .Q(\u_async_wb.u_cmd_if.mem[0][46] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5053_ (.D(_0312_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][47] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5054_ (.D(_0313_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][48] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5055_ (.D(_0314_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][49] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5056_ (.D(_0315_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][50] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5057_ (.D(_0316_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][51] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5058_ (.D(_0317_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][52] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5059_ (.D(_0318_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][53] ),
     .CLK(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5060_ (.D(_0319_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][54] ),
+ sky130_fd_sc_hd__dfxtp_4 _4912_ (.D(_0242_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][47] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4913_ (.D(_0243_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][48] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4914_ (.D(_0244_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][49] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4915_ (.D(_0245_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][50] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4916_ (.D(_0246_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][51] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4917_ (.D(_0247_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][52] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4918_ (.D(_0248_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][53] ),
     .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5061_ (.D(_0320_),
+ sky130_fd_sc_hd__dfxtp_4 _4919_ (.D(_0249_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][54] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4920_ (.D(_0250_),
     .Q(\u_async_wb.u_cmd_if.mem[0][55] ),
     .CLK(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5062_ (.D(_0321_),
+ sky130_fd_sc_hd__dfxtp_4 _4921_ (.D(_0251_),
     .Q(\u_async_wb.u_cmd_if.mem[0][56] ),
     .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5063_ (.D(_0322_),
+ sky130_fd_sc_hd__dfxtp_4 _4922_ (.D(_0252_),
     .Q(\u_async_wb.u_cmd_if.mem[0][57] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
+    .CLK(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5064_ (.D(_0323_),
+ sky130_fd_sc_hd__dfxtp_4 _4923_ (.D(_0253_),
     .Q(\u_async_wb.u_cmd_if.mem[0][58] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
+    .CLK(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5065_ (.D(_0324_),
+ sky130_fd_sc_hd__dfxtp_4 _4924_ (.D(_0254_),
     .Q(\u_async_wb.u_cmd_if.mem[0][59] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4925_ (.D(_0255_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][60] ),
+    .CLK(clknet_5_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4926_ (.D(_0256_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][61] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4927_ (.D(_0257_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][62] ),
+    .CLK(clknet_5_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4928_ (.D(_0258_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][63] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4929_ (.D(_0259_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][64] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4930_ (.D(_0260_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][65] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4931_ (.D(_0261_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][66] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4932_ (.D(_0262_),
+    .Q(\u_async_wb.u_cmd_if.mem[0][67] ),
     .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5066_ (.D(_0325_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][60] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5067_ (.D(_0326_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][61] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5068_ (.D(_0327_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][62] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5069_ (.D(_0328_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][63] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5070_ (.D(_0329_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][64] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5071_ (.D(_0330_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][65] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5072_ (.D(_0331_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][66] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5073_ (.D(_0332_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][67] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5074_ (.D(_0333_),
+ sky130_fd_sc_hd__dfxtp_4 _4933_ (.D(_0263_),
     .Q(\u_async_wb.u_cmd_if.mem[0][68] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
+    .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5075_ (.D(_0334_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][69] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5076_ (.D(_0335_),
-    .Q(\u_async_wb.u_cmd_if.mem[0][70] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5077_ (.D(_0336_),
+ sky130_fd_sc_hd__dfxtp_4 _4934_ (.D(_0264_),
     .Q(\u_async_wb.u_cmd_if.mem[1][0] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5078_ (.D(_0337_),
+ sky130_fd_sc_hd__dfxtp_4 _4935_ (.D(_0265_),
     .Q(\u_async_wb.u_cmd_if.mem[1][1] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5079_ (.D(_0338_),
+ sky130_fd_sc_hd__dfxtp_4 _4936_ (.D(_0266_),
     .Q(\u_async_wb.u_cmd_if.mem[1][2] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5080_ (.D(_0339_),
+ sky130_fd_sc_hd__dfxtp_4 _4937_ (.D(_0267_),
     .Q(\u_async_wb.u_cmd_if.mem[1][3] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5081_ (.D(_0340_),
+ sky130_fd_sc_hd__dfxtp_4 _4938_ (.D(_0268_),
     .Q(\u_async_wb.u_cmd_if.mem[1][4] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5082_ (.D(_0341_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][5] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5083_ (.D(_0342_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][6] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5084_ (.D(_0343_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][7] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5085_ (.D(_0344_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][8] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5086_ (.D(_0345_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][9] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5087_ (.D(_0346_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][10] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5088_ (.D(_0347_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][11] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5089_ (.D(_0348_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][12] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5090_ (.D(_0349_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][13] ),
-    .CLK(clknet_5_12_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5091_ (.D(_0350_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][14] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5092_ (.D(_0351_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][15] ),
     .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5093_ (.D(_0352_),
+ sky130_fd_sc_hd__dfxtp_4 _4939_ (.D(_0269_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][5] ),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4940_ (.D(_0270_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][6] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4941_ (.D(_0271_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][7] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4942_ (.D(_0272_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][8] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4943_ (.D(_0273_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][9] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4944_ (.D(_0274_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][10] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4945_ (.D(_0275_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][11] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4946_ (.D(_0276_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][12] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4947_ (.D(_0277_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][13] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4948_ (.D(_0278_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4949_ (.D(_0279_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][15] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4950_ (.D(_0280_),
     .Q(\u_async_wb.u_cmd_if.mem[1][16] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5094_ (.D(_0353_),
+ sky130_fd_sc_hd__dfxtp_4 _4951_ (.D(_0281_),
     .Q(\u_async_wb.u_cmd_if.mem[1][17] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5095_ (.D(_0354_),
+ sky130_fd_sc_hd__dfxtp_4 _4952_ (.D(_0282_),
     .Q(\u_async_wb.u_cmd_if.mem[1][18] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5096_ (.D(_0355_),
+ sky130_fd_sc_hd__dfxtp_4 _4953_ (.D(_0283_),
     .Q(\u_async_wb.u_cmd_if.mem[1][19] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5097_ (.D(_0356_),
+ sky130_fd_sc_hd__dfxtp_4 _4954_ (.D(_0284_),
     .Q(\u_async_wb.u_cmd_if.mem[1][20] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5098_ (.D(_0357_),
+ sky130_fd_sc_hd__dfxtp_4 _4955_ (.D(_0285_),
     .Q(\u_async_wb.u_cmd_if.mem[1][21] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5099_ (.D(_0358_),
+ sky130_fd_sc_hd__dfxtp_4 _4956_ (.D(_0286_),
     .Q(\u_async_wb.u_cmd_if.mem[1][22] ),
     .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5100_ (.D(_0359_),
+ sky130_fd_sc_hd__dfxtp_4 _4957_ (.D(_0287_),
     .Q(\u_async_wb.u_cmd_if.mem[1][23] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5101_ (.D(_0360_),
+ sky130_fd_sc_hd__dfxtp_4 _4958_ (.D(_0288_),
     .Q(\u_async_wb.u_cmd_if.mem[1][24] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5102_ (.D(_0361_),
+ sky130_fd_sc_hd__dfxtp_4 _4959_ (.D(_0289_),
     .Q(\u_async_wb.u_cmd_if.mem[1][25] ),
     .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5103_ (.D(_0362_),
+ sky130_fd_sc_hd__dfxtp_4 _4960_ (.D(_0290_),
     .Q(\u_async_wb.u_cmd_if.mem[1][26] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5104_ (.D(_0363_),
+ sky130_fd_sc_hd__dfxtp_4 _4961_ (.D(_0291_),
     .Q(\u_async_wb.u_cmd_if.mem[1][27] ),
     .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5105_ (.D(_0364_),
+ sky130_fd_sc_hd__dfxtp_4 _4962_ (.D(_0292_),
     .Q(\u_async_wb.u_cmd_if.mem[1][28] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5106_ (.D(_0365_),
+ sky130_fd_sc_hd__dfxtp_4 _4963_ (.D(_0293_),
     .Q(\u_async_wb.u_cmd_if.mem[1][29] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5107_ (.D(_0366_),
+ sky130_fd_sc_hd__dfxtp_4 _4964_ (.D(_0294_),
     .Q(\u_async_wb.u_cmd_if.mem[1][30] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5108_ (.D(_0367_),
+ sky130_fd_sc_hd__dfxtp_4 _4965_ (.D(_0295_),
     .Q(\u_async_wb.u_cmd_if.mem[1][31] ),
-    .CLK(clknet_5_21_0_wbm_clk_i),
+    .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5109_ (.D(_0368_),
+ sky130_fd_sc_hd__dfxtp_4 _4966_ (.D(_0296_),
     .Q(\u_async_wb.u_cmd_if.mem[1][32] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5110_ (.D(_0369_),
+ sky130_fd_sc_hd__dfxtp_4 _4967_ (.D(_0297_),
     .Q(\u_async_wb.u_cmd_if.mem[1][33] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5111_ (.D(_0370_),
+ sky130_fd_sc_hd__dfxtp_4 _4968_ (.D(_0298_),
     .Q(\u_async_wb.u_cmd_if.mem[1][34] ),
     .CLK(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5112_ (.D(_0371_),
+ sky130_fd_sc_hd__dfxtp_4 _4969_ (.D(_0299_),
     .Q(\u_async_wb.u_cmd_if.mem[1][35] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
+    .CLK(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5113_ (.D(_0372_),
+ sky130_fd_sc_hd__dfxtp_4 _4970_ (.D(_0300_),
     .Q(\u_async_wb.u_cmd_if.mem[1][36] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5114_ (.D(_0373_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][37] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5115_ (.D(_0374_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][38] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5116_ (.D(_0375_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][39] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5117_ (.D(_0376_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][40] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5118_ (.D(_0377_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][41] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5119_ (.D(_0378_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][42] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5120_ (.D(_0379_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][43] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5121_ (.D(_0380_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][44] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5122_ (.D(_0381_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][45] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5123_ (.D(_0382_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][46] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5124_ (.D(_0383_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][47] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5125_ (.D(_0384_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][48] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5126_ (.D(_0385_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][49] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5127_ (.D(_0386_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][50] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5128_ (.D(_0387_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][51] ),
-    .CLK(clknet_5_10_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5129_ (.D(_0388_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][52] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5130_ (.D(_0389_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][53] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5131_ (.D(_0390_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][54] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5132_ (.D(_0391_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][55] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5133_ (.D(_0392_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][56] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5134_ (.D(_0393_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][57] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5135_ (.D(_0394_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][58] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5136_ (.D(_0395_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][59] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5137_ (.D(_0396_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][60] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5138_ (.D(_0397_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][61] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5139_ (.D(_0398_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][62] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5140_ (.D(_0399_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][63] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5141_ (.D(_0400_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][64] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5142_ (.D(_0401_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][65] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5143_ (.D(_0402_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][66] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5144_ (.D(_0403_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][67] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5145_ (.D(_0404_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][68] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5146_ (.D(_0405_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][69] ),
-    .CLK(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5147_ (.D(_0406_),
-    .Q(\u_async_wb.u_cmd_if.mem[1][70] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5148_ (.D(_0407_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][0] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5149_ (.D(_0408_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][1] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5150_ (.D(_0409_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][2] ),
-    .CLK(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5151_ (.D(_0410_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][3] ),
-    .CLK(clknet_5_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5152_ (.D(_0411_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][4] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5153_ (.D(_0412_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][5] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5154_ (.D(_0413_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][6] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5155_ (.D(_0414_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][7] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5156_ (.D(_0415_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][8] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5157_ (.D(_0416_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][9] ),
-    .CLK(clknet_5_0_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5158_ (.D(_0417_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][10] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5159_ (.D(_0418_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][11] ),
-    .CLK(clknet_5_1_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5160_ (.D(_0419_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][12] ),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5161_ (.D(_0420_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][13] ),
-    .CLK(clknet_5_14_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5162_ (.D(_0421_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][14] ),
-    .CLK(clknet_5_13_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5163_ (.D(_0422_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][15] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5164_ (.D(_0423_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][16] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5165_ (.D(_0424_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][17] ),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5166_ (.D(_0425_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][18] ),
     .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5167_ (.D(_0426_),
+ sky130_fd_sc_hd__dfxtp_4 _4971_ (.D(_0301_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][37] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4972_ (.D(_0302_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][38] ),
+    .CLK(clknet_5_24_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4973_ (.D(_0303_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][39] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4974_ (.D(_0304_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][40] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4975_ (.D(_0305_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][41] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4976_ (.D(_0306_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][42] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4977_ (.D(_0307_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][43] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4978_ (.D(_0308_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][44] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4979_ (.D(_0309_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][45] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4980_ (.D(_0310_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][46] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4981_ (.D(_0311_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][47] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4982_ (.D(_0312_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][48] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4983_ (.D(_0313_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][49] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4984_ (.D(_0314_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][50] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4985_ (.D(_0315_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][51] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4986_ (.D(_0316_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][52] ),
+    .CLK(clknet_5_5_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4987_ (.D(_0317_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][53] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4988_ (.D(_0318_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][54] ),
+    .CLK(clknet_5_6_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4989_ (.D(_0319_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][55] ),
+    .CLK(clknet_5_6_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4990_ (.D(_0320_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][56] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4991_ (.D(_0321_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][57] ),
+    .CLK(clknet_5_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4992_ (.D(_0322_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][58] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4993_ (.D(_0323_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][59] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4994_ (.D(_0324_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][60] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4995_ (.D(_0325_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][61] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4996_ (.D(_0326_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][62] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4997_ (.D(_0327_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][63] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4998_ (.D(_0328_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][64] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _4999_ (.D(_0329_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][65] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5000_ (.D(_0330_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][66] ),
+    .CLK(clknet_5_28_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5001_ (.D(_0331_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][67] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5002_ (.D(_0332_),
+    .Q(\u_async_wb.u_cmd_if.mem[1][68] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5003_ (.D(_0333_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][0] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5004_ (.D(_0334_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][1] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5005_ (.D(_0335_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][2] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5006_ (.D(_0336_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][3] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5007_ (.D(_0337_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][4] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5008_ (.D(_0338_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][5] ),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5009_ (.D(_0339_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][6] ),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5010_ (.D(_0340_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][7] ),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5011_ (.D(_0341_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][8] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5012_ (.D(_0342_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][9] ),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5013_ (.D(_0343_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][10] ),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5014_ (.D(_0344_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][11] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5015_ (.D(_0345_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][12] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5016_ (.D(_0346_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][13] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5017_ (.D(_0347_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][14] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5018_ (.D(_0348_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][15] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5019_ (.D(_0349_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][16] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5020_ (.D(_0350_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][17] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5021_ (.D(_0351_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][18] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5022_ (.D(_0352_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][19] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5023_ (.D(_0353_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][20] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5024_ (.D(_0354_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][21] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5025_ (.D(_0355_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][22] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5026_ (.D(_0356_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][23] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5027_ (.D(_0357_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][24] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5028_ (.D(_0358_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][25] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5029_ (.D(_0359_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][26] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5030_ (.D(_0360_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][27] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5031_ (.D(_0361_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][28] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5032_ (.D(_0362_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][29] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5033_ (.D(_0363_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][30] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5034_ (.D(_0364_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][31] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5035_ (.D(_0365_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][32] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5036_ (.D(_0366_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][33] ),
+    .CLK(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5037_ (.D(_0367_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][34] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5038_ (.D(_0368_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][35] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5039_ (.D(_0369_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][36] ),
+    .CLK(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5040_ (.D(_0370_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][37] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5041_ (.D(_0371_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][38] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5042_ (.D(_0372_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][39] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5043_ (.D(_0373_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][40] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5044_ (.D(_0374_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][41] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5045_ (.D(_0375_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][42] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5046_ (.D(_0376_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][43] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5047_ (.D(_0377_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][44] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5048_ (.D(_0378_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][45] ),
+    .CLK(clknet_5_9_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5049_ (.D(_0379_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][46] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5050_ (.D(_0380_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][47] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5051_ (.D(_0381_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][48] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5052_ (.D(_0382_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][49] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5053_ (.D(_0383_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][50] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5054_ (.D(_0384_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][51] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5055_ (.D(_0385_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][52] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5056_ (.D(_0386_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][53] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5057_ (.D(_0387_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][54] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5058_ (.D(_0388_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][55] ),
+    .CLK(clknet_5_6_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5059_ (.D(_0389_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][56] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5060_ (.D(_0390_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][57] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5061_ (.D(_0391_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][58] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5062_ (.D(_0392_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][59] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5063_ (.D(_0393_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][60] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5064_ (.D(_0394_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][61] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5065_ (.D(_0395_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][62] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5066_ (.D(_0396_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][63] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5067_ (.D(_0397_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][64] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5068_ (.D(_0398_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][65] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5069_ (.D(_0399_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][66] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5070_ (.D(_0400_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][67] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5071_ (.D(_0401_),
+    .Q(\u_async_wb.u_cmd_if.mem[3][68] ),
+    .CLK(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5072_ (.D(_0402_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][0] ),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5073_ (.D(_0403_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][1] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5074_ (.D(_0404_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][2] ),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5075_ (.D(_0405_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][3] ),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5076_ (.D(_0406_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][4] ),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5077_ (.D(_0407_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][5] ),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5078_ (.D(_0408_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][6] ),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5079_ (.D(_0409_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][7] ),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5080_ (.D(_0410_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][8] ),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5081_ (.D(_0411_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][9] ),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5082_ (.D(_0412_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][10] ),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5083_ (.D(_0413_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][11] ),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5084_ (.D(_0414_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][12] ),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5085_ (.D(_0415_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][13] ),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5086_ (.D(_0416_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5087_ (.D(_0417_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][15] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5088_ (.D(_0418_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5089_ (.D(_0419_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5090_ (.D(_0420_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][18] ),
+    .CLK(clknet_5_17_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5091_ (.D(_0421_),
     .Q(\u_async_wb.u_cmd_if.mem[2][19] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5168_ (.D(_0427_),
+ sky130_fd_sc_hd__dfxtp_4 _5092_ (.D(_0422_),
     .Q(\u_async_wb.u_cmd_if.mem[2][20] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5169_ (.D(_0428_),
+ sky130_fd_sc_hd__dfxtp_4 _5093_ (.D(_0423_),
     .Q(\u_async_wb.u_cmd_if.mem[2][21] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5170_ (.D(_0429_),
+ sky130_fd_sc_hd__dfxtp_4 _5094_ (.D(_0424_),
     .Q(\u_async_wb.u_cmd_if.mem[2][22] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5171_ (.D(_0430_),
+ sky130_fd_sc_hd__dfxtp_4 _5095_ (.D(_0425_),
     .Q(\u_async_wb.u_cmd_if.mem[2][23] ),
     .CLK(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5172_ (.D(_0431_),
+ sky130_fd_sc_hd__dfxtp_4 _5096_ (.D(_0426_),
     .Q(\u_async_wb.u_cmd_if.mem[2][24] ),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5173_ (.D(_0432_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][25] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5174_ (.D(_0433_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][26] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5175_ (.D(_0434_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][27] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5176_ (.D(_0435_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][28] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5177_ (.D(_0436_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][29] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5178_ (.D(_0437_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][30] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5179_ (.D(_0438_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][31] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5180_ (.D(_0439_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][32] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5181_ (.D(_0440_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][33] ),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5182_ (.D(_0441_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][34] ),
-    .CLK(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5183_ (.D(_0442_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][35] ),
     .CLK(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5184_ (.D(_0443_),
+ sky130_fd_sc_hd__dfxtp_4 _5097_ (.D(_0427_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][25] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5098_ (.D(_0428_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][26] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5099_ (.D(_0429_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][27] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5100_ (.D(_0430_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][28] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5101_ (.D(_0431_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][29] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5102_ (.D(_0432_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][30] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5103_ (.D(_0433_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][31] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5104_ (.D(_0434_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][32] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5105_ (.D(_0435_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][33] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5106_ (.D(_0436_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][34] ),
+    .CLK(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5107_ (.D(_0437_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][35] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5108_ (.D(_0438_),
     .Q(\u_async_wb.u_cmd_if.mem[2][36] ),
-    .CLK(clknet_5_19_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5185_ (.D(_0444_),
+ sky130_fd_sc_hd__dfxtp_4 _5109_ (.D(_0439_),
     .Q(\u_async_wb.u_cmd_if.mem[2][37] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
+    .CLK(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5186_ (.D(_0445_),
+ sky130_fd_sc_hd__dfxtp_4 _5110_ (.D(_0440_),
     .Q(\u_async_wb.u_cmd_if.mem[2][38] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5187_ (.D(_0446_),
+ sky130_fd_sc_hd__dfxtp_4 _5111_ (.D(_0441_),
     .Q(\u_async_wb.u_cmd_if.mem[2][39] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5188_ (.D(_0447_),
+ sky130_fd_sc_hd__dfxtp_4 _5112_ (.D(_0442_),
     .Q(\u_async_wb.u_cmd_if.mem[2][40] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5189_ (.D(_0448_),
+ sky130_fd_sc_hd__dfxtp_4 _5113_ (.D(_0443_),
     .Q(\u_async_wb.u_cmd_if.mem[2][41] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5190_ (.D(_0449_),
+ sky130_fd_sc_hd__dfxtp_4 _5114_ (.D(_0444_),
     .Q(\u_async_wb.u_cmd_if.mem[2][42] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5191_ (.D(_0450_),
+ sky130_fd_sc_hd__dfxtp_4 _5115_ (.D(_0445_),
     .Q(\u_async_wb.u_cmd_if.mem[2][43] ),
-    .CLK(clknet_5_17_0_wbm_clk_i),
+    .CLK(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5192_ (.D(_0451_),
+ sky130_fd_sc_hd__dfxtp_4 _5116_ (.D(_0446_),
     .Q(\u_async_wb.u_cmd_if.mem[2][44] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
+    .CLK(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5193_ (.D(_0452_),
+ sky130_fd_sc_hd__dfxtp_4 _5117_ (.D(_0447_),
     .Q(\u_async_wb.u_cmd_if.mem[2][45] ),
-    .CLK(clknet_5_18_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5194_ (.D(_0453_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][46] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5195_ (.D(_0454_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][47] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5196_ (.D(_0455_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][48] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5197_ (.D(_0456_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][49] ),
-    .CLK(clknet_5_11_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5198_ (.D(_0457_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][50] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5199_ (.D(_0458_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][51] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5200_ (.D(_0459_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][52] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5201_ (.D(_0460_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][53] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5202_ (.D(_0461_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][54] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5203_ (.D(_0462_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][55] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5204_ (.D(_0463_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][56] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5205_ (.D(_0464_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][57] ),
-    .CLK(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5206_ (.D(_0465_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][58] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5207_ (.D(_0466_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][59] ),
-    .CLK(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5208_ (.D(_0467_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][60] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5209_ (.D(_0468_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][61] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5210_ (.D(_0469_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][62] ),
-    .CLK(clknet_5_4_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5211_ (.D(_0470_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][63] ),
-    .CLK(clknet_5_3_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5212_ (.D(_0471_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][64] ),
-    .CLK(clknet_5_9_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5213_ (.D(_0472_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][65] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5214_ (.D(_0473_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][66] ),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5215_ (.D(_0474_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][67] ),
-    .CLK(clknet_5_24_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5216_ (.D(_0475_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][68] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5217_ (.D(_0476_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][69] ),
-    .CLK(clknet_5_16_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5218_ (.D(_0477_),
-    .Q(\u_async_wb.u_cmd_if.mem[2][70] ),
     .CLK(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5219_ (.D(_0478_),
+ sky130_fd_sc_hd__dfxtp_4 _5118_ (.D(_0448_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][46] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5119_ (.D(_0449_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][47] ),
+    .CLK(clknet_5_8_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5120_ (.D(_0450_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][48] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5121_ (.D(_0451_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][49] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5122_ (.D(_0452_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][50] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5123_ (.D(_0453_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][51] ),
+    .CLK(clknet_5_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5124_ (.D(_0454_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][52] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5125_ (.D(_0455_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][53] ),
+    .CLK(clknet_5_1_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5126_ (.D(_0456_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][54] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5127_ (.D(_0457_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][55] ),
+    .CLK(clknet_5_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5128_ (.D(_0458_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][56] ),
+    .CLK(clknet_5_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5129_ (.D(_0459_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][57] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5130_ (.D(_0460_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][58] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5131_ (.D(_0461_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][59] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5132_ (.D(_0462_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][60] ),
+    .CLK(clknet_5_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5133_ (.D(_0463_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][61] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5134_ (.D(_0464_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][62] ),
+    .CLK(clknet_5_2_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5135_ (.D(_0465_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][63] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5136_ (.D(_0466_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][64] ),
+    .CLK(clknet_5_23_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5137_ (.D(_0467_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][65] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5138_ (.D(_0468_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][66] ),
+    .CLK(clknet_5_22_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5139_ (.D(_0469_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][67] ),
+    .CLK(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5140_ (.D(_0470_),
+    .Q(\u_async_wb.u_cmd_if.mem[2][68] ),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5141_ (.D(_0471_),
     .Q(\u_async_wb.u_resp_if.mem[0][0] ),
+    .CLK(clknet_3_0_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5142_ (.D(_0472_),
+    .Q(\u_async_wb.u_resp_if.mem[0][1] ),
+    .CLK(clknet_3_1_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5143_ (.D(_0473_),
+    .Q(\u_async_wb.u_resp_if.mem[0][2] ),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5144_ (.D(_0474_),
+    .Q(\u_async_wb.u_resp_if.mem[0][3] ),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5145_ (.D(_0475_),
+    .Q(\u_async_wb.u_resp_if.mem[0][4] ),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5146_ (.D(_0476_),
+    .Q(\u_async_wb.u_resp_if.mem[0][5] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5147_ (.D(_0477_),
+    .Q(\u_async_wb.u_resp_if.mem[0][6] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5148_ (.D(_0478_),
+    .Q(\u_async_wb.u_resp_if.mem[0][7] ),
     .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5220_ (.D(_0479_),
-    .Q(\u_async_wb.u_resp_if.mem[0][1] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5221_ (.D(_0480_),
-    .Q(\u_async_wb.u_resp_if.mem[0][2] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5222_ (.D(_0481_),
-    .Q(\u_async_wb.u_resp_if.mem[0][3] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5223_ (.D(_0482_),
-    .Q(\u_async_wb.u_resp_if.mem[0][4] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5224_ (.D(_0483_),
-    .Q(\u_async_wb.u_resp_if.mem[0][5] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5225_ (.D(_0484_),
-    .Q(\u_async_wb.u_resp_if.mem[0][6] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5226_ (.D(_0485_),
-    .Q(\u_async_wb.u_resp_if.mem[0][7] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5227_ (.D(_0486_),
+ sky130_fd_sc_hd__dfxtp_4 _5149_ (.D(_0479_),
     .Q(\u_async_wb.u_resp_if.mem[0][8] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
+    .CLK(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5228_ (.D(_0487_),
+ sky130_fd_sc_hd__dfxtp_4 _5150_ (.D(_0480_),
     .Q(\u_async_wb.u_resp_if.mem[0][9] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
+    .CLK(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5229_ (.D(_0488_),
+ sky130_fd_sc_hd__dfxtp_4 _5151_ (.D(_0481_),
     .Q(\u_async_wb.u_resp_if.mem[0][10] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
+    .CLK(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5230_ (.D(_0489_),
+ sky130_fd_sc_hd__dfxtp_4 _5152_ (.D(_0482_),
     .Q(\u_async_wb.u_resp_if.mem[0][11] ),
     .CLK(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5231_ (.D(_0490_),
+ sky130_fd_sc_hd__dfxtp_4 _5153_ (.D(_0483_),
     .Q(\u_async_wb.u_resp_if.mem[0][12] ),
-    .CLK(clknet_3_7_0_wbs_clk_i),
+    .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5232_ (.D(_0491_),
+ sky130_fd_sc_hd__dfxtp_4 _5154_ (.D(_0484_),
     .Q(\u_async_wb.u_resp_if.mem[0][13] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5233_ (.D(_0492_),
-    .Q(\u_async_wb.u_resp_if.mem[0][14] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5234_ (.D(_0493_),
-    .Q(\u_async_wb.u_resp_if.mem[0][15] ),
-    .CLK(clknet_3_6_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5235_ (.D(_0494_),
-    .Q(\u_async_wb.u_resp_if.mem[0][16] ),
-    .CLK(clknet_3_1_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5236_ (.D(_0495_),
-    .Q(\u_async_wb.u_resp_if.mem[0][17] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5237_ (.D(_0496_),
-    .Q(\u_async_wb.u_resp_if.mem[0][18] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5238_ (.D(_0497_),
-    .Q(\u_async_wb.u_resp_if.mem[0][19] ),
     .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5239_ (.D(_0498_),
+ sky130_fd_sc_hd__dfxtp_4 _5155_ (.D(_0485_),
+    .Q(\u_async_wb.u_resp_if.mem[0][14] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5156_ (.D(_0486_),
+    .Q(\u_async_wb.u_resp_if.mem[0][15] ),
+    .CLK(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5157_ (.D(_0487_),
+    .Q(\u_async_wb.u_resp_if.mem[0][16] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5158_ (.D(_0488_),
+    .Q(\u_async_wb.u_resp_if.mem[0][17] ),
+    .CLK(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5159_ (.D(_0489_),
+    .Q(\u_async_wb.u_resp_if.mem[0][18] ),
+    .CLK(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5160_ (.D(_0490_),
+    .Q(\u_async_wb.u_resp_if.mem[0][19] ),
+    .CLK(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _5161_ (.D(_0491_),
     .Q(\u_async_wb.u_resp_if.mem[0][20] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5240_ (.D(_0499_),
+ sky130_fd_sc_hd__dfxtp_4 _5162_ (.D(_0492_),
     .Q(\u_async_wb.u_resp_if.mem[0][21] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5241_ (.D(_0500_),
+ sky130_fd_sc_hd__dfxtp_4 _5163_ (.D(_0493_),
     .Q(\u_async_wb.u_resp_if.mem[0][22] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5242_ (.D(_0501_),
+ sky130_fd_sc_hd__dfxtp_4 _5164_ (.D(_0494_),
     .Q(\u_async_wb.u_resp_if.mem[0][23] ),
-    .CLK(clknet_3_0_0_wbs_clk_i),
+    .CLK(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5243_ (.D(_0502_),
+ sky130_fd_sc_hd__dfxtp_4 _5165_ (.D(_0495_),
     .Q(\u_async_wb.u_resp_if.mem[0][24] ),
     .CLK(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5244_ (.D(_0503_),
+ sky130_fd_sc_hd__dfxtp_4 _5166_ (.D(_0496_),
     .Q(\u_async_wb.u_resp_if.mem[0][25] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5245_ (.D(_0504_),
+ sky130_fd_sc_hd__dfxtp_4 _5167_ (.D(_0497_),
     .Q(\u_async_wb.u_resp_if.mem[0][26] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5246_ (.D(_0505_),
+ sky130_fd_sc_hd__dfxtp_4 _5168_ (.D(_0498_),
     .Q(\u_async_wb.u_resp_if.mem[0][27] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5247_ (.D(_0506_),
+ sky130_fd_sc_hd__dfxtp_4 _5169_ (.D(_0499_),
     .Q(\u_async_wb.u_resp_if.mem[0][28] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5248_ (.D(_0507_),
+ sky130_fd_sc_hd__dfxtp_4 _5170_ (.D(_0500_),
     .Q(\u_async_wb.u_resp_if.mem[0][29] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5249_ (.D(_0508_),
+ sky130_fd_sc_hd__dfxtp_4 _5171_ (.D(_0501_),
     .Q(\u_async_wb.u_resp_if.mem[0][30] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5250_ (.D(_0509_),
+ sky130_fd_sc_hd__dfxtp_4 _5172_ (.D(_0502_),
     .Q(\u_async_wb.u_resp_if.mem[0][31] ),
-    .CLK(clknet_3_3_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _5251_ (.D(_0510_),
+ sky130_fd_sc_hd__dfxtp_4 _5173_ (.D(_0503_),
     .Q(\u_async_wb.u_resp_if.mem[0][32] ),
-    .CLK(clknet_3_2_0_wbs_clk_i),
+    .CLK(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5252_ (.D(_0000_),
+ sky130_fd_sc_hd__dfrtp_4 _5174_ (.D(_0000_),
     .Q(reg_ack),
     .RESET_B(_0003_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5253_ (.D(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5175_ (.D(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
     .RESET_B(_0004_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5254_ (.D(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5176_ (.D(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
     .RESET_B(_0005_),
+    .CLK(clknet_5_28_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5177_ (.D(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
+    .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .RESET_B(_0006_),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5178_ (.D(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
+    .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+    .RESET_B(_0007_),
     .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5255_ (.D(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
-    .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .RESET_B(_0006_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5256_ (.D(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
-    .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .RESET_B(_0007_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5257_ (.D(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5179_ (.D(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
     .RESET_B(_0008_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20508,7 +20206,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5258_ (.D(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5180_ (.D(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
     .RESET_B(_0009_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20516,15 +20214,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5259_ (.D(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5181_ (.D(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .RESET_B(_0010_),
-    .CLK(clknet_3_4_0_wbs_clk_i),
+    .CLK(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5260_ (.D(\u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5182_ (.D(\u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
     .RESET_B(_0011_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20532,7 +20230,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5261_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5183_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
     .RESET_B(_0012_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20540,15 +20238,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5262_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5184_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
     .RESET_B(_0013_),
-    .CLK(clknet_3_4_0_wbs_clk_i),
+    .CLK(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5263_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _5185_ (.D(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
     .RESET_B(_0014_),
     .CLK(clknet_3_4_0_wbs_clk_i),
@@ -20556,7 +20254,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5264_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5186_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
     .RESET_B(_0015_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20564,7 +20262,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5265_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5187_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
     .RESET_B(_0016_),
     .CLK(clknet_3_5_0_wbs_clk_i),
@@ -20572,7 +20270,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5266_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _5188_ (.D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
     .RESET_B(_0017_),
     .CLK(clknet_3_4_0_wbs_clk_i),
@@ -20580,1150 +20278,1158 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5267_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5189_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
     .RESET_B(_0018_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5268_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
-    .RESET_B(_0019_),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5269_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
-    .RESET_B(_0020_),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5270_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
-    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
-    .RESET_B(_0021_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5271_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
-    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
-    .RESET_B(_0022_),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5272_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
-    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .RESET_B(_0023_),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5273_ (.D(_0002_),
-    .Q(\u_wbclk.clk_o ),
-    .RESET_B(_0024_),
     .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5274_ (.D(_0001_),
-    .Q(\u_wbclk.high_count ),
+ sky130_fd_sc_hd__dfrtp_4 _5190_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+    .RESET_B(_0019_),
+    .CLK(clknet_5_24_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5191_ (.D(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+    .RESET_B(_0020_),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5192_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
+    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .RESET_B(_0021_),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5193_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .RESET_B(_0022_),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5194_ (.D(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+    .Q(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .RESET_B(_0023_),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5195_ (.D(wbs_ack_i),
+    .Q(\u_async_wb.wbs_ack_f ),
+    .RESET_B(_0024_),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5196_ (.D(_0002_),
+    .Q(\u_wbclk.clk_o ),
     .RESET_B(_0025_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5275_ (.D(_0511_),
-    .Q(\u_wbclk.low_count ),
+ sky130_fd_sc_hd__dfrtp_4 _5197_ (.D(_0001_),
+    .Q(\u_wbclk.high_count ),
     .RESET_B(_0026_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5276_ (.D(_0512_),
-    .Q(cfg_glb_ctrl[0]),
-    .RESET_B(_0027_),
     .CLK(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5277_ (.D(_0513_),
-    .Q(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dfrtp_4 _5198_ (.D(_0504_),
+    .Q(\u_wbclk.low_count ),
+    .RESET_B(_0027_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5199_ (.D(_0505_),
+    .Q(cfg_glb_ctrl[0]),
     .RESET_B(_0028_),
     .CLK(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5278_ (.D(_0514_),
-    .Q(cfg_glb_ctrl[7]),
+ sky130_fd_sc_hd__dfrtp_4 _5200_ (.D(_0506_),
+    .Q(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
     .RESET_B(_0029_),
     .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5279_ (.D(_0515_),
-    .Q(cfg_glb_ctrl[6]),
+ sky130_fd_sc_hd__dfrtp_4 _5201_ (.D(_0507_),
+    .Q(cfg_glb_ctrl[7]),
     .RESET_B(_0030_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5280_ (.D(_0516_),
-    .Q(cfg_glb_ctrl[5]),
-    .RESET_B(_0031_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5281_ (.D(_0517_),
-    .Q(cfg_glb_ctrl[4]),
-    .RESET_B(_0032_),
-    .CLK(clknet_5_14_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5282_ (.D(_0518_),
-    .Q(cfg_glb_ctrl[3]),
-    .RESET_B(_0033_),
     .CLK(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5283_ (.D(_0519_),
-    .Q(cfg_glb_ctrl[2]),
+ sky130_fd_sc_hd__dfrtp_4 _5202_ (.D(_0508_),
+    .Q(cfg_glb_ctrl[6]),
+    .RESET_B(_0031_),
+    .CLK(clknet_5_15_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5203_ (.D(_0509_),
+    .Q(cfg_glb_ctrl[5]),
+    .RESET_B(_0032_),
+    .CLK(clknet_5_15_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5204_ (.D(_0510_),
+    .Q(cfg_glb_ctrl[4]),
+    .RESET_B(_0033_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5205_ (.D(_0511_),
+    .Q(cfg_glb_ctrl[3]),
     .RESET_B(_0034_),
     .CLK(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5284_ (.D(_0520_),
-    .Q(cfg_glb_ctrl[1]),
+ sky130_fd_sc_hd__dfrtp_4 _5206_ (.D(_0512_),
+    .Q(cfg_glb_ctrl[2]),
     .RESET_B(_0035_),
-    .CLK(clknet_5_15_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5285_ (.D(_0521_),
-    .Q(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .RESET_B(_0036_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5286_ (.D(_0522_),
-    .Q(cfg_clk_ctrl2[9]),
-    .RESET_B(_0037_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5287_ (.D(_0523_),
-    .Q(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
-    .RESET_B(_0038_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5288_ (.D(_0524_),
-    .Q(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
-    .SET_B(_0039_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _5289_ (.D(_0525_),
-    .Q(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
-    .SET_B(_0040_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5290_ (.D(_0526_),
-    .Q(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
-    .RESET_B(_0041_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5291_ (.D(_0527_),
-    .Q(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
-    .RESET_B(_0042_),
     .CLK(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5292_ (.D(_0528_),
-    .Q(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
-    .RESET_B(_0043_),
-    .CLK(clknet_5_15_0_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _5207_ (.D(_0513_),
+    .Q(cfg_glb_ctrl[1]),
+    .RESET_B(_0036_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5293_ (.D(_0529_),
-    .Q(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
-    .RESET_B(_0044_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5294_ (.D(_0530_),
-    .Q(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .RESET_B(_0045_),
-    .CLK(clknet_3_7_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5295_ (.D(_0531_),
-    .Q(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .RESET_B(_0046_),
-    .CLK(clknet_3_5_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5296_ (.D(_0532_),
-    .Q(cfg_clk_ctrl1[30]),
-    .RESET_B(_0047_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5297_ (.D(_0533_),
-    .Q(cfg_clk_ctrl1[2]),
-    .RESET_B(_0048_),
+ sky130_fd_sc_hd__dfrtp_4 _5208_ (.D(_0514_),
+    .Q(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .RESET_B(_0037_),
     .CLK(clknet_5_30_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5298_ (.D(_0534_),
-    .Q(cfg_clk_ctrl1[28]),
-    .RESET_B(_0049_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5299_ (.D(_0535_),
-    .Q(cfg_clk_ctrl1[27]),
-    .RESET_B(_0050_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5300_ (.D(_0536_),
-    .Q(cfg_clk_ctrl1[26]),
-    .RESET_B(_0051_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5301_ (.D(_0537_),
-    .Q(cfg_clk_ctrl1[25]),
-    .RESET_B(_0052_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5302_ (.D(_0538_),
-    .Q(cfg_clk_ctrl1[24]),
-    .RESET_B(_0053_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5303_ (.D(_0539_),
-    .Q(cfg_clk_ctrl1[23]),
-    .RESET_B(_0054_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5304_ (.D(_0540_),
-    .Q(cfg_clk_ctrl1[22]),
-    .RESET_B(_0055_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5305_ (.D(_0541_),
-    .Q(cfg_clk_ctrl1[21]),
-    .RESET_B(_0056_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5306_ (.D(_0542_),
-    .Q(cfg_clk_ctrl1[20]),
-    .RESET_B(_0057_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5307_ (.D(_0543_),
-    .Q(cfg_clk_ctrl1[1]),
-    .RESET_B(_0058_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5308_ (.D(_0544_),
-    .Q(cfg_clk_ctrl1[18]),
-    .RESET_B(_0059_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5309_ (.D(_0545_),
-    .Q(cfg_clk_ctrl1[17]),
-    .RESET_B(_0060_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5310_ (.D(_0546_),
-    .Q(cfg_clk_ctrl1[16]),
-    .RESET_B(_0061_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5311_ (.D(_0547_),
-    .Q(cfg_clk_ctrl1[15]),
-    .RESET_B(_0062_),
+ sky130_fd_sc_hd__dfrtp_4 _5209_ (.D(_0515_),
+    .Q(cfg_clk_ctrl2[9]),
+    .RESET_B(_0038_),
     .CLK(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5312_ (.D(_0548_),
-    .Q(cfg_clk_ctrl1[14]),
-    .RESET_B(_0063_),
+ sky130_fd_sc_hd__dfrtp_4 _5210_ (.D(_0516_),
+    .Q(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+    .RESET_B(_0039_),
     .CLK(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5313_ (.D(_0549_),
-    .Q(cfg_clk_ctrl1[13]),
-    .RESET_B(_0064_),
+ sky130_fd_sc_hd__dfstp_4 _5211_ (.D(_0517_),
+    .Q(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+    .SET_B(_0040_),
+    .CLK(clknet_5_28_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _5212_ (.D(_0518_),
+    .Q(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
+    .SET_B(_0041_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5213_ (.D(_0519_),
+    .Q(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
+    .RESET_B(_0042_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5214_ (.D(_0520_),
+    .Q(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
+    .RESET_B(_0043_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5215_ (.D(_0521_),
+    .Q(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+    .RESET_B(_0044_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5216_ (.D(_0522_),
+    .Q(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+    .RESET_B(_0045_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5217_ (.D(_0523_),
+    .Q(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+    .RESET_B(_0046_),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5218_ (.D(_0524_),
+    .Q(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .RESET_B(_0047_),
+    .CLK(clknet_3_6_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5219_ (.D(_0525_),
+    .Q(cfg_clk_ctrl1[30]),
+    .RESET_B(_0048_),
     .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5314_ (.D(_0550_),
-    .Q(cfg_clk_ctrl1[12]),
+ sky130_fd_sc_hd__dfrtp_4 _5220_ (.D(_0526_),
+    .Q(cfg_clk_ctrl1[2]),
+    .RESET_B(_0049_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5221_ (.D(_0527_),
+    .Q(cfg_clk_ctrl1[28]),
+    .RESET_B(_0050_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5222_ (.D(_0528_),
+    .Q(cfg_clk_ctrl1[27]),
+    .RESET_B(_0051_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5223_ (.D(_0529_),
+    .Q(cfg_clk_ctrl1[26]),
+    .RESET_B(_0052_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5224_ (.D(_0530_),
+    .Q(cfg_clk_ctrl1[25]),
+    .RESET_B(_0053_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5225_ (.D(_0531_),
+    .Q(cfg_clk_ctrl1[24]),
+    .RESET_B(_0054_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5226_ (.D(_0532_),
+    .Q(cfg_clk_ctrl1[23]),
+    .RESET_B(_0055_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5227_ (.D(_0533_),
+    .Q(cfg_clk_ctrl1[22]),
+    .RESET_B(_0056_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5228_ (.D(_0534_),
+    .Q(cfg_clk_ctrl1[21]),
+    .RESET_B(_0057_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5229_ (.D(_0535_),
+    .Q(cfg_clk_ctrl1[20]),
+    .RESET_B(_0058_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5230_ (.D(_0536_),
+    .Q(cfg_clk_ctrl1[1]),
+    .RESET_B(_0059_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5231_ (.D(_0537_),
+    .Q(cfg_clk_ctrl1[18]),
+    .RESET_B(_0060_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5232_ (.D(_0538_),
+    .Q(cfg_clk_ctrl1[17]),
+    .RESET_B(_0061_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5233_ (.D(_0539_),
+    .Q(cfg_clk_ctrl1[16]),
+    .RESET_B(_0062_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5234_ (.D(_0540_),
+    .Q(cfg_clk_ctrl1[15]),
+    .RESET_B(_0063_),
+    .CLK(clknet_5_28_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5235_ (.D(_0541_),
+    .Q(cfg_clk_ctrl1[14]),
+    .RESET_B(_0064_),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5236_ (.D(_0542_),
+    .Q(cfg_clk_ctrl1[13]),
     .RESET_B(_0065_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5315_ (.D(_0551_),
-    .Q(cfg_clk_ctrl1[11]),
+ sky130_fd_sc_hd__dfrtp_4 _5237_ (.D(_0543_),
+    .Q(cfg_clk_ctrl1[12]),
     .RESET_B(_0066_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5316_ (.D(_0552_),
-    .Q(cfg_clk_ctrl1[10]),
+ sky130_fd_sc_hd__dfrtp_4 _5238_ (.D(_0544_),
+    .Q(cfg_clk_ctrl1[11]),
     .RESET_B(_0067_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5317_ (.D(_0553_),
-    .Q(cfg_clk_ctrl1[0]),
+ sky130_fd_sc_hd__dfrtp_4 _5239_ (.D(_0545_),
+    .Q(cfg_clk_ctrl1[10]),
     .RESET_B(_0068_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5318_ (.D(_0554_),
-    .Q(cfg_clk_ctrl1[8]),
+ sky130_fd_sc_hd__dfrtp_4 _5240_ (.D(_0546_),
+    .Q(cfg_clk_ctrl1[0]),
     .RESET_B(_0069_),
     .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5319_ (.D(_0555_),
-    .Q(cfg_clk_ctrl1[7]),
+ sky130_fd_sc_hd__dfrtp_4 _5241_ (.D(_0547_),
+    .Q(cfg_clk_ctrl1[8]),
     .RESET_B(_0070_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5320_ (.D(_0556_),
-    .Q(cfg_clk_ctrl1[6]),
+ sky130_fd_sc_hd__dfrtp_4 _5242_ (.D(_0548_),
+    .Q(cfg_clk_ctrl1[7]),
     .RESET_B(_0071_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5321_ (.D(_0557_),
-    .Q(cfg_clk_ctrl1[5]),
-    .RESET_B(_0072_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5322_ (.D(_0558_),
-    .Q(cfg_clk_ctrl1[4]),
-    .RESET_B(_0073_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5323_ (.D(_0559_),
-    .Q(cfg_clk_ctrl1[3]),
-    .RESET_B(_0074_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5324_ (.D(_0560_),
-    .Q(cfg_clk_ctrl1[31]),
-    .RESET_B(_0075_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5325_ (.D(_0561_),
-    .Q(cfg_clk_ctrl1[29]),
-    .RESET_B(_0076_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5326_ (.D(_0562_),
-    .Q(cfg_clk_ctrl1[19]),
-    .RESET_B(_0077_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5327_ (.D(_0563_),
-    .Q(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
-    .RESET_B(_0078_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5328_ (.D(_0564_),
-    .Q(cfg_clk_ctrl2[30]),
-    .RESET_B(_0079_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5329_ (.D(_0565_),
-    .Q(cfg_clk_ctrl2[2]),
-    .RESET_B(_0080_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5330_ (.D(_0566_),
-    .Q(cfg_clk_ctrl2[28]),
-    .RESET_B(_0081_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5331_ (.D(_0567_),
-    .Q(cfg_clk_ctrl2[27]),
-    .RESET_B(_0082_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5332_ (.D(_0568_),
-    .Q(cfg_clk_ctrl2[26]),
-    .RESET_B(_0083_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5333_ (.D(_0569_),
-    .Q(cfg_clk_ctrl2[25]),
-    .RESET_B(_0084_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5334_ (.D(_0570_),
-    .Q(cfg_clk_ctrl2[24]),
-    .RESET_B(_0085_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5335_ (.D(_0571_),
-    .Q(cfg_clk_ctrl2[23]),
-    .RESET_B(_0086_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5336_ (.D(_0572_),
-    .Q(cfg_clk_ctrl2[22]),
-    .RESET_B(_0087_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5337_ (.D(_0573_),
-    .Q(cfg_clk_ctrl2[21]),
-    .RESET_B(_0088_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5338_ (.D(_0574_),
-    .Q(cfg_clk_ctrl2[20]),
-    .RESET_B(_0089_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5339_ (.D(_0575_),
-    .Q(cfg_clk_ctrl2[1]),
-    .RESET_B(_0090_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5340_ (.D(_0576_),
-    .Q(cfg_clk_ctrl2[18]),
-    .RESET_B(_0091_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5341_ (.D(_0577_),
-    .Q(cfg_clk_ctrl2[17]),
-    .RESET_B(_0092_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5342_ (.D(_0578_),
-    .Q(cfg_clk_ctrl2[16]),
-    .RESET_B(_0093_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5343_ (.D(_0579_),
-    .Q(cfg_clk_ctrl2[15]),
-    .RESET_B(_0094_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5344_ (.D(_0580_),
-    .Q(cfg_clk_ctrl2[14]),
-    .RESET_B(_0095_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5345_ (.D(_0581_),
-    .Q(cfg_clk_ctrl2[13]),
-    .RESET_B(_0096_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5346_ (.D(_0582_),
-    .Q(cfg_clk_ctrl2[12]),
-    .RESET_B(_0097_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5347_ (.D(_0583_),
-    .Q(cfg_clk_ctrl2[11]),
-    .RESET_B(_0098_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5348_ (.D(_0584_),
-    .Q(cfg_clk_ctrl2[10]),
-    .RESET_B(_0099_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5349_ (.D(_0585_),
-    .Q(cfg_clk_ctrl2[0]),
-    .RESET_B(_0100_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5350_ (.D(_0586_),
-    .Q(cfg_clk_ctrl2[8]),
-    .RESET_B(_0101_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5351_ (.D(_0587_),
-    .Q(cfg_clk_ctrl2[7]),
-    .RESET_B(_0102_),
     .CLK(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5352_ (.D(_0588_),
-    .Q(cfg_clk_ctrl2[6]),
+ sky130_fd_sc_hd__dfrtp_4 _5243_ (.D(_0549_),
+    .Q(cfg_clk_ctrl1[6]),
+    .RESET_B(_0072_),
+    .CLK(clknet_5_15_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5244_ (.D(_0550_),
+    .Q(cfg_clk_ctrl1[5]),
+    .RESET_B(_0073_),
+    .CLK(clknet_5_15_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5245_ (.D(_0551_),
+    .Q(cfg_clk_ctrl1[4]),
+    .RESET_B(_0074_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5246_ (.D(_0552_),
+    .Q(cfg_clk_ctrl1[3]),
+    .RESET_B(_0075_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5247_ (.D(_0553_),
+    .Q(cfg_clk_ctrl1[31]),
+    .RESET_B(_0076_),
+    .CLK(clknet_5_6_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5248_ (.D(_0554_),
+    .Q(cfg_clk_ctrl1[29]),
+    .RESET_B(_0077_),
+    .CLK(clknet_5_14_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5249_ (.D(_0555_),
+    .Q(cfg_clk_ctrl1[19]),
+    .RESET_B(_0078_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5250_ (.D(_0556_),
+    .Q(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
+    .RESET_B(_0079_),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5251_ (.D(_0557_),
+    .Q(cfg_clk_ctrl2[30]),
+    .RESET_B(_0080_),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5252_ (.D(_0558_),
+    .Q(cfg_clk_ctrl2[2]),
+    .RESET_B(_0081_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5253_ (.D(_0559_),
+    .Q(cfg_clk_ctrl2[28]),
+    .RESET_B(_0082_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5254_ (.D(_0560_),
+    .Q(cfg_clk_ctrl2[27]),
+    .RESET_B(_0083_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5255_ (.D(_0561_),
+    .Q(cfg_clk_ctrl2[26]),
+    .RESET_B(_0084_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5256_ (.D(_0562_),
+    .Q(cfg_clk_ctrl2[25]),
+    .RESET_B(_0085_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5257_ (.D(_0563_),
+    .Q(cfg_clk_ctrl2[24]),
+    .RESET_B(_0086_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5258_ (.D(_0564_),
+    .Q(cfg_clk_ctrl2[23]),
+    .RESET_B(_0087_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5259_ (.D(_0565_),
+    .Q(cfg_clk_ctrl2[22]),
+    .RESET_B(_0088_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5260_ (.D(_0566_),
+    .Q(cfg_clk_ctrl2[21]),
+    .RESET_B(_0089_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5261_ (.D(_0567_),
+    .Q(cfg_clk_ctrl2[20]),
+    .RESET_B(_0090_),
+    .CLK(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5262_ (.D(_0568_),
+    .Q(cfg_clk_ctrl2[1]),
+    .RESET_B(_0091_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5263_ (.D(_0569_),
+    .Q(cfg_clk_ctrl2[18]),
+    .RESET_B(_0092_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5264_ (.D(_0570_),
+    .Q(cfg_clk_ctrl2[17]),
+    .RESET_B(_0093_),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5265_ (.D(_0571_),
+    .Q(cfg_clk_ctrl2[16]),
+    .RESET_B(_0094_),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5266_ (.D(_0572_),
+    .Q(cfg_clk_ctrl2[15]),
+    .RESET_B(_0095_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5267_ (.D(_0573_),
+    .Q(cfg_clk_ctrl2[14]),
+    .RESET_B(_0096_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5268_ (.D(_0574_),
+    .Q(cfg_clk_ctrl2[13]),
+    .RESET_B(_0097_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5269_ (.D(_0575_),
+    .Q(cfg_clk_ctrl2[12]),
+    .RESET_B(_0098_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5270_ (.D(_0576_),
+    .Q(cfg_clk_ctrl2[11]),
+    .RESET_B(_0099_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5271_ (.D(_0577_),
+    .Q(cfg_clk_ctrl2[10]),
+    .RESET_B(_0100_),
+    .CLK(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5272_ (.D(_0578_),
+    .Q(cfg_clk_ctrl2[0]),
+    .RESET_B(_0101_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5273_ (.D(_0579_),
+    .Q(cfg_clk_ctrl2[8]),
+    .RESET_B(_0102_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5274_ (.D(_0580_),
+    .Q(cfg_clk_ctrl2[7]),
     .RESET_B(_0103_),
     .CLK(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5353_ (.D(_0589_),
-    .Q(cfg_clk_ctrl2[5]),
+ sky130_fd_sc_hd__dfrtp_4 _5275_ (.D(_0581_),
+    .Q(cfg_clk_ctrl2[6]),
     .RESET_B(_0104_),
-    .CLK(clknet_5_14_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5354_ (.D(_0590_),
-    .Q(cfg_clk_ctrl2[4]),
+ sky130_fd_sc_hd__dfrtp_4 _5276_ (.D(_0582_),
+    .Q(cfg_clk_ctrl2[5]),
     .RESET_B(_0105_),
+    .CLK(clknet_5_15_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5277_ (.D(_0583_),
+    .Q(cfg_clk_ctrl2[4]),
+    .RESET_B(_0106_),
     .CLK(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5355_ (.D(_0591_),
+ sky130_fd_sc_hd__dfrtp_4 _5278_ (.D(_0584_),
     .Q(cfg_clk_ctrl2[3]),
-    .RESET_B(_0106_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5356_ (.D(_0592_),
-    .Q(cfg_clk_ctrl2[31]),
     .RESET_B(_0107_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
+    .CLK(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5357_ (.D(_0593_),
-    .Q(cfg_clk_ctrl2[29]),
+ sky130_fd_sc_hd__dfrtp_4 _5279_ (.D(_0585_),
+    .Q(cfg_clk_ctrl2[31]),
     .RESET_B(_0108_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
+    .CLK(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5358_ (.D(_0594_),
-    .Q(cfg_clk_ctrl2[19]),
+ sky130_fd_sc_hd__dfrtp_4 _5280_ (.D(_0586_),
+    .Q(cfg_clk_ctrl2[29]),
     .RESET_B(_0109_),
-    .CLK(clknet_5_26_0_wbm_clk_i),
+    .CLK(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5359_ (.D(_0595_),
-    .Q(cfg_clk_ctrl1[9]),
+ sky130_fd_sc_hd__dfrtp_4 _5281_ (.D(_0587_),
+    .Q(cfg_clk_ctrl2[19]),
     .RESET_B(_0110_),
     .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5360_ (.D(_0596_),
-    .Q(\reg_rdata[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5282_ (.D(_0588_),
+    .Q(cfg_clk_ctrl1[9]),
     .RESET_B(_0111_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5361_ (.D(_0597_),
-    .Q(\reg_rdata[1] ),
-    .RESET_B(_0112_),
     .CLK(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5362_ (.D(_0598_),
-    .Q(\reg_rdata[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _5283_ (.D(_0589_),
+    .Q(\reg_rdata[0] ),
+    .RESET_B(_0112_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5284_ (.D(_0590_),
+    .Q(\reg_rdata[1] ),
     .RESET_B(_0113_),
-    .CLK(clknet_5_13_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5363_ (.D(_0599_),
-    .Q(\reg_rdata[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _5285_ (.D(_0591_),
+    .Q(\reg_rdata[2] ),
     .RESET_B(_0114_),
-    .CLK(clknet_5_13_0_wbm_clk_i),
+    .CLK(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5364_ (.D(_0600_),
-    .Q(\reg_rdata[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _5286_ (.D(_0592_),
+    .Q(\reg_rdata[3] ),
     .RESET_B(_0115_),
     .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5365_ (.D(_0601_),
-    .Q(\reg_rdata[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _5287_ (.D(_0593_),
+    .Q(\reg_rdata[4] ),
     .RESET_B(_0116_),
-    .CLK(clknet_5_15_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5366_ (.D(_0602_),
-    .Q(\reg_rdata[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _5288_ (.D(_0594_),
+    .Q(\reg_rdata[5] ),
     .RESET_B(_0117_),
-    .CLK(clknet_5_15_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5367_ (.D(_0603_),
-    .Q(\reg_rdata[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _5289_ (.D(_0595_),
+    .Q(\reg_rdata[6] ),
     .RESET_B(_0118_),
-    .CLK(clknet_5_15_0_wbm_clk_i),
+    .CLK(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5368_ (.D(_0604_),
-    .Q(\reg_rdata[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _5290_ (.D(_0596_),
+    .Q(\reg_rdata[7] ),
     .RESET_B(_0119_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5291_ (.D(_0597_),
+    .Q(\reg_rdata[8] ),
+    .RESET_B(_0120_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5292_ (.D(_0598_),
+    .Q(\reg_rdata[9] ),
+    .RESET_B(_0121_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5293_ (.D(_0599_),
+    .Q(\reg_rdata[10] ),
+    .RESET_B(_0122_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5294_ (.D(_0600_),
+    .Q(\reg_rdata[11] ),
+    .RESET_B(_0123_),
     .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5369_ (.D(_0605_),
-    .Q(\reg_rdata[9] ),
-    .RESET_B(_0120_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5370_ (.D(_0606_),
-    .Q(\reg_rdata[10] ),
-    .RESET_B(_0121_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5371_ (.D(_0607_),
-    .Q(\reg_rdata[11] ),
-    .RESET_B(_0122_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5372_ (.D(_0608_),
+ sky130_fd_sc_hd__dfrtp_4 _5295_ (.D(_0601_),
     .Q(\reg_rdata[12] ),
-    .RESET_B(_0123_),
-    .CLK(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5373_ (.D(_0609_),
-    .Q(\reg_rdata[13] ),
     .RESET_B(_0124_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5374_ (.D(_0610_),
-    .Q(\reg_rdata[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _5296_ (.D(_0602_),
+    .Q(\reg_rdata[13] ),
     .RESET_B(_0125_),
-    .CLK(clknet_5_25_0_wbm_clk_i),
+    .CLK(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5375_ (.D(_0611_),
-    .Q(\reg_rdata[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _5297_ (.D(_0603_),
+    .Q(\reg_rdata[14] ),
     .RESET_B(_0126_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5376_ (.D(_0612_),
-    .Q(\reg_rdata[16] ),
-    .RESET_B(_0127_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5377_ (.D(_0613_),
-    .Q(\reg_rdata[17] ),
-    .RESET_B(_0128_),
     .CLK(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5378_ (.D(_0614_),
-    .Q(\reg_rdata[18] ),
-    .RESET_B(_0129_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5379_ (.D(_0615_),
-    .Q(\reg_rdata[19] ),
-    .RESET_B(_0130_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5380_ (.D(_0616_),
-    .Q(\reg_rdata[20] ),
-    .RESET_B(_0131_),
+ sky130_fd_sc_hd__dfrtp_4 _5298_ (.D(_0604_),
+    .Q(\reg_rdata[15] ),
+    .RESET_B(_0127_),
     .CLK(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5381_ (.D(_0617_),
-    .Q(\reg_rdata[21] ),
+ sky130_fd_sc_hd__dfrtp_4 _5299_ (.D(_0605_),
+    .Q(\reg_rdata[16] ),
+    .RESET_B(_0128_),
+    .CLK(clknet_5_27_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5300_ (.D(_0606_),
+    .Q(\reg_rdata[17] ),
+    .RESET_B(_0129_),
+    .CLK(clknet_5_26_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5301_ (.D(_0607_),
+    .Q(\reg_rdata[18] ),
+    .RESET_B(_0130_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5302_ (.D(_0608_),
+    .Q(\reg_rdata[19] ),
+    .RESET_B(_0131_),
+    .CLK(clknet_5_30_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5303_ (.D(_0609_),
+    .Q(\reg_rdata[20] ),
     .RESET_B(_0132_),
     .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5382_ (.D(_0618_),
-    .Q(\reg_rdata[22] ),
+ sky130_fd_sc_hd__dfrtp_4 _5304_ (.D(_0610_),
+    .Q(\reg_rdata[21] ),
     .RESET_B(_0133_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
+    .CLK(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5383_ (.D(_0619_),
-    .Q(\reg_rdata[23] ),
+ sky130_fd_sc_hd__dfrtp_4 _5305_ (.D(_0611_),
+    .Q(\reg_rdata[22] ),
     .RESET_B(_0134_),
-    .CLK(clknet_5_30_0_wbm_clk_i),
+    .CLK(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5384_ (.D(_0620_),
-    .Q(\reg_rdata[24] ),
+ sky130_fd_sc_hd__dfrtp_4 _5306_ (.D(_0612_),
+    .Q(\reg_rdata[23] ),
     .RESET_B(_0135_),
     .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5385_ (.D(_0621_),
-    .Q(\reg_rdata[25] ),
+ sky130_fd_sc_hd__dfrtp_4 _5307_ (.D(_0613_),
+    .Q(\reg_rdata[24] ),
     .RESET_B(_0136_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5386_ (.D(_0622_),
-    .Q(\reg_rdata[26] ),
-    .RESET_B(_0137_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5387_ (.D(_0623_),
-    .Q(\reg_rdata[27] ),
-    .RESET_B(_0138_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5388_ (.D(_0624_),
-    .Q(\reg_rdata[28] ),
-    .RESET_B(_0139_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5389_ (.D(_0625_),
-    .Q(\reg_rdata[29] ),
-    .RESET_B(_0140_),
-    .CLK(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5390_ (.D(_0626_),
-    .Q(\reg_rdata[30] ),
-    .RESET_B(_0141_),
-    .CLK(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5391_ (.D(_0627_),
-    .Q(\reg_rdata[31] ),
-    .RESET_B(_0142_),
-    .CLK(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5392_ (.D(_0628_),
-    .Q(\u_async_wb.PendingRd ),
-    .RESET_B(_0143_),
     .CLK(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5393_ (.D(_0629_),
-    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .RESET_B(_0144_),
-    .CLK(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5394_ (.D(_0630_),
-    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .RESET_B(_0145_),
-    .CLK(clknet_5_21_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5395_ (.D(_0631_),
-    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .RESET_B(_0146_),
-    .CLK(clknet_5_19_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5396_ (.D(_0632_),
-    .Q(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .RESET_B(_0147_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5397_ (.D(_0633_),
-    .Q(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .RESET_B(_0148_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5398_ (.D(_0634_),
-    .Q(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .RESET_B(_0149_),
+ sky130_fd_sc_hd__dfrtp_4 _5308_ (.D(_0614_),
+    .Q(\reg_rdata[25] ),
+    .RESET_B(_0137_),
     .CLK(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5399_ (.D(_0635_),
-    .Q(\u_async_wb.u_resp_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5309_ (.D(_0615_),
+    .Q(\reg_rdata[26] ),
+    .RESET_B(_0138_),
+    .CLK(clknet_5_16_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5310_ (.D(_0616_),
+    .Q(\reg_rdata[27] ),
+    .RESET_B(_0139_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5311_ (.D(_0617_),
+    .Q(\reg_rdata[28] ),
+    .RESET_B(_0140_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5312_ (.D(_0618_),
+    .Q(\reg_rdata[29] ),
+    .RESET_B(_0141_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5313_ (.D(_0619_),
+    .Q(\reg_rdata[30] ),
+    .RESET_B(_0142_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5314_ (.D(_0620_),
+    .Q(\reg_rdata[31] ),
+    .RESET_B(_0143_),
+    .CLK(clknet_5_12_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5315_ (.D(_0621_),
+    .Q(\u_async_wb.PendingRd ),
+    .RESET_B(_0144_),
+    .CLK(clknet_5_24_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5316_ (.D(_0622_),
+    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .RESET_B(_0145_),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5317_ (.D(_0623_),
+    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .RESET_B(_0146_),
+    .CLK(clknet_5_31_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5318_ (.D(_0624_),
+    .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .RESET_B(_0147_),
+    .CLK(clknet_5_25_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5319_ (.D(_0625_),
+    .Q(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .RESET_B(_0148_),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5320_ (.D(_0626_),
+    .Q(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .RESET_B(_0149_),
+    .CLK(clknet_5_29_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5321_ (.D(_0627_),
+    .Q(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .RESET_B(_0150_),
-    .CLK(clknet_5_22_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5400_ (.D(_0636_),
-    .Q(\u_async_wb.u_resp_if.wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5322_ (.D(_0628_),
+    .Q(\u_async_wb.u_resp_if.rd_ptr[1] ),
     .RESET_B(_0151_),
-    .CLK(clknet_3_5_0_wbs_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5401_ (.D(_0637_),
-    .Q(\u_async_wb.u_resp_if.wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5323_ (.D(_0629_),
+    .Q(\u_async_wb.u_resp_if.wr_ptr[0] ),
     .RESET_B(_0152_),
-    .CLK(clknet_3_4_0_wbs_clk_i),
+    .CLK(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5402_ (.D(_0638_),
-    .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5324_ (.D(_0630_),
+    .Q(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .RESET_B(_0153_),
+    .CLK(clknet_3_7_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5325_ (.D(_0631_),
+    .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+    .RESET_B(_0154_),
     .CLK(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5403_ (.D(_0639_),
+ sky130_fd_sc_hd__dfrtp_4 _5326_ (.D(_0632_),
     .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .RESET_B(_0154_),
-    .CLK(clknet_3_7_0_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5404_ (.D(_0640_),
-    .Q(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .RESET_B(_0155_),
-    .CLK(clknet_3_7_0_wbs_clk_i),
+    .CLK(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5405_ (.D(_0641_),
-    .Q(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5327_ (.D(_0633_),
+    .Q(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .RESET_B(_0156_),
     .CLK(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5406_ (.D(_0642_),
-    .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _5328_ (.D(_0634_),
+    .Q(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .RESET_B(_0157_),
-    .CLK(clknet_3_7_0_wbs_clk_i),
+    .CLK(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5407_ (.D(_0643_),
-    .Q(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _5329_ (.D(_0635_),
+    .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .RESET_B(_0158_),
-    .CLK(clknet_5_19_0_wbm_clk_i),
+    .CLK(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5408_ (.D(_0644_),
-    .Q(\u_async_wb.u_cmd_if.wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _5330_ (.D(_0636_),
+    .Q(\u_async_wb.u_cmd_if.wr_ptr[0] ),
     .RESET_B(_0159_),
-    .CLK(clknet_5_16_0_wbm_clk_i),
+    .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _5409_ (.D(_0645_),
-    .Q(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dfrtp_4 _5331_ (.D(_0637_),
+    .Q(\u_async_wb.u_cmd_if.wr_ptr[1] ),
     .RESET_B(_0160_),
     .CLK(clknet_5_24_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _5332_ (.D(_0638_),
+    .Q(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+    .RESET_B(_0161_),
+    .CLK(clknet_5_13_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27002,139 +26708,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_0_1_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+    .X(clknet_3_0_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_1_0_wbm_clk_i (.A(clknet_2_0_0_wbm_clk_i),
     .X(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_1_1_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
+    .X(clknet_3_1_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_2_0_wbm_clk_i (.A(clknet_2_1_0_wbm_clk_i),
     .X(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_2_1_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+    .X(clknet_3_2_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_3_0_wbm_clk_i (.A(clknet_2_1_0_wbm_clk_i),
     .X(clknet_3_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_3_1_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+    .X(clknet_3_3_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_4_0_wbm_clk_i (.A(clknet_2_2_0_wbm_clk_i),
     .X(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_4_1_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
+    .X(clknet_3_4_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_5_0_wbm_clk_i (.A(clknet_2_2_0_wbm_clk_i),
     .X(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_5_1_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
+    .X(clknet_3_5_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_6_0_wbm_clk_i (.A(clknet_2_3_0_wbm_clk_i),
     .X(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_6_1_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+    .X(clknet_3_6_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_3_7_0_wbm_clk_i (.A(clknet_2_3_0_wbm_clk_i),
     .X(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_0_0_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_3_7_1_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+    .X(clknet_3_7_1_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_0_0_wbm_clk_i (.A(clknet_3_0_1_wbm_clk_i),
     .X(clknet_4_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_1_0_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_1_0_wbm_clk_i (.A(clknet_3_0_1_wbm_clk_i),
     .X(clknet_4_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_2_0_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_2_0_wbm_clk_i (.A(clknet_3_1_1_wbm_clk_i),
     .X(clknet_4_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_3_0_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_3_0_wbm_clk_i (.A(clknet_3_1_1_wbm_clk_i),
     .X(clknet_4_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_4_0_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_4_0_wbm_clk_i (.A(clknet_3_2_1_wbm_clk_i),
     .X(clknet_4_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_5_0_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_5_0_wbm_clk_i (.A(clknet_3_2_1_wbm_clk_i),
     .X(clknet_4_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_6_0_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_6_0_wbm_clk_i (.A(clknet_3_3_1_wbm_clk_i),
     .X(clknet_4_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_7_0_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_7_0_wbm_clk_i (.A(clknet_3_3_1_wbm_clk_i),
     .X(clknet_4_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_8_0_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_8_0_wbm_clk_i (.A(clknet_3_4_1_wbm_clk_i),
     .X(clknet_4_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_9_0_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_9_0_wbm_clk_i (.A(clknet_3_4_1_wbm_clk_i),
     .X(clknet_4_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_10_0_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_10_0_wbm_clk_i (.A(clknet_3_5_1_wbm_clk_i),
     .X(clknet_4_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_11_0_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_11_0_wbm_clk_i (.A(clknet_3_5_1_wbm_clk_i),
     .X(clknet_4_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_12_0_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_12_0_wbm_clk_i (.A(clknet_3_6_1_wbm_clk_i),
     .X(clknet_4_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_13_0_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_13_0_wbm_clk_i (.A(clknet_3_6_1_wbm_clk_i),
     .X(clknet_4_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_14_0_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_14_0_wbm_clk_i (.A(clknet_3_7_1_wbm_clk_i),
     .X(clknet_4_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_15_0_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_4_15_0_wbm_clk_i (.A(clknet_3_7_1_wbm_clk_i),
     .X(clknet_4_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -27350,12 +27104,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_2_wbs_clk_i (.A(clknet_1_0_1_wbs_clk_i),
-    .X(clknet_1_0_2_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_0_wbs_clk_i (.A(clknet_0_wbs_clk_i),
     .X(clknet_1_1_0_wbs_clk_i),
     .VGND(vssd1),
@@ -27368,31 +27116,25 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_2_wbs_clk_i (.A(clknet_1_1_1_wbs_clk_i),
-    .X(clknet_1_1_2_wbs_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_0_wbs_clk_i (.A(clknet_1_0_2_wbs_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_0_wbs_clk_i (.A(clknet_1_0_1_wbs_clk_i),
     .X(clknet_2_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_0_wbs_clk_i (.A(clknet_1_0_2_wbs_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_0_wbs_clk_i (.A(clknet_1_0_1_wbs_clk_i),
     .X(clknet_2_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_0_wbs_clk_i (.A(clknet_1_1_2_wbs_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_0_wbs_clk_i (.A(clknet_1_1_1_wbs_clk_i),
     .X(clknet_2_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_0_wbs_clk_i (.A(clknet_1_1_2_wbs_clk_i),
+ sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_0_wbs_clk_i (.A(clknet_1_1_1_wbs_clk_i),
     .X(clknet_2_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -27446,982 +27188,977 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2975__A (.DIODE(cfg_clk_ctrl1[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2929__A (.DIODE(cfg_clk_ctrl1[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2791__A (.DIODE(cfg_clk_ctrl1[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2745__A (.DIODE(cfg_clk_ctrl1[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2980__A (.DIODE(cfg_clk_ctrl1[10]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2934__A (.DIODE(cfg_clk_ctrl1[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2711__A (.DIODE(cfg_clk_ctrl1[10]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2666__A (.DIODE(cfg_clk_ctrl1[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2702__A (.DIODE(cfg_clk_ctrl1[11]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2657__A (.DIODE(cfg_clk_ctrl1[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2695__A (.DIODE(cfg_clk_ctrl1[12]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2650__A (.DIODE(cfg_clk_ctrl1[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2687__A (.DIODE(cfg_clk_ctrl1[13]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2641__A (.DIODE(cfg_clk_ctrl1[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2680__A (.DIODE(cfg_clk_ctrl1[14]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2634__A (.DIODE(cfg_clk_ctrl1[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2671__A (.DIODE(cfg_clk_ctrl1[15]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2625__A (.DIODE(cfg_clk_ctrl1[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2662__A (.DIODE(cfg_clk_ctrl1[16]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2616__A (.DIODE(cfg_clk_ctrl1[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2654__A (.DIODE(cfg_clk_ctrl1[17]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2608__A (.DIODE(cfg_clk_ctrl1[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2647__A (.DIODE(cfg_clk_ctrl1[18]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2601__A (.DIODE(cfg_clk_ctrl1[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2638__A (.DIODE(cfg_clk_ctrl1[19]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2592__A (.DIODE(cfg_clk_ctrl1[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3006__A (.DIODE(cfg_clk_ctrl1[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2961__A (.DIODE(cfg_clk_ctrl1[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2784__A (.DIODE(cfg_clk_ctrl1[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2738__A (.DIODE(cfg_clk_ctrl1[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2629__A (.DIODE(cfg_clk_ctrl1[20]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2583__A (.DIODE(cfg_clk_ctrl1[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2621__A (.DIODE(cfg_clk_ctrl1[21]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2575__A (.DIODE(cfg_clk_ctrl1[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2614__A (.DIODE(cfg_clk_ctrl1[22]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2568__A (.DIODE(cfg_clk_ctrl1[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2605__A (.DIODE(cfg_clk_ctrl1[23]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2559__A (.DIODE(cfg_clk_ctrl1[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2596__A (.DIODE(cfg_clk_ctrl1[24]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2550__A (.DIODE(cfg_clk_ctrl1[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2587__A (.DIODE(cfg_clk_ctrl1[25]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2542__A (.DIODE(cfg_clk_ctrl1[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2580__A (.DIODE(cfg_clk_ctrl1[26]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2535__A (.DIODE(cfg_clk_ctrl1[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2570__A (.DIODE(cfg_clk_ctrl1[27]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2525__A (.DIODE(cfg_clk_ctrl1[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2560__A (.DIODE(cfg_clk_ctrl1[28]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2515__A (.DIODE(cfg_clk_ctrl1[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2552__A (.DIODE(cfg_clk_ctrl1[29]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2505__A (.DIODE(cfg_clk_ctrl1[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3036__A (.DIODE(cfg_clk_ctrl1[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2990__A (.DIODE(cfg_clk_ctrl1[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2777__A (.DIODE(cfg_clk_ctrl1[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2731__A (.DIODE(cfg_clk_ctrl1[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2545__A (.DIODE(cfg_clk_ctrl1[30]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2498__A (.DIODE(cfg_clk_ctrl1[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2533__A (.DIODE(cfg_clk_ctrl1[31]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2486__A (.DIODE(cfg_clk_ctrl1[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2951__A (.DIODE(cfg_clk_ctrl1[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2906__A (.DIODE(cfg_clk_ctrl1[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2767__A (.DIODE(cfg_clk_ctrl1[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2721__A (.DIODE(cfg_clk_ctrl1[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2955__A (.DIODE(cfg_clk_ctrl1[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2910__A (.DIODE(cfg_clk_ctrl1[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2760__A (.DIODE(cfg_clk_ctrl1[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2714__A (.DIODE(cfg_clk_ctrl1[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2958__A (.DIODE(cfg_clk_ctrl1[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2913__A (.DIODE(cfg_clk_ctrl1[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2752__A (.DIODE(cfg_clk_ctrl1[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2706__A (.DIODE(cfg_clk_ctrl1[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2964__A (.DIODE(cfg_clk_ctrl1[6]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2918__A (.DIODE(cfg_clk_ctrl1[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2745__A (.DIODE(cfg_clk_ctrl1[6]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2699__A (.DIODE(cfg_clk_ctrl1[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2967__A (.DIODE(cfg_clk_ctrl1[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2921__A (.DIODE(cfg_clk_ctrl1[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2734__A (.DIODE(cfg_clk_ctrl1[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2688__A (.DIODE(cfg_clk_ctrl1[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2972__A (.DIODE(cfg_clk_ctrl1[8]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2926__A (.DIODE(cfg_clk_ctrl1[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2726__A (.DIODE(cfg_clk_ctrl1[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2680__A (.DIODE(cfg_clk_ctrl1[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2796__A (.DIODE(cfg_clk_ctrl1[9]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2750__A (.DIODE(cfg_clk_ctrl1[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2719__A (.DIODE(cfg_clk_ctrl1[9]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2674__A (.DIODE(cfg_clk_ctrl1[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2848__A (.DIODE(cfg_clk_ctrl2[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2801__A (.DIODE(cfg_clk_ctrl2[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2790__A (.DIODE(cfg_clk_ctrl2[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2744__A (.DIODE(cfg_clk_ctrl2[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2853__A (.DIODE(cfg_clk_ctrl2[10]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2806__A (.DIODE(cfg_clk_ctrl2[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2716__B1 (.DIODE(cfg_clk_ctrl2[10]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2671__B1 (.DIODE(cfg_clk_ctrl2[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2701__A (.DIODE(cfg_clk_ctrl2[11]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2656__A (.DIODE(cfg_clk_ctrl2[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2694__A (.DIODE(cfg_clk_ctrl2[12]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2649__A (.DIODE(cfg_clk_ctrl2[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2686__A (.DIODE(cfg_clk_ctrl2[13]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2640__A (.DIODE(cfg_clk_ctrl2[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2679__A (.DIODE(cfg_clk_ctrl2[14]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2633__A (.DIODE(cfg_clk_ctrl2[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2669__A (.DIODE(cfg_clk_ctrl2[15]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2623__A (.DIODE(cfg_clk_ctrl2[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2661__A (.DIODE(cfg_clk_ctrl2[16]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2615__A (.DIODE(cfg_clk_ctrl2[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2653__A (.DIODE(cfg_clk_ctrl2[17]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2607__A (.DIODE(cfg_clk_ctrl2[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2646__A (.DIODE(cfg_clk_ctrl2[18]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2600__A (.DIODE(cfg_clk_ctrl2[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2636__A (.DIODE(cfg_clk_ctrl2[19]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2590__A (.DIODE(cfg_clk_ctrl2[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2889__A (.DIODE(cfg_clk_ctrl2[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2843__A (.DIODE(cfg_clk_ctrl2[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2783__A (.DIODE(cfg_clk_ctrl2[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2737__A (.DIODE(cfg_clk_ctrl2[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2628__A (.DIODE(cfg_clk_ctrl2[20]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2582__A (.DIODE(cfg_clk_ctrl2[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2620__A (.DIODE(cfg_clk_ctrl2[21]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2574__A (.DIODE(cfg_clk_ctrl2[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2613__A (.DIODE(cfg_clk_ctrl2[22]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2567__A (.DIODE(cfg_clk_ctrl2[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2603__A (.DIODE(cfg_clk_ctrl2[23]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2557__A (.DIODE(cfg_clk_ctrl2[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2595__A (.DIODE(cfg_clk_ctrl2[24]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2549__A (.DIODE(cfg_clk_ctrl2[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2586__A (.DIODE(cfg_clk_ctrl2[25]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2541__A (.DIODE(cfg_clk_ctrl2[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2579__A (.DIODE(cfg_clk_ctrl2[26]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2534__A (.DIODE(cfg_clk_ctrl2[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2568__A (.DIODE(cfg_clk_ctrl2[27]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2523__A (.DIODE(cfg_clk_ctrl2[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2559__A (.DIODE(cfg_clk_ctrl2[28]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2514__A (.DIODE(cfg_clk_ctrl2[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2551__A (.DIODE(cfg_clk_ctrl2[29]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2504__A (.DIODE(cfg_clk_ctrl2[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2929__A (.DIODE(cfg_clk_ctrl2[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2882__A (.DIODE(cfg_clk_ctrl2[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2775__A (.DIODE(cfg_clk_ctrl2[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2729__A (.DIODE(cfg_clk_ctrl2[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2544__A (.DIODE(cfg_clk_ctrl2[30]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2497__A (.DIODE(cfg_clk_ctrl2[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2529__A (.DIODE(cfg_clk_ctrl2[31]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2482__A (.DIODE(cfg_clk_ctrl2[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2817__A (.DIODE(cfg_clk_ctrl2[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2772__A (.DIODE(cfg_clk_ctrl2[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2766__A (.DIODE(cfg_clk_ctrl2[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2720__A (.DIODE(cfg_clk_ctrl2[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2821__A (.DIODE(cfg_clk_ctrl2[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2776__A (.DIODE(cfg_clk_ctrl2[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2758__A (.DIODE(cfg_clk_ctrl2[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2712__A (.DIODE(cfg_clk_ctrl2[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2826__A (.DIODE(cfg_clk_ctrl2[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2781__A (.DIODE(cfg_clk_ctrl2[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2751__A (.DIODE(cfg_clk_ctrl2[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2705__A (.DIODE(cfg_clk_ctrl2[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2833__A (.DIODE(cfg_clk_ctrl2[6]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2786__A (.DIODE(cfg_clk_ctrl2[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2743__A (.DIODE(cfg_clk_ctrl2[6]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2697__A (.DIODE(cfg_clk_ctrl2[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2838__A (.DIODE(cfg_clk_ctrl2[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2791__A (.DIODE(cfg_clk_ctrl2[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2732__A (.DIODE(cfg_clk_ctrl2[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2686__A (.DIODE(cfg_clk_ctrl2[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2842__A (.DIODE(cfg_clk_ctrl2[8]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2795__A (.DIODE(cfg_clk_ctrl2[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2728__B1 (.DIODE(cfg_clk_ctrl2[8]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2682__B1 (.DIODE(cfg_clk_ctrl2[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3074__A (.DIODE(cfg_clk_ctrl2[9]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3028__A (.DIODE(cfg_clk_ctrl2[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2721__B1 (.DIODE(cfg_clk_ctrl2[9]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2676__B1 (.DIODE(cfg_clk_ctrl2[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3111__A (.DIODE(cfg_glb_ctrl[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3066__A (.DIODE(cfg_glb_ctrl[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2793__B1 (.DIODE(cfg_glb_ctrl[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2747__B1 (.DIODE(cfg_glb_ctrl[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3081__A (.DIODE(cfg_glb_ctrl[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3035__A (.DIODE(cfg_glb_ctrl[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2786__B1 (.DIODE(cfg_glb_ctrl[1]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2740__B1 (.DIODE(cfg_glb_ctrl[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3085__A (.DIODE(cfg_glb_ctrl[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3039__A (.DIODE(cfg_glb_ctrl[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2780__B1 (.DIODE(cfg_glb_ctrl[2]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2734__B1 (.DIODE(cfg_glb_ctrl[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3088__A (.DIODE(cfg_glb_ctrl[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3042__A (.DIODE(cfg_glb_ctrl[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2770__B1 (.DIODE(cfg_glb_ctrl[3]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2724__B1 (.DIODE(cfg_glb_ctrl[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3092__A (.DIODE(cfg_glb_ctrl[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3046__A (.DIODE(cfg_glb_ctrl[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2762__B1 (.DIODE(cfg_glb_ctrl[4]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2716__B1 (.DIODE(cfg_glb_ctrl[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3096__A (.DIODE(cfg_glb_ctrl[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3050__A (.DIODE(cfg_glb_ctrl[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2754__B1 (.DIODE(cfg_glb_ctrl[5]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2708__B1 (.DIODE(cfg_glb_ctrl[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3100__A (.DIODE(cfg_glb_ctrl[6]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2748__B1 (.DIODE(cfg_glb_ctrl[6]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3054__A (.DIODE(cfg_glb_ctrl[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3103__A (.DIODE(cfg_glb_ctrl[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2702__B1 (.DIODE(cfg_glb_ctrl[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2738__B1 (.DIODE(cfg_glb_ctrl[7]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3057__A (.DIODE(cfg_glb_ctrl[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3874__B1 (.DIODE(wbm_adr_i[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2692__B1 (.DIODE(cfg_glb_ctrl[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3690__B1 (.DIODE(wbm_adr_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3810__B1 (.DIODE(wbm_adr_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3505__B1 (.DIODE(wbm_adr_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3628__B1 (.DIODE(wbm_adr_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3311__B1 (.DIODE(wbm_adr_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3450__B1 (.DIODE(wbm_adr_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3848__B1 (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3262__B1 (.DIODE(wbm_adr_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3663__B1 (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3784__B1 (.DIODE(wbm_adr_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3478__B1 (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3601__B1 (.DIODE(wbm_adr_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3282__B1 (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3424__B1 (.DIODE(wbm_adr_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3846__B1 (.DIODE(wbm_adr_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3234__B1 (.DIODE(wbm_adr_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3661__B1 (.DIODE(wbm_adr_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3781__B1 (.DIODE(wbm_adr_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3475__B1 (.DIODE(wbm_adr_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3599__B1 (.DIODE(wbm_adr_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3280__B1 (.DIODE(wbm_adr_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3421__B1 (.DIODE(wbm_adr_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3843__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3231__B1 (.DIODE(wbm_adr_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3658__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3779__B1 (.DIODE(wbm_adr_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3473__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3596__B1 (.DIODE(wbm_adr_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3277__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3419__B1 (.DIODE(wbm_adr_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3841__B1 (.DIODE(wbm_adr_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3229__B1 (.DIODE(wbm_adr_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3656__B1 (.DIODE(wbm_adr_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3775__B1 (.DIODE(wbm_adr_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3470__B1 (.DIODE(wbm_adr_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3594__B1 (.DIODE(wbm_adr_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3275__B1 (.DIODE(wbm_adr_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3416__B1 (.DIODE(wbm_adr_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3838__B1 (.DIODE(wbm_adr_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3226__B1 (.DIODE(wbm_adr_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3652__B1 (.DIODE(wbm_adr_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3773__B1 (.DIODE(wbm_adr_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3468__B1 (.DIODE(wbm_adr_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3591__B1 (.DIODE(wbm_adr_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3271__B1 (.DIODE(wbm_adr_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3414__B1 (.DIODE(wbm_adr_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3836__B1 (.DIODE(wbm_adr_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3224__B1 (.DIODE(wbm_adr_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3650__B1 (.DIODE(wbm_adr_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3770__B1 (.DIODE(wbm_adr_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3465__B1 (.DIODE(wbm_adr_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3589__B1 (.DIODE(wbm_adr_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3269__B1 (.DIODE(wbm_adr_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3409__B1 (.DIODE(wbm_adr_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3832__B1 (.DIODE(wbm_adr_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3219__B1 (.DIODE(wbm_adr_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3647__B1 (.DIODE(wbm_adr_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3768__B1 (.DIODE(wbm_adr_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3463__B1 (.DIODE(wbm_adr_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3586__B1 (.DIODE(wbm_adr_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3266__B1 (.DIODE(wbm_adr_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3407__B1 (.DIODE(wbm_adr_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3830__B1 (.DIODE(wbm_adr_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3217__B1 (.DIODE(wbm_adr_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3645__B1 (.DIODE(wbm_adr_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3765__B1 (.DIODE(wbm_adr_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3459__B1 (.DIODE(wbm_adr_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3584__B1 (.DIODE(wbm_adr_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3264__B1 (.DIODE(wbm_adr_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3404__B1 (.DIODE(wbm_adr_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3827__B1 (.DIODE(wbm_adr_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3214__B1 (.DIODE(wbm_adr_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3642__B1 (.DIODE(wbm_adr_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3763__B1 (.DIODE(wbm_adr_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3457__B1 (.DIODE(wbm_adr_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3579__B1 (.DIODE(wbm_adr_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3261__B1 (.DIODE(wbm_adr_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3402__B1 (.DIODE(wbm_adr_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3825__B1 (.DIODE(wbm_adr_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3212__B1 (.DIODE(wbm_adr_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3640__B1 (.DIODE(wbm_adr_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3760__B1 (.DIODE(wbm_adr_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3454__B1 (.DIODE(wbm_adr_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3577__B1 (.DIODE(wbm_adr_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3259__B1 (.DIODE(wbm_adr_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3399__B1 (.DIODE(wbm_adr_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3872__B1 (.DIODE(wbm_adr_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3209__B1 (.DIODE(wbm_adr_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3688__B1 (.DIODE(wbm_adr_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3807__B1 (.DIODE(wbm_adr_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3501__B1 (.DIODE(wbm_adr_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3626__B1 (.DIODE(wbm_adr_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3309__B1 (.DIODE(wbm_adr_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3447__B1 (.DIODE(wbm_adr_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3822__B1 (.DIODE(wbm_adr_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3259__B1 (.DIODE(wbm_adr_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3637__B1 (.DIODE(wbm_adr_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3758__B1 (.DIODE(wbm_adr_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3452__B1 (.DIODE(wbm_adr_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3574__B1 (.DIODE(wbm_adr_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3256__B1 (.DIODE(wbm_adr_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3397__B1 (.DIODE(wbm_adr_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3820__B1 (.DIODE(wbm_adr_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3207__B1 (.DIODE(wbm_adr_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3635__B1 (.DIODE(wbm_adr_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3754__B1 (.DIODE(wbm_adr_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3449__B1 (.DIODE(wbm_adr_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3572__B1 (.DIODE(wbm_adr_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3254__B1 (.DIODE(wbm_adr_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3394__B1 (.DIODE(wbm_adr_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3817__B1 (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3204__B1 (.DIODE(wbm_adr_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3631__B1 (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3752__B1 (.DIODE(wbm_adr_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3447__B1 (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3569__B1 (.DIODE(wbm_adr_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3392__B1 (.DIODE(wbm_adr_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2516__A (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3202__B1 (.DIODE(wbm_adr_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2515__A (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2469__A (.DIODE(wbm_adr_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2368__C1 (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2333__C1 (.DIODE(wbm_adr_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2340__A (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2305__A (.DIODE(wbm_adr_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2534__A (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2487__A (.DIODE(wbm_adr_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2337__A (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2302__A (.DIODE(wbm_adr_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2797__C (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2751__C (.DIODE(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2736__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2690__A (.DIODE(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2712__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2667__A (.DIODE(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2335__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2300__A (.DIODE(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3864__B1 (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3800__B1 (.DIODE(wbm_adr_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3680__B1 (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3617__B1 (.DIODE(wbm_adr_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3494__B1 (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3440__B1 (.DIODE(wbm_adr_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3299__B1 (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(wbm_adr_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3862__B1 (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3796__B1 (.DIODE(wbm_adr_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3678__B1 (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3615__B1 (.DIODE(wbm_adr_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3491__B1 (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3437__B1 (.DIODE(wbm_adr_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3297__B1 (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3247__B1 (.DIODE(wbm_adr_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3859__B1 (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3794__B1 (.DIODE(wbm_adr_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3673__B1 (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3612__B1 (.DIODE(wbm_adr_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3489__B1 (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3435__B1 (.DIODE(wbm_adr_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3292__B1 (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3245__B1 (.DIODE(wbm_adr_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3857__B1 (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3791__B1 (.DIODE(wbm_adr_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3671__B1 (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3610__B1 (.DIODE(wbm_adr_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3486__B1 (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3431__B1 (.DIODE(wbm_adr_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3290__B1 (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3241__B1 (.DIODE(wbm_adr_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3853__B1 (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3789__B1 (.DIODE(wbm_adr_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3668__B1 (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3607__B1 (.DIODE(wbm_adr_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3484__B1 (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3429__B1 (.DIODE(wbm_adr_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3287__B1 (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3239__B1 (.DIODE(wbm_adr_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3851__B1 (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3786__B1 (.DIODE(wbm_adr_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3666__B1 (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3605__B1 (.DIODE(wbm_adr_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3480__B1 (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3426__B1 (.DIODE(wbm_adr_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3285__B1 (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3236__B1 (.DIODE(wbm_adr_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28431,602 +28168,587 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3790__B1 (.DIODE(wbm_cyc_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3355__A (.DIODE(wbm_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3605__B1 (.DIODE(wbm_cyc_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2802__A (.DIODE(wbm_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3418__B1 (.DIODE(wbm_cyc_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3870__B1 (.DIODE(wbm_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3216__B1 (.DIODE(wbm_cyc_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3689__B1 (.DIODE(wbm_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3406__A (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3509__B1 (.DIODE(wbm_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2849__A (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2808__A (.DIODE(wbm_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3935__B1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3867__B1 (.DIODE(wbm_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3751__B1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3685__B1 (.DIODE(wbm_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3564__B1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2811__A (.DIODE(wbm_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2855__A (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3865__B1 (.DIODE(wbm_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3932__B1 (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3683__B1 (.DIODE(wbm_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__B1 (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2815__A (.DIODE(wbm_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2858__A (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3861__B1 (.DIODE(wbm_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3930__B1 (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3680__B1 (.DIODE(wbm_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3746__B1 (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2818__A (.DIODE(wbm_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2862__A (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3859__B1 (.DIODE(wbm_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3927__B1 (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3678__B1 (.DIODE(wbm_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3743__B1 (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2823__A (.DIODE(wbm_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2865__A (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3856__B1 (.DIODE(wbm_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3925__B1 (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3675__B1 (.DIODE(wbm_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3741__B1 (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2826__A (.DIODE(wbm_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2870__A (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3854__B1 (.DIODE(wbm_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3922__B1 (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3673__B1 (.DIODE(wbm_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3737__B1 (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2831__A (.DIODE(wbm_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2873__A (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3851__B1 (.DIODE(wbm_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3920__B1 (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3670__B1 (.DIODE(wbm_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3735__B1 (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2834__A (.DIODE(wbm_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2878__A (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3849__B1 (.DIODE(wbm_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3916__B1 (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3668__B1 (.DIODE(wbm_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3732__B1 (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2840__A (.DIODE(wbm_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2881__A (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3846__B1 (.DIODE(wbm_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3914__B1 (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3664__B1 (.DIODE(wbm_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3730__B1 (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2760__A (.DIODE(wbm_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2886__A (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3352__A (.DIODE(wbm_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3911__B1 (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2844__A (.DIODE(wbm_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3727__B1 (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3844__B1 (.DIODE(wbm_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2806__A (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3662__B1 (.DIODE(wbm_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3402__A (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2848__A (.DIODE(wbm_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2890__A (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3840__B1 (.DIODE(wbm_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3909__B1 (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3659__B1 (.DIODE(wbm_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3725__B1 (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2851__A (.DIODE(wbm_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2894__A (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3838__B1 (.DIODE(wbm_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3906__B1 (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3657__B1 (.DIODE(wbm_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3722__B1 (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2856__A (.DIODE(wbm_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2897__A (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3835__B1 (.DIODE(wbm_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3904__B1 (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3654__B1 (.DIODE(wbm_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3720__B1 (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2859__A (.DIODE(wbm_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2903__A (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3833__B1 (.DIODE(wbm_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3901__B1 (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3652__B1 (.DIODE(wbm_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3716__B1 (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2864__A (.DIODE(wbm_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2906__A (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3830__B1 (.DIODE(wbm_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3899__B1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3649__B1 (.DIODE(wbm_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3714__B1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2867__A (.DIODE(wbm_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2911__A (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3828__B1 (.DIODE(wbm_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3895__B1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3647__B1 (.DIODE(wbm_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3711__B1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2872__A (.DIODE(wbm_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2914__A (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3825__B1 (.DIODE(wbm_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3893__B1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3643__B1 (.DIODE(wbm_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3709__B1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2875__A (.DIODE(wbm_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2919__A (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3823__B1 (.DIODE(wbm_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3890__B1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3641__B1 (.DIODE(wbm_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3706__B1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2879__A (.DIODE(wbm_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2922__A (.DIODE(wbm_dat_i[27]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3888__B1 (.DIODE(wbm_dat_i[28]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3704__B1 (.DIODE(wbm_dat_i[28]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2926__A (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3818__B1 (.DIODE(wbm_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3885__B1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3638__B1 (.DIODE(wbm_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3701__B1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2763__A (.DIODE(wbm_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2809__A (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3348__A (.DIODE(wbm_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3399__A (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2883__A (.DIODE(wbm_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2930__A (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3816__B1 (.DIODE(wbm_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3883__B1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3636__B1 (.DIODE(wbm_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3699__B1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2888__A (.DIODE(wbm_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2935__A (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3813__B1 (.DIODE(wbm_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3880__B1 (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3633__B1 (.DIODE(wbm_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3695__B1 (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2769__A (.DIODE(wbm_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2814__A (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3345__A (.DIODE(wbm_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3395__A (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2773__A (.DIODE(wbm_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2818__A (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3341__A (.DIODE(wbm_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3392__A (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2778__A (.DIODE(wbm_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2823__A (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3338__A (.DIODE(wbm_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3388__A (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2782__A (.DIODE(wbm_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2827__A (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3334__A (.DIODE(wbm_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3385__A (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2788__A (.DIODE(wbm_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2835__A (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3331__A (.DIODE(wbm_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3380__A (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2792__A (.DIODE(wbm_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2839__A (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3875__B1 (.DIODE(wbm_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3941__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3694__B1 (.DIODE(wbm_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3756__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3514__B1 (.DIODE(wbm_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3570__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2798__A (.DIODE(wbm_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2845__A (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3872__B1 (.DIODE(wbm_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3937__B1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3691__B1 (.DIODE(wbm_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3753__B1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3511__B1 (.DIODE(wbm_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3568__B1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2309__A (.DIODE(wbm_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2344__A (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2311__A (.DIODE(wbm_rst_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2346__A (.DIODE(wbm_rst_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3905__B1 (.DIODE(wbm_sel_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3970__B1 (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3723__B1 (.DIODE(wbm_sel_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3786__B1 (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3544__B1 (.DIODE(wbm_sel_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3599__B1 (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3366__B1 (.DIODE(wbm_sel_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3416__B1 (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3903__B1 (.DIODE(wbm_sel_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3968__B1 (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3721__B1 (.DIODE(wbm_sel_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3784__B1 (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3542__B1 (.DIODE(wbm_sel_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3597__B1 (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3364__B1 (.DIODE(wbm_sel_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3414__B1 (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3901__B1 (.DIODE(wbm_sel_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3966__B1 (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3719__B1 (.DIODE(wbm_sel_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3782__B1 (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3540__B1 (.DIODE(wbm_sel_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3595__B1 (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3361__B1 (.DIODE(wbm_sel_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3412__B1 (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3898__B1 (.DIODE(wbm_sel_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3963__B1 (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3716__B1 (.DIODE(wbm_sel_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3779__B1 (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3537__B1 (.DIODE(wbm_sel_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3593__B1 (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3359__B1 (.DIODE(wbm_sel_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3409__B1 (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2332__A (.DIODE(wbm_stb_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2367__A (.DIODE(wbm_stb_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2305__B (.DIODE(wbm_stb_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2340__B (.DIODE(wbm_stb_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2473__A (.DIODE(wbm_we_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2520__A (.DIODE(wbm_we_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2304__A (.DIODE(wbm_we_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2339__A (.DIODE(wbm_we_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5195__D (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2419__B1 (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2382__B1 (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2414__A1 (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2378__A1 (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2398__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2365__A (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2388__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2353__A (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29036,2712 +28758,2942 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4030__A3 (.DIODE(wbs_dat_i[0]),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4831__A (.DIODE(wbs_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3210__A3 (.DIODE(wbs_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3965__A3 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4014__A3 (.DIODE(wbs_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3165__A3 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3193__A3 (.DIODE(wbs_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3949__A3 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4013__A3 (.DIODE(wbs_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3148__A3 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3192__A3 (.DIODE(wbs_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3948__A3 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4012__A3 (.DIODE(wbs_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3147__A3 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3190__A3 (.DIODE(wbs_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3947__A3 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4010__A3 (.DIODE(wbs_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3145__A3 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3187__A3 (.DIODE(wbs_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3945__A3 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4007__A3 (.DIODE(wbs_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3142__A3 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3186__A3 (.DIODE(wbs_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3942__A3 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4006__A3 (.DIODE(wbs_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3141__A3 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3185__A3 (.DIODE(wbs_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3941__A3 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4005__A3 (.DIODE(wbs_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3140__A3 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3183__A3 (.DIODE(wbs_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3940__A3 (.DIODE(wbs_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4003__A3 (.DIODE(wbs_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3138__A3 (.DIODE(wbs_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3180__A3 (.DIODE(wbs_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3938__A3 (.DIODE(wbs_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4000__A3 (.DIODE(wbs_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3135__A3 (.DIODE(wbs_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3179__A3 (.DIODE(wbs_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3935__A3 (.DIODE(wbs_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3999__A3 (.DIODE(wbs_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3134__A3 (.DIODE(wbs_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3178__A3 (.DIODE(wbs_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3934__A3 (.DIODE(wbs_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4029__A3 (.DIODE(wbs_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3133__A3 (.DIODE(wbs_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3209__A3 (.DIODE(wbs_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3964__A3 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3998__A3 (.DIODE(wbs_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3164__A3 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3175__A3 (.DIODE(wbs_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3933__A3 (.DIODE(wbs_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3995__A3 (.DIODE(wbs_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3130__A3 (.DIODE(wbs_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3170__A3 (.DIODE(wbs_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3930__A3 (.DIODE(wbs_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3990__A3 (.DIODE(wbs_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3125__A3 (.DIODE(wbs_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3169__A3 (.DIODE(wbs_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3925__A3 (.DIODE(wbs_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3989__A3 (.DIODE(wbs_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3124__A3 (.DIODE(wbs_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3168__A3 (.DIODE(wbs_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3924__A3 (.DIODE(wbs_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3988__A3 (.DIODE(wbs_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3123__A3 (.DIODE(wbs_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3166__A3 (.DIODE(wbs_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3923__A3 (.DIODE(wbs_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3986__A3 (.DIODE(wbs_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3121__A3 (.DIODE(wbs_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3163__A3 (.DIODE(wbs_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3921__A3 (.DIODE(wbs_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3983__A3 (.DIODE(wbs_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3118__A3 (.DIODE(wbs_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3162__A3 (.DIODE(wbs_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3918__A3 (.DIODE(wbs_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3982__A3 (.DIODE(wbs_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3117__A3 (.DIODE(wbs_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3161__A3 (.DIODE(wbs_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3917__A3 (.DIODE(wbs_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3981__A3 (.DIODE(wbs_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3116__A3 (.DIODE(wbs_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3159__A3 (.DIODE(wbs_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3916__A3 (.DIODE(wbs_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3979__A3 (.DIODE(wbs_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3114__A3 (.DIODE(wbs_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3156__A3 (.DIODE(wbs_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3914__A3 (.DIODE(wbs_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4028__A3 (.DIODE(wbs_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3111__A3 (.DIODE(wbs_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3208__A3 (.DIODE(wbs_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3963__A3 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3974__A3 (.DIODE(wbs_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3163__A3 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3155__A3 (.DIODE(wbs_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3909__A3 (.DIODE(wbs_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3973__A3 (.DIODE(wbs_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3110__A3 (.DIODE(wbs_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3154__A3 (.DIODE(wbs_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3908__A3 (.DIODE(wbs_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4027__A3 (.DIODE(wbs_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3109__A3 (.DIODE(wbs_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3207__A3 (.DIODE(wbs_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3962__A3 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4026__A3 (.DIODE(wbs_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3162__A3 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3204__A3 (.DIODE(wbs_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3961__A3 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4024__A3 (.DIODE(wbs_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3159__A3 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3201__A3 (.DIODE(wbs_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3959__A3 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4021__A3 (.DIODE(wbs_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3156__A3 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3200__A3 (.DIODE(wbs_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3956__A3 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4020__A3 (.DIODE(wbs_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3155__A3 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3199__A3 (.DIODE(wbs_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3955__A3 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4019__A3 (.DIODE(wbs_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3154__A3 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3197__A3 (.DIODE(wbs_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3954__A3 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4017__A3 (.DIODE(wbs_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3152__A3 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3194__A3 (.DIODE(wbs_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3952__A3 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3972__A3 (.DIODE(wbs_err_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3149__A3 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3151__A3 (.DIODE(wbs_err_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3907__A3 (.DIODE(wbs_err_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2441__A (.DIODE(wbs_stb_o),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3106__A3 (.DIODE(wbs_err_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2454__D (.DIODE(wbs_we_o),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2409__D (.DIODE(wbs_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5293__RESET_B (.DIODE(_0044_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5195__RESET_B (.DIODE(_0024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5400__D (.DIODE(_0636_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5323__RESET_B (.DIODE(_0152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4769__A1 (.DIODE(_0646_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4836__D (.DIODE(_0166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3116__A1 (.DIODE(_0646_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4961__D (.DIODE(_0291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2345__A1_N (.DIODE(_0646_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5211__D (.DIODE(_0517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3375__B1 (.DIODE(_0656_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5295__D (.DIODE(_0601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3075__B1 (.DIODE(_0656_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5324__D (.DIODE(_0630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2800__B1 (.DIODE(_0656_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5332__D (.DIODE(_0638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2345__B1 (.DIODE(_0656_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2730__A (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3119__A (.DIODE(_0658_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2698__A (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3064__A (.DIODE(_0658_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2483__B (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2467__A (.DIODE(_0658_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2303__A (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2348__A (.DIODE(_0658_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3058__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2511__A1_N (.DIODE(_0660_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3051__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2375__A1 (.DIODE(_0660_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3043__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2370__A (.DIODE(_0660_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2307__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2360__A1 (.DIODE(_0660_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3067__B2 (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3444__B (.DIODE(_0680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3067__A2_N (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2371__A (.DIODE(_0680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3036__A (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3592__A (.DIODE(_0682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2308__A (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3587__A (.DIODE(_0682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3325__B1 (.DIODE(_0649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3419__A (.DIODE(_0682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3029__B1 (.DIODE(_0649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2373__A (.DIODE(_0682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2754__B1 (.DIODE(_0649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3423__A2_N (.DIODE(_0684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2310__B1 (.DIODE(_0649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3418__B2 (.DIODE(_0684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3094__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3418__A2_N (.DIODE(_0684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3089__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2375__B1 (.DIODE(_0684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2362__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4134__B (.DIODE(_0685_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2313__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3601__B (.DIODE(_0685_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4702__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2507__A (.DIODE(_0685_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3100__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2378__A (.DIODE(_0685_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3099__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4616__A (.DIODE(_0687_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2314__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4469__A (.DIODE(_0687_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2464__A1_N (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2424__A (.DIODE(_0687_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2340__A1 (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2382__A (.DIODE(_0687_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2335__A (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4322__A (.DIODE(_0688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2325__A1 (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4174__A (.DIODE(_0688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3567__B (.DIODE(_0673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2394__A (.DIODE(_0688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2336__A (.DIODE(_0673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2383__A (.DIODE(_0688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3687__A (.DIODE(_0675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4764__A (.DIODE(_0689_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3666__A (.DIODE(_0675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4757__A (.DIODE(_0689_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3581__A (.DIODE(_0675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2409__A (.DIODE(_0689_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2338__A (.DIODE(_0675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2389__A (.DIODE(_0689_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3549__A2_N (.DIODE(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4141__A (.DIODE(_0690_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3546__B2 (.DIODE(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2425__A (.DIODE(_0690_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3546__A2_N (.DIODE(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2422__A (.DIODE(_0690_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2340__B1 (.DIODE(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2385__A (.DIODE(_0690_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4069__B (.DIODE(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4532__A (.DIODE(_0691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2462__B2 (.DIODE(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4385__A (.DIODE(_0691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2460__A (.DIODE(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4237__A (.DIODE(_0691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2344__A (.DIODE(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2386__A (.DIODE(_0691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2404__A (.DIODE(_0681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4713__A (.DIODE(_0692_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2386__B1 (.DIODE(_0681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4678__A (.DIODE(_0692_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2359__A (.DIODE(_0681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2407__A (.DIODE(_0692_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2348__A (.DIODE(_0681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2387__A (.DIODE(_0692_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4442__A (.DIODE(_0682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4762__A (.DIODE(_0693_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4295__A (.DIODE(_0682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4755__A (.DIODE(_0693_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4148__A (.DIODE(_0682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4748__A (.DIODE(_0693_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2349__A (.DIODE(_0682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2388__B (.DIODE(_0693_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4693__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4167__A (.DIODE(_0698_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4111__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4159__A (.DIODE(_0698_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2373__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4149__A (.DIODE(_0698_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2354__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2395__A1 (.DIODE(_0698_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4449__A (.DIODE(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2454__A (.DIODE(_0700_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4302__A (.DIODE(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2419__A1 (.DIODE(_0700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4155__A (.DIODE(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2414__B1 (.DIODE(_0700_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2352__A (.DIODE(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2405__A1 (.DIODE(_0700_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4691__A (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2451__A (.DIODE(_0702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4118__A (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2448__A (.DIODE(_0702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2372__A (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2434__A1_N (.DIODE(_0702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2353__B (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2401__A (.DIODE(_0702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2394__A (.DIODE(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4562__A (.DIODE(_0704_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2377__B1 (.DIODE(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4415__A (.DIODE(_0704_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2357__B1 (.DIODE(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4268__A (.DIODE(_0704_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4658__A (.DIODE(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2403__A (.DIODE(_0704_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4623__A (.DIODE(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4161__A (.DIODE(_0706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4588__A (.DIODE(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4151__A (.DIODE(_0706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2360__A (.DIODE(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2413__A2 (.DIODE(_0706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4103__A (.DIODE(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2405__A2 (.DIODE(_0706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4095__A (.DIODE(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4252__A (.DIODE(_0707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4085__A (.DIODE(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4216__A (.DIODE(_0707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2361__A1 (.DIODE(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4180__A (.DIODE(_0707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2465__A (.DIODE(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2408__A (.DIODE(_0707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2450__A (.DIODE(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4168__A (.DIODE(_0708_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2422__A (.DIODE(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4160__A (.DIODE(_0708_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2363__A (.DIODE(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4150__A (.DIODE(_0708_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2383__A (.DIODE(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2409__B (.DIODE(_0708_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2379__A (.DIODE(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2452__A (.DIODE(_0711_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2371__A (.DIODE(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2427__A (.DIODE(_0711_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2364__A (.DIODE(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2416__A (.DIODE(_0711_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2403__A (.DIODE(_0696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2412__A (.DIODE(_0711_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2391__B1 (.DIODE(_0696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4767__A (.DIODE(_0712_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2391__A1_N (.DIODE(_0696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4760__A (.DIODE(_0712_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2367__A (.DIODE(_0696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4179__A (.DIODE(_0712_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4650__A (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2413__A1 (.DIODE(_0712_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4615__A (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4509__A (.DIODE(_0714_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4580__A (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4362__A (.DIODE(_0714_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2369__A (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4214__A (.DIODE(_0714_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4097__A (.DIODE(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2417__A (.DIODE(_0714_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4087__A (.DIODE(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4726__A (.DIODE(_0715_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2377__A2 (.DIODE(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4691__A (.DIODE(_0715_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2370__A2 (.DIODE(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4656__A (.DIODE(_0715_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4104__A (.DIODE(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2418__A (.DIODE(_0715_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4096__A (.DIODE(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4172__A (.DIODE(_0716_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4086__A (.DIODE(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4162__A (.DIODE(_0716_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2373__B (.DIODE(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4152__A (.DIODE(_0716_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2407__A (.DIODE(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2419__A2 (.DIODE(_0716_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2386__A1 (.DIODE(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4558__A (.DIODE(_0735_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2375__A (.DIODE(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4263__A (.DIODE(_0735_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4485__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4153__A (.DIODE(_0735_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4338__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2440__B1 (.DIODE(_0735_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2380__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2446__B (.DIODE(_0737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2376__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2444__B (.DIODE(_0737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4696__A (.DIODE(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2455__B (.DIODE(_0748_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4154__A (.DIODE(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2472__B (.DIODE(_0749_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4117__A (.DIODE(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2459__A (.DIODE(_0749_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2377__A1 (.DIODE(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2456__A (.DIODE(_0749_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4663__A (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3151__A2 (.DIODE(_0752_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4628__A (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3045__B2 (.DIODE(_0752_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4191__A (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2474__A2 (.DIODE(_0752_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2381__A (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2466__A2 (.DIODE(_0752_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4109__A (.DIODE(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3148__B (.DIODE(_0753_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4098__A (.DIODE(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3045__A2 (.DIODE(_0753_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4088__A (.DIODE(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3043__A2 (.DIODE(_0753_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2382__A2 (.DIODE(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2465__A (.DIODE(_0753_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4533__A (.DIODE(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3975__A (.DIODE(_0754_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4089__A (.DIODE(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3148__A (.DIODE(_0754_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2408__B1 (.DIODE(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2462__A (.DIODE(_0754_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2396__A (.DIODE(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2461__A (.DIODE(_0754_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2407__C (.DIODE(_0730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4022__A (.DIODE(_0755_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2425__B (.DIODE(_0733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3991__A (.DIODE(_0755_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2414__A (.DIODE(_0733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2471__A (.DIODE(_0755_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2411__A (.DIODE(_0733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2464__A2 (.DIODE(_0755_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3106__A2 (.DIODE(_0736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3202__A (.DIODE(_0757_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2999__B2 (.DIODE(_0736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3171__A (.DIODE(_0757_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2427__A2 (.DIODE(_0736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3146__A (.DIODE(_0757_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2421__A2 (.DIODE(_0736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2464__B2 (.DIODE(_0757_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3157__A (.DIODE(_0741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3140__A (.DIODE(_0760_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3126__A (.DIODE(_0741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2723__A (.DIODE(_0760_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3101__A (.DIODE(_0741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2591__A (.DIODE(_0760_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2419__B2 (.DIODE(_0741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2468__A (.DIODE(_0760_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2448__A (.DIODE(_0744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2495__A (.DIODE(_0762_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2446__A (.DIODE(_0744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2493__A (.DIODE(_0762_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2428__A (.DIODE(_0744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2475__A (.DIODE(_0762_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2423__A (.DIODE(_0744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2470__A (.DIODE(_0762_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3909__A1 (.DIODE(_0745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3974__A1 (.DIODE(_0763_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3908__A1 (.DIODE(_0745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3907__A1 (.DIODE(_0745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2427__A1 (.DIODE(_0745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3909__B2 (.DIODE(_0747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3908__B2 (.DIODE(_0747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3907__B2 (.DIODE(_0747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2427__B1 (.DIODE(_0747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2452__A1 (.DIODE(_0749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2445__A1 (.DIODE(_0749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2439__A1 (.DIODE(_0749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2433__A (.DIODE(_0749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3974__A1 (.DIODE(_0751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3969__A1 (.DIODE(_0751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2447__A1 (.DIODE(_0751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2445__A2 (.DIODE(_0751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2440__A (.DIODE(_0754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2436__B2 (.DIODE(_0754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2438__B2 (.DIODE(_0755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2437__A (.DIODE(_0755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2452__B2 (.DIODE(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2447__A2 (.DIODE(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2445__A3 (.DIODE(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2442__A (.DIODE(_0758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4059__A (.DIODE(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4047__A (.DIODE(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3996__A (.DIODE(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2441__A (.DIODE(_0759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4073__A (.DIODE(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3984__A (.DIODE(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3968__A (.DIODE(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2444__A (.DIODE(_0760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2452__A2 (.DIODE(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2449__B2 (.DIODE(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2449__A2_N (.DIODE(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2444__B (.DIODE(_0762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3451__B2 (.DIODE(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3388__B (.DIODE(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2458__B2 (.DIODE(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2455__A (.DIODE(_0765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3518__A (.DIODE(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3497__A (.DIODE(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3411__A (.DIODE(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2456__A (.DIODE(_0766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3539__A (.DIODE(_0767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3390__A (.DIODE(_0767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3368__A (.DIODE(_0767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2457__A (.DIODE(_0767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3973__A1 (.DIODE(_0763_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3544__B2 (.DIODE(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3972__A1 (.DIODE(_0763_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3544__A2_N (.DIODE(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2474__A1 (.DIODE(_0763_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3451__A2 (.DIODE(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3974__B2 (.DIODE(_0765_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2458__A2 (.DIODE(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3973__B2 (.DIODE(_0765_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2470__A2_N (.DIODE(_0769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3972__B2 (.DIODE(_0765_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2464__B2 (.DIODE(_0769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2474__B1 (.DIODE(_0765_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2464__A2_N (.DIODE(_0769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4137__A (.DIODE(_0768_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2462__A1_N (.DIODE(_0769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4132__A1 (.DIODE(_0768_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2502__A (.DIODE(_0771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4130__A1 (.DIODE(_0768_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2494__A (.DIODE(_0771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2479__A (.DIODE(_0768_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2471__A (.DIODE(_0771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4039__A1 (.DIODE(_0769_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2466__A (.DIODE(_0771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4034__A1 (.DIODE(_0769_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3811__B1 (.DIODE(_0772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2494__A1 (.DIODE(_0769_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2892__A (.DIODE(_0772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2492__A2 (.DIODE(_0769_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2469__B (.DIODE(_0772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4124__A (.DIODE(_0777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2468__A (.DIODE(_0772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4112__A (.DIODE(_0777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3970__A (.DIODE(_0777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4061__A (.DIODE(_0777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2475__B (.DIODE(_0777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2488__A (.DIODE(_0777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2521__A (.DIODE(_0779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4138__A (.DIODE(_0778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2479__A (.DIODE(_0779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4049__A (.DIODE(_0778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2477__A (.DIODE(_0779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4033__A (.DIODE(_0778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2725__A (.DIODE(_0780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2491__A (.DIODE(_0778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2693__A (.DIODE(_0780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2515__D (.DIODE(_0779_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2659__A2 (.DIODE(_0780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2490__A (.DIODE(_0779_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2478__A (.DIODE(_0780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2510__A (.DIODE(_0782_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2532__A (.DIODE(_0782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2506__A (.DIODE(_0782_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2480__A (.DIODE(_0782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2500__A (.DIODE(_0782_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2719__A (.DIODE(_0783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2498__A (.DIODE(_0782_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2685__A (.DIODE(_0783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3815__B (.DIODE(_0783_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2496__A (.DIODE(_0783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2505__B2 (.DIODE(_0783_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2481__A (.DIODE(_0783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2502__A (.DIODE(_0783_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2683__B2 (.DIODE(_0784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3965__A (.DIODE(_0785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2677__B2 (.DIODE(_0784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3960__A (.DIODE(_0785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2672__B2 (.DIODE(_0784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3788__A (.DIODE(_0785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2492__B1 (.DIODE(_0784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2504__A (.DIODE(_0785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2669__A (.DIODE(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3970__B2 (.DIODE(_0786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2624__A (.DIODE(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3970__A2_N (.DIODE(_0786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2591__A (.DIODE(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3791__B (.DIODE(_0786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2484__A (.DIODE(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2505__A2 (.DIODE(_0786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2658__A2 (.DIODE(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3314__A (.DIODE(_0790_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2558__A (.DIODE(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2939__A (.DIODE(_0790_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2524__A (.DIODE(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2516__B (.DIODE(_0790_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2485__A (.DIODE(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2515__B (.DIODE(_0790_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2516__A2 (.DIODE(_0788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4035__A (.DIODE(_0795_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2506__A2 (.DIODE(_0788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2522__B (.DIODE(_0795_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2499__A2 (.DIODE(_0788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2566__A (.DIODE(_0797_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2491__A2 (.DIODE(_0788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2526__A (.DIODE(_0797_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3256__A (.DIODE(_0790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2524__A (.DIODE(_0797_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2892__D (.DIODE(_0790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2771__A (.DIODE(_0798_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2690__B (.DIODE(_0790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2739__A (.DIODE(_0798_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2488__B (.DIODE(_0790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2704__A2 (.DIODE(_0798_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2626__A (.DIODE(_0791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2525__A (.DIODE(_0798_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2593__A (.DIODE(_0791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2765__A (.DIODE(_0801_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2489__A (.DIODE(_0791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2731__A (.DIODE(_0801_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2658__B2 (.DIODE(_0792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2543__A (.DIODE(_0801_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2560__A (.DIODE(_0792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2528__A (.DIODE(_0801_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2526__A (.DIODE(_0792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2714__A (.DIODE(_0804_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2490__A (.DIODE(_0792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2670__A (.DIODE(_0804_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2516__B2 (.DIODE(_0793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2637__A (.DIODE(_0804_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2506__B2 (.DIODE(_0793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2531__A (.DIODE(_0804_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2499__B2 (.DIODE(_0793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2703__A2 (.DIODE(_0805_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2491__B2 (.DIODE(_0793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2604__A (.DIODE(_0805_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2528__B1 (.DIODE(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2569__A (.DIODE(_0805_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2517__B1 (.DIODE(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2532__A (.DIODE(_0805_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2507__B1 (.DIODE(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2561__A2 (.DIODE(_0806_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2500__B1 (.DIODE(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2553__A2 (.DIODE(_0806_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2500__B2 (.DIODE(_0800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2546__A2 (.DIODE(_0806_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2836__A (.DIODE(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2538__A2 (.DIODE(_0806_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2765__A (.DIODE(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2949__A1_N (.DIODE(_0807_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2645__A (.DIODE(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2538__B1 (.DIODE(_0807_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2510__A (.DIODE(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3305__A (.DIODE(_0808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2612__A (.DIODE(_0808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2939__D (.DIODE(_0808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2579__A (.DIODE(_0808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2736__B (.DIODE(_0808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2546__A (.DIODE(_0808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2535__B (.DIODE(_0808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2511__A (.DIODE(_0808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2672__A (.DIODE(_0809_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2539__A (.DIODE(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2639__A (.DIODE(_0809_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2530__A (.DIODE(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2536__A (.DIODE(_0809_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2519__A (.DIODE(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2703__B2 (.DIODE(_0810_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2512__A (.DIODE(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2606__A (.DIODE(_0810_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2517__B2 (.DIODE(_0813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2571__A (.DIODE(_0810_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2622__A (.DIODE(_0816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2537__A (.DIODE(_0810_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2589__A (.DIODE(_0816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2561__B2 (.DIODE(_0811_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2556__A (.DIODE(_0816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2553__B2 (.DIODE(_0811_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2522__A (.DIODE(_0816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2546__B2 (.DIODE(_0811_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2552__A2 (.DIODE(_0817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2538__B2 (.DIODE(_0811_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2544__A2 (.DIODE(_0817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2573__B1 (.DIODE(_0815_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2537__A2 (.DIODE(_0817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2562__B1 (.DIODE(_0815_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2528__A2 (.DIODE(_0817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2554__B1 (.DIODE(_0815_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2528__B2 (.DIODE(_0822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2547__B1 (.DIODE(_0815_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2632__A (.DIODE(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2584__A (.DIODE(_0825_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2599__A (.DIODE(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2575__A (.DIODE(_0825_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2566__A (.DIODE(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2564__A (.DIODE(_0825_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2533__A (.DIODE(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2557__A (.DIODE(_0825_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2537__B2 (.DIODE(_0829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2668__A (.DIODE(_0832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2544__B2 (.DIODE(_0834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2635__A (.DIODE(_0832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2552__B2 (.DIODE(_0840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2602__A (.DIODE(_0832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2585__A2 (.DIODE(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2567__A (.DIODE(_0832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2577__A2 (.DIODE(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2598__A2 (.DIODE(_0833_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2570__A2 (.DIODE(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2589__A2 (.DIODE(_0833_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2562__A2 (.DIODE(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2582__A2 (.DIODE(_0833_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2562__B2 (.DIODE(_0848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2573__A2 (.DIODE(_0833_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2595__B1 (.DIODE(_0851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2608__B1 (.DIODE(_0842_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2585__B1 (.DIODE(_0851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2598__B1 (.DIODE(_0842_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2577__B1 (.DIODE(_0851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2589__B1 (.DIODE(_0842_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2570__B1 (.DIODE(_0851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2582__B1 (.DIODE(_0842_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2570__B2 (.DIODE(_0854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2691__A (.DIODE(_0852_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2577__B2 (.DIODE(_0859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2658__A (.DIODE(_0852_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2585__B2 (.DIODE(_0865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2625__A (.DIODE(_0852_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2761__A1_N (.DIODE(_0869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2592__A (.DIODE(_0852_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2594__A1 (.DIODE(_0869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2641__B1 (.DIODE(_0868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2628__B1 (.DIODE(_0876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2631__B1 (.DIODE(_0868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2618__B1 (.DIODE(_0876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2623__B1 (.DIODE(_0868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2610__B1 (.DIODE(_0876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2616__B1 (.DIODE(_0868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2603__B1 (.DIODE(_0876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2651__A (.DIODE(_0878_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2841__A1_N (.DIODE(_0877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2643__A (.DIODE(_0878_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2602__A1 (.DIODE(_0877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2633__A (.DIODE(_0878_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2959__A1_N (.DIODE(_0878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2626__A (.DIODE(_0878_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2602__B1 (.DIODE(_0878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2807__A1_N (.DIODE(_0886_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2638__A (.DIODE(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2640__A1 (.DIODE(_0886_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2630__A (.DIODE(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2684__A (.DIODE(_0903_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2620__A (.DIODE(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2676__A (.DIODE(_0903_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2613__A (.DIODE(_0886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2666__A (.DIODE(_0903_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2659__B1 (.DIODE(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2659__A (.DIODE(_0903_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2652__B1 (.DIODE(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2704__B1 (.DIODE(_0918_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2643__B1 (.DIODE(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2697__B1 (.DIODE(_0918_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2636__B1 (.DIODE(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2689__B1 (.DIODE(_0918_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2742__A (.DIODE(_0911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2682__B1 (.DIODE(_0918_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2710__A (.DIODE(_0911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2718__A (.DIODE(_0928_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2678__A (.DIODE(_0911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2706__A (.DIODE(_0928_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2646__A (.DIODE(_0911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2699__A (.DIODE(_0928_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2673__A (.DIODE(_0912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2692__A (.DIODE(_0928_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2661__A (.DIODE(_0912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2986__A1_N (.DIODE(_0931_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2654__A (.DIODE(_0912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2696__B1 (.DIODE(_0931_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2647__A (.DIODE(_0912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2792__A1 (.DIODE(_0941_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2659__B2 (.DIODE(_0921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2726__B (.DIODE(_0941_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2704__A (.DIODE(_0935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2719__B (.DIODE(_0941_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2695__A (.DIODE(_0935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2711__B (.DIODE(_0941_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2684__A (.DIODE(_0935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2732__B (.DIODE(_0946_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2679__A (.DIODE(_0935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2728__B2 (.DIODE(_0946_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2694__A2 (.DIODE(_0946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2721__B2 (.DIODE(_0946_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2762__A (.DIODE(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2716__B2 (.DIODE(_0946_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2755__A (.DIODE(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2811__A (.DIODE(_0951_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2749__A (.DIODE(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2788__A (.DIODE(_0951_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2743__A (.DIODE(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2756__A (.DIODE(_0951_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2973__A (.DIODE(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2724__A (.DIODE(_0951_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2948__A (.DIODE(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2750__A (.DIODE(_0952_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2922__A (.DIODE(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2741__A (.DIODE(_0952_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2752__A (.DIODE(_0989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2730__A (.DIODE(_0952_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2914__A (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2725__A (.DIODE(_0952_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2907__A (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2740__A2 (.DIODE(_0963_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2898__A (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2782__A (.DIODE(_0976_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2753__A (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2773__A (.DIODE(_0976_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2896__B2 (.DIODE(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2764__A (.DIODE(_0976_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2896__A2_N (.DIODE(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2757__A (.DIODE(_0976_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2754__B2 (.DIODE(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2803__C (.DIODE(_0989_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2754__A2_N (.DIODE(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2790__B (.DIODE(_0989_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4021__A (.DIODE(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2783__B (.DIODE(_0989_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3966__A (.DIODE(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2775__B (.DIODE(_0989_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2892__B (.DIODE(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2797__D (.DIODE(_0993_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2757__B (.DIODE(_0992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2792__B2 (.DIODE(_0993_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2862__A (.DIODE(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2785__B2 (.DIODE(_0993_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2829__A (.DIODE(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2779__B2 (.DIODE(_0993_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2796__A (.DIODE(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2808__A (.DIODE(_1000_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2758__A (.DIODE(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2801__A (.DIODE(_1000_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2787__A (.DIODE(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2795__A (.DIODE(_1000_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2777__A (.DIODE(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2789__A (.DIODE(_1000_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2768__A (.DIODE(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3019__A (.DIODE(_1006_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2759__A (.DIODE(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2994__A (.DIODE(_1006_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2764__B2 (.DIODE(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2968__A (.DIODE(_1006_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2764__A2_N (.DIODE(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2798__A (.DIODE(_1006_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2761__B2 (.DIODE(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4086__A (.DIODE(_1009_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2761__A2_N (.DIODE(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4031__A (.DIODE(_1009_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3485__B1 (.DIODE(_0996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2939__B (.DIODE(_1009_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3299__B1 (.DIODE(_0996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2803__B (.DIODE(_1009_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2896__B1 (.DIODE(_0996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2909__A (.DIODE(_1010_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2761__B1 (.DIODE(_0996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2876__A (.DIODE(_1010_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3459__B1 (.DIODE(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2843__A (.DIODE(_1010_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3273__B1 (.DIODE(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2804__A (.DIODE(_1010_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2899__B1 (.DIODE(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2834__A (.DIODE(_1011_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2764__B1 (.DIODE(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2822__A (.DIODE(_1011_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2820__A (.DIODE(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2813__A (.DIODE(_1011_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2804__A (.DIODE(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2805__A (.DIODE(_1011_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2784__A (.DIODE(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3541__B1 (.DIODE(_1013_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2766__A (.DIODE(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3349__B1 (.DIODE(_1013_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2780__A (.DIODE(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2943__B1 (.DIODE(_1013_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2775__A (.DIODE(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2807__B1 (.DIODE(_1013_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2771__A (.DIODE(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3515__B1 (.DIODE(_1014_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2767__A (.DIODE(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3323__B1 (.DIODE(_1014_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3453__B1 (.DIODE(_1001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2946__B1 (.DIODE(_1014_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3268__B1 (.DIODE(_1001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2810__B1 (.DIODE(_1014_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2904__B1 (.DIODE(_1001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2825__A (.DIODE(_1015_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2770__B1 (.DIODE(_1001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2820__A (.DIODE(_1015_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3052__B1 (.DIODE(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2816__A (.DIODE(_1015_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3023__B1 (.DIODE(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2812__A (.DIODE(_1015_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2915__B1 (.DIODE(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3510__B1 (.DIODE(_1017_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2783__B1 (.DIODE(_1008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3317__B1 (.DIODE(_1017_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3055__B1 (.DIODE(_1012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2949__B1 (.DIODE(_1017_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3026__B1 (.DIODE(_1012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2815__B1 (.DIODE(_1017_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2919__B1 (.DIODE(_1012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3090__B1 (.DIODE(_1019_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2789__B1 (.DIODE(_1012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3060__B1 (.DIODE(_1019_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3059__B1 (.DIODE(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2953__B1 (.DIODE(_1019_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2924__B1 (.DIODE(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2819__B1 (.DIODE(_1019_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2894__B1 (.DIODE(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3093__B1 (.DIODE(_1022_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2793__B1 (.DIODE(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3063__B1 (.DIODE(_1022_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2822__A (.DIODE(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2956__B1 (.DIODE(_1022_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2814__A (.DIODE(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2824__B1 (.DIODE(_1022_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2807__A (.DIODE(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3098__B1 (.DIODE(_1024_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2797__A (.DIODE(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3069__B1 (.DIODE(_1024_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3327__B1 (.DIODE(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2960__B1 (.DIODE(_1024_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3062__B1 (.DIODE(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2828__B1 (.DIODE(_1024_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2927__B1 (.DIODE(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3014__A (.DIODE(_1025_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2799__B1 (.DIODE(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2961__A (.DIODE(_1025_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3067__B1 (.DIODE(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2899__A (.DIODE(_1025_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3002__B1 (.DIODE(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2830__A (.DIODE(_1025_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2931__B1 (.DIODE(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2883__A (.DIODE(_1026_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2803__B1 (.DIODE(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2867__A (.DIODE(_1026_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3322__B1 (.DIODE(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2851__A (.DIODE(_1026_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3032__B1 (.DIODE(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2831__A (.DIODE(_1026_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2935__B1 (.DIODE(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2847__A (.DIODE(_1027_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2809__B1 (.DIODE(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2841__A (.DIODE(_1027_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3506__B1 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2837__A (.DIODE(_1027_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3320__B1 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2832__A (.DIODE(_1027_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2938__B1 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3101__B1 (.DIODE(_1030_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2812__B1 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3072__B1 (.DIODE(_1030_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3504__B1 (.DIODE(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2965__B1 (.DIODE(_1030_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3317__B1 (.DIODE(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2836__B1 (.DIODE(_1030_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2940__B1 (.DIODE(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3105__B1 (.DIODE(_1032_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2816__B1 (.DIODE(_1027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2970__B1 (.DIODE(_1032_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3501__B1 (.DIODE(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2941__B1 (.DIODE(_1032_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3315__B1 (.DIODE(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2840__B1 (.DIODE(_1032_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2943__B1 (.DIODE(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2850__B2 (.DIODE(_1035_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2819__B1 (.DIODE(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2850__A2_N (.DIODE(_1035_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3499__B1 (.DIODE(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2846__B2 (.DIODE(_1035_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3312__B1 (.DIODE(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2846__A2_N (.DIODE(_1035_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2946__B1 (.DIODE(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3378__B1 (.DIODE(_1036_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2824__B1 (.DIODE(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3108__B1 (.DIODE(_1036_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3495__B1 (.DIODE(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2973__B1 (.DIODE(_1036_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3310__B1 (.DIODE(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2846__B1 (.DIODE(_1036_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2950__B1 (.DIODE(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3373__B1 (.DIODE(_1042_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2827__B1 (.DIODE(_1032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3078__B1 (.DIODE(_1042_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2855__A (.DIODE(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2981__B1 (.DIODE(_1042_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2847__A (.DIODE(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2856__B1 (.DIODE(_1042_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2839__A (.DIODE(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3562__B1 (.DIODE(_1043_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2830__A (.DIODE(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3370__B1 (.DIODE(_1043_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3493__B1 (.DIODE(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2984__B1 (.DIODE(_1043_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3306__B1 (.DIODE(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2859__B1 (.DIODE(_1043_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2952__B1 (.DIODE(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3559__B1 (.DIODE(_1045_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2832__B1 (.DIODE(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3368__B1 (.DIODE(_1045_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3490__B1 (.DIODE(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2986__B1 (.DIODE(_1045_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3304__B1 (.DIODE(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2863__B1 (.DIODE(_1045_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2955__B1 (.DIODE(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3557__B1 (.DIODE(_1046_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2835__B1 (.DIODE(_1036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3365__B1 (.DIODE(_1046_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2885__A (.DIODE(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2989__B1 (.DIODE(_1046_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2869__A (.DIODE(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2866__B1 (.DIODE(_1046_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2853__A (.DIODE(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3554__B1 (.DIODE(_1049_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2837__A (.DIODE(_1037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3363__B1 (.DIODE(_1049_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3488__B1 (.DIODE(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2992__B1 (.DIODE(_1049_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3301__B1 (.DIODE(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2871__B1 (.DIODE(_1049_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2959__B1 (.DIODE(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2902__A (.DIODE(_1051_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2841__B1 (.DIODE(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2893__A (.DIODE(_1051_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3037__B1 (.DIODE(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2885__A (.DIODE(_1051_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3008__B1 (.DIODE(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2877__A (.DIODE(_1051_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2963__B1 (.DIODE(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3549__B1 (.DIODE(_1053_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2845__B1 (.DIODE(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3357__B1 (.DIODE(_1053_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3483__B1 (.DIODE(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2998__B1 (.DIODE(_1053_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3296__B1 (.DIODE(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2879__B1 (.DIODE(_1053_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2965__B1 (.DIODE(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3547__B1 (.DIODE(_1054_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2849__B1 (.DIODE(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3354__B1 (.DIODE(_1054_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3480__B1 (.DIODE(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3001__B1 (.DIODE(_1054_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3294__B1 (.DIODE(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2882__B1 (.DIODE(_1054_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2968__B1 (.DIODE(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3543__B1 (.DIODE(_1057_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2852__B1 (.DIODE(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3352__B1 (.DIODE(_1057_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3478__B1 (.DIODE(_1048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3004__B1 (.DIODE(_1057_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3291__B1 (.DIODE(_1048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2887__B1 (.DIODE(_1057_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2971__B1 (.DIODE(_1048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3083__B1 (.DIODE(_1059_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2857__B1 (.DIODE(_1048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3053__B1 (.DIODE(_1059_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3474__B1 (.DIODE(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3008__B1 (.DIODE(_1059_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3289__B1 (.DIODE(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2891__B1 (.DIODE(_1059_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2975__B1 (.DIODE(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3538__B1 (.DIODE(_1061_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2860__B1 (.DIODE(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3347__B1 (.DIODE(_1061_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2887__A (.DIODE(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3010__B1 (.DIODE(_1061_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2878__A (.DIODE(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2895__B1 (.DIODE(_1061_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2871__A (.DIODE(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3536__B1 (.DIODE(_1062_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2863__A (.DIODE(_1050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3344__B1 (.DIODE(_1062_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3472__B1 (.DIODE(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3013__B1 (.DIODE(_1062_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3285__B1 (.DIODE(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2898__B1 (.DIODE(_1062_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2977__B1 (.DIODE(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2947__A (.DIODE(_1063_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2865__B1 (.DIODE(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2932__A (.DIODE(_1063_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3469__B1 (.DIODE(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2916__A (.DIODE(_1063_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3283__B1 (.DIODE(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2900__A (.DIODE(_1063_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2980__B1 (.DIODE(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3533__B1 (.DIODE(_1066_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2868__B1 (.DIODE(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3342__B1 (.DIODE(_1066_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3467__B1 (.DIODE(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3017__B1 (.DIODE(_1066_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3280__B1 (.DIODE(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2904__B1 (.DIODE(_1066_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2983__B1 (.DIODE(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3531__B1 (.DIODE(_1067_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2873__B1 (.DIODE(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3338__B1 (.DIODE(_1067_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3464__B1 (.DIODE(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3021__B1 (.DIODE(_1067_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3278__B1 (.DIODE(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2907__B1 (.DIODE(_1067_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2986__B1 (.DIODE(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2934__A (.DIODE(_1068_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2876__B1 (.DIODE(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2925__A (.DIODE(_1068_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3462__B1 (.DIODE(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2918__A (.DIODE(_1068_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3275__B1 (.DIODE(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2910__A (.DIODE(_1068_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2988__B1 (.DIODE(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3528__B1 (.DIODE(_1070_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2880__B1 (.DIODE(_1059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3336__B1 (.DIODE(_1070_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3040__B1 (.DIODE(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3023__B1 (.DIODE(_1070_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3011__B1 (.DIODE(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2912__B1 (.DIODE(_1070_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2992__B1 (.DIODE(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3526__B1 (.DIODE(_1071_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2884__B1 (.DIODE(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3333__B1 (.DIODE(_1071_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2897__A (.DIODE(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3026__B1 (.DIODE(_1071_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2895__A (.DIODE(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2915__B1 (.DIODE(_1071_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2890__A (.DIODE(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3522__B1 (.DIODE(_1074_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2886__A (.DIODE(_1062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3331__B1 (.DIODE(_1074_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3457__B1 (.DIODE(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3029__B1 (.DIODE(_1074_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3270__B1 (.DIODE(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2920__B1 (.DIODE(_1074_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2995__B1 (.DIODE(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3520__B1 (.DIODE(_1075_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2889__B1 (.DIODE(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3328__B1 (.DIODE(_1075_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3022__A (.DIODE(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3032__B1 (.DIODE(_1075_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3014__A (.DIODE(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2923__B1 (.DIODE(_1075_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3007__A (.DIODE(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3517__B1 (.DIODE(_1077_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2893__A (.DIODE(_1066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3326__B1 (.DIODE(_1077_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2944__A (.DIODE(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3034__B1 (.DIODE(_1077_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2932__A (.DIODE(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2927__B1 (.DIODE(_1077_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2916__A (.DIODE(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3086__B1 (.DIODE(_1079_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2902__A (.DIODE(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3056__B1 (.DIODE(_1079_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2912__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3038__B1 (.DIODE(_1079_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2909__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2931__B1 (.DIODE(_1079_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2905__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2944__A (.DIODE(_1080_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2903__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31756,202 +31708,247 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2933__A (.DIODE(_1080_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2930__A (.DIODE(_1080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3075__B2 (.DIODE(_1081_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2923__A (.DIODE(_1080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3075__A2_N (.DIODE(_1081_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2941__A (.DIODE(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2936__B2 (.DIODE(_1081_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2939__A (.DIODE(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2936__A2_N (.DIODE(_1081_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2936__A (.DIODE(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3512__B1 (.DIODE(_1082_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2933__A (.DIODE(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3321__B1 (.DIODE(_1082_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2953__A (.DIODE(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3041__B1 (.DIODE(_1082_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2951__A (.DIODE(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2936__B1 (.DIODE(_1082_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2947__A (.DIODE(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2957__A (.DIODE(_1087_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2945__A (.DIODE(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2954__A (.DIODE(_1087_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2967__A (.DIODE(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2950__A (.DIODE(_1087_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2962__A (.DIODE(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2948__A (.DIODE(_1087_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2954__A (.DIODE(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2956__B2 (.DIODE(_1089_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2949__A (.DIODE(_1090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2956__A2_N (.DIODE(_1089_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2959__B2 (.DIODE(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2953__B2 (.DIODE(_1089_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2959__A2_N (.DIODE(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2953__A2_N (.DIODE(_1089_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2955__B2 (.DIODE(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3002__A (.DIODE(_1093_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2955__A2_N (.DIODE(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2990__A (.DIODE(_1093_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2993__A (.DIODE(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2978__A (.DIODE(_1093_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2981__A (.DIODE(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2962__A (.DIODE(_1093_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2969__A (.DIODE(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2974__A (.DIODE(_1094_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2957__A (.DIODE(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2971__A (.DIODE(_1094_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2991__A (.DIODE(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2966__A (.DIODE(_1094_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2985__A (.DIODE(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2963__A (.DIODE(_1094_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2979__A (.DIODE(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2973__B2 (.DIODE(_1098_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2974__A (.DIODE(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2973__A2_N (.DIODE(_1098_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2989__A (.DIODE(_1102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2970__B2 (.DIODE(_1098_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2987__A (.DIODE(_1102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2970__A2_N (.DIODE(_1098_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2984__A (.DIODE(_1102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3012__A (.DIODE(_1107_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2982__A (.DIODE(_1102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3007__A (.DIODE(_1107_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2995__B2 (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3000__A (.DIODE(_1107_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2995__A2_N (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2995__A (.DIODE(_1107_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2992__B2 (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3049__A (.DIODE(_1114_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2992__A2_N (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3039__A (.DIODE(_1114_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3000__A (.DIODE(_1106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3027__A (.DIODE(_1114_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2998__A (.DIODE(_1106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3015__A (.DIODE(_1114_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2996__A (.DIODE(_1106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3037__A (.DIODE(_1116_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2994__A (.DIODE(_1106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3031__A (.DIODE(_1116_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3048__A (.DIODE(_1108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3025__A (.DIODE(_1116_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3033__A (.DIODE(_1108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3019__A (.DIODE(_1108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3004__A (.DIODE(_1108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3016__A (.DIODE(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3012__A (.DIODE(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3009__A (.DIODE(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3005__A (.DIODE(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3030__A (.DIODE(_1116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3027__A (.DIODE(_1116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3024__A (.DIODE(_1116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31961,4667 +31958,4037 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3046__A (.DIODE(_1123_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3045__A (.DIODE(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3044__A (.DIODE(_1123_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3041__A (.DIODE(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3042__A (.DIODE(_1123_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3038__A (.DIODE(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3040__A (.DIODE(_1123_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3034__A (.DIODE(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3091__A (.DIODE(_1139_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3040__B2 (.DIODE(_1124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3087__A (.DIODE(_1139_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3040__A2_N (.DIODE(_1124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3084__A (.DIODE(_1139_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3037__B2 (.DIODE(_1124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3080__A (.DIODE(_1139_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3037__A2_N (.DIODE(_1124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3086__B2 (.DIODE(_1141_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3060__A (.DIODE(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3086__A2_N (.DIODE(_1141_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3056__A (.DIODE(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3083__B2 (.DIODE(_1141_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3053__A (.DIODE(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3083__A2_N (.DIODE(_1141_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3049__A (.DIODE(_1129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3093__B2 (.DIODE(_1144_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3073__A (.DIODE(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3093__A2_N (.DIODE(_1144_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3072__A (.DIODE(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3090__B2 (.DIODE(_1144_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3068__A (.DIODE(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3090__A2_N (.DIODE(_1144_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3065__A (.DIODE(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3118__A (.DIODE(_1153_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3083__A (.DIODE(_1142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3117__A (.DIODE(_1153_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3082__A (.DIODE(_1142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3113__A (.DIODE(_1153_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3081__A (.DIODE(_1142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3110__A (.DIODE(_1153_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3080__A (.DIODE(_1142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3135__A (.DIODE(_1157_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3088__A (.DIODE(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3130__A (.DIODE(_1157_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3087__A (.DIODE(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3125__A (.DIODE(_1157_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3086__A (.DIODE(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3120__A (.DIODE(_1157_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3085__A (.DIODE(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3210__A1 (.DIODE(_1163_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3165__A1 (.DIODE(_1146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3164__A (.DIODE(_1163_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3119__A (.DIODE(_1146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3157__A (.DIODE(_1163_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3112__A (.DIODE(_1146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3147__A (.DIODE(_1163_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3102__A (.DIODE(_1146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3156__A1 (.DIODE(_1164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3111__A1 (.DIODE(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3155__A1 (.DIODE(_1164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3110__A1 (.DIODE(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3154__A1 (.DIODE(_1164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3109__A1 (.DIODE(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3151__A1 (.DIODE(_1164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3106__A1 (.DIODE(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3203__A (.DIODE(_1165_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3165__B2 (.DIODE(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3173__A (.DIODE(_1165_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3120__A (.DIODE(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3149__A (.DIODE(_1165_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3113__A (.DIODE(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3210__B2 (.DIODE(_1166_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3105__A (.DIODE(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3165__A (.DIODE(_1166_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3111__B2 (.DIODE(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3158__A (.DIODE(_1166_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3110__B2 (.DIODE(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3150__A (.DIODE(_1166_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3109__B2 (.DIODE(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3156__B2 (.DIODE(_1167_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3106__B2 (.DIODE(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3155__B2 (.DIODE(_1167_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3965__A2 (.DIODE(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3154__B2 (.DIODE(_1167_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3122__A (.DIODE(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3151__B2 (.DIODE(_1167_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3115__A (.DIODE(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3195__A (.DIODE(_1176_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3108__A (.DIODE(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3188__A (.DIODE(_1176_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3151__A (.DIODE(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3181__A (.DIODE(_1176_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3144__A (.DIODE(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3172__A (.DIODE(_1176_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3137__A (.DIODE(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3196__A (.DIODE(_1178_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3129__A (.DIODE(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3189__A (.DIODE(_1178_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3149__A1 (.DIODE(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3182__A (.DIODE(_1178_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3148__A1 (.DIODE(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3174__A (.DIODE(_1178_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3147__A1 (.DIODE(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3183__A2 (.DIODE(_1181_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3145__A1 (.DIODE(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3180__A2 (.DIODE(_1181_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3149__B2 (.DIODE(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3179__A2 (.DIODE(_1181_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3148__B2 (.DIODE(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3178__A2 (.DIODE(_1181_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3147__B2 (.DIODE(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3187__A1 (.DIODE(_1182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3145__B2 (.DIODE(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3186__A1 (.DIODE(_1182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3922__A (.DIODE(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3185__A1 (.DIODE(_1182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3915__A (.DIODE(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3183__A1 (.DIODE(_1182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3906__A (.DIODE(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3187__B2 (.DIODE(_1183_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3161__A (.DIODE(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3186__B2 (.DIODE(_1183_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3198__B (.DIODE(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3185__B2 (.DIODE(_1183_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3168__A (.DIODE(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3183__B2 (.DIODE(_1183_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3329__A (.DIODE(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3197__A2 (.DIODE(_1187_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3308__A (.DIODE(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3194__A2 (.DIODE(_1187_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3221__A (.DIODE(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3193__A2 (.DIODE(_1187_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3169__A (.DIODE(_1180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3192__A2 (.DIODE(_1187_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3363__A (.DIODE(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3987__A (.DIODE(_1193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3358__A (.DIODE(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3980__A (.DIODE(_1193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3200__A (.DIODE(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3971__A (.DIODE(_1193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3170__A (.DIODE(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3206__A (.DIODE(_1193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3192__A (.DIODE(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3210__A2 (.DIODE(_1194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3185__A (.DIODE(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3209__A2 (.DIODE(_1194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3178__A (.DIODE(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3208__A2 (.DIODE(_1194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3171__A (.DIODE(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3207__A2 (.DIODE(_1194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3741__B1 (.DIODE(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3248__B (.DIODE(_1196_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3556__B1 (.DIODE(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3213__A (.DIODE(_1196_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3380__B1 (.DIODE(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3383__A (.DIODE(_1197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3187__B1 (.DIODE(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3294__A (.DIODE(_1197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3743__B1 (.DIODE(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3222__A (.DIODE(_1197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3561__B1 (.DIODE(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3214__A (.DIODE(_1197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3382__B1 (.DIODE(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3416__B2 (.DIODE(_1198_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3190__B1 (.DIODE(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3416__A2_N (.DIODE(_1198_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3746__B1 (.DIODE(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3217__B (.DIODE(_1198_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3563__B1 (.DIODE(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3215__A (.DIODE(_1198_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3385__B1 (.DIODE(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3793__B1 (.DIODE(_1201_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3194__B1 (.DIODE(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3608__B1 (.DIODE(_1201_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3748__B1 (.DIODE(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3423__B1 (.DIODE(_1201_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3566__B1 (.DIODE(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3220__B1 (.DIODE(_1201_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3387__B1 (.DIODE(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3245__A (.DIODE(_1204_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3197__B1 (.DIODE(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3238__A (.DIODE(_1204_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3216__A (.DIODE(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3231__A (.DIODE(_1204_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3211__A (.DIODE(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3224__A (.DIODE(_1204_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3206__A (.DIODE(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3796__B1 (.DIODE(_1206_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3201__A (.DIODE(_1203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3613__B1 (.DIODE(_1206_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3287__A (.DIODE(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3425__B1 (.DIODE(_1206_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3266__A (.DIODE(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3226__B1 (.DIODE(_1206_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3243__A (.DIODE(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3798__B1 (.DIODE(_1208_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3222__A (.DIODE(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3615__B1 (.DIODE(_1208_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3238__A (.DIODE(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3428__B1 (.DIODE(_1208_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3233__A (.DIODE(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3229__B1 (.DIODE(_1208_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3228__A (.DIODE(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3801__B1 (.DIODE(_1211_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3223__A (.DIODE(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3618__B1 (.DIODE(_1211_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3802__B1 (.DIODE(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3430__B1 (.DIODE(_1211_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3620__B1 (.DIODE(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3233__B1 (.DIODE(_1211_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3442__B1 (.DIODE(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3803__B1 (.DIODE(_1213_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3253__B1 (.DIODE(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3620__B1 (.DIODE(_1213_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3259__B2 (.DIODE(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3433__B1 (.DIODE(_1213_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3259__A2_N (.DIODE(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3236__B1 (.DIODE(_1213_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3257__B2 (.DIODE(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3806__B1 (.DIODE(_1216_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3257__A2_N (.DIODE(_1238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3623__B1 (.DIODE(_1216_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3805__B1 (.DIODE(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3435__B1 (.DIODE(_1216_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3622__B1 (.DIODE(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3240__B1 (.DIODE(_1216_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3445__B1 (.DIODE(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3808__B1 (.DIODE(_1218_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3257__B1 (.DIODE(_1239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3625__B1 (.DIODE(_1218_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3264__B2 (.DIODE(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3441__B1 (.DIODE(_1218_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3264__A2_N (.DIODE(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3243__B1 (.DIODE(_1218_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3262__B2 (.DIODE(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3814__B1 (.DIODE(_1221_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3262__A2_N (.DIODE(_1242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3628__B1 (.DIODE(_1221_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3270__B2 (.DIODE(_1246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3443__B1 (.DIODE(_1221_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3270__A2_N (.DIODE(_1246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3247__B1 (.DIODE(_1221_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3268__B2 (.DIODE(_1246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3268__A (.DIODE(_1224_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3268__A2_N (.DIODE(_1246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3263__A (.DIODE(_1224_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3303__A (.DIODE(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3258__A (.DIODE(_1224_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3298__A (.DIODE(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3253__A (.DIODE(_1224_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3293__A (.DIODE(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3289__A (.DIODE(_1237_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3288__A (.DIODE(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3284__A (.DIODE(_1237_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3324__A (.DIODE(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3279__A (.DIODE(_1237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3319__A (.DIODE(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3274__A (.DIODE(_1237_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3314__A (.DIODE(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3361__A (.DIODE(_1250_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3309__A (.DIODE(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3340__A (.DIODE(_1250_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3351__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3319__A (.DIODE(_1250_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3344__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3295__A (.DIODE(_1250_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3337__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3313__A (.DIODE(_1251_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3330__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3308__A (.DIODE(_1251_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3877__B1 (.DIODE(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3301__A (.DIODE(_1251_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3696__B1 (.DIODE(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3296__A (.DIODE(_1251_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3516__B1 (.DIODE(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3869__B1 (.DIODE(_1258_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3332__B1 (.DIODE(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3685__B1 (.DIODE(_1258_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3880__B1 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3499__B1 (.DIODE(_1258_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3699__B1 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3306__B1 (.DIODE(_1258_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3520__B1 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3335__A (.DIODE(_1267_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3335__B1 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3330__A (.DIODE(_1267_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3882__B1 (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3325__A (.DIODE(_1267_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3701__B1 (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3320__A (.DIODE(_1267_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3522__B1 (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3356__A (.DIODE(_1280_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3339__B1 (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3351__A (.DIODE(_1280_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3886__B1 (.DIODE(_1293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3346__A (.DIODE(_1280_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3704__B1 (.DIODE(_1293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3341__A (.DIODE(_1280_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3525__B1 (.DIODE(_1293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3377__A (.DIODE(_1293_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3342__B1 (.DIODE(_1293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3372__A (.DIODE(_1293_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3888__B1 (.DIODE(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3367__A (.DIODE(_1293_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3706__B1 (.DIODE(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3362__A (.DIODE(_1293_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3527__B1 (.DIODE(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3381__B2 (.DIODE(_1303_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3346__B1 (.DIODE(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3381__A2_N (.DIODE(_1303_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3891__B1 (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3378__B2 (.DIODE(_1303_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3709__B1 (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3378__A2_N (.DIODE(_1303_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3530__B1 (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3946__B1 (.DIODE(_1309_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3349__B1 (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3762__B1 (.DIODE(_1309_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3893__B1 (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3575__B1 (.DIODE(_1309_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3711__B1 (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3386__B1 (.DIODE(_1309_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3532__B1 (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3948__B1 (.DIODE(_1311_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3353__B1 (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3764__B1 (.DIODE(_1311_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3896__B1 (.DIODE(_1303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3578__B1 (.DIODE(_1311_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3714__B1 (.DIODE(_1303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3389__B1 (.DIODE(_1311_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3535__B1 (.DIODE(_1303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3951__B1 (.DIODE(_1314_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3356__B1 (.DIODE(_1303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3767__B1 (.DIODE(_1314_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3384__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3580__B1 (.DIODE(_1314_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3379__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3393__B1 (.DIODE(_1314_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3374__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3953__B1 (.DIODE(_1316_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3369__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3769__B1 (.DIODE(_1316_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3387__B2 (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3583__B1 (.DIODE(_1316_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3387__A2_N (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3396__B1 (.DIODE(_1316_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3385__B2 (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3956__B1 (.DIODE(_1319_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3385__A2_N (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3772__B1 (.DIODE(_1319_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3406__A (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3585__B1 (.DIODE(_1319_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3401__A (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3400__B1 (.DIODE(_1319_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3396__A (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3958__B1 (.DIODE(_1321_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3391__A (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3774__B1 (.DIODE(_1321_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3476__A (.DIODE(_1337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3588__B1 (.DIODE(_1321_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3455__A (.DIODE(_1337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3403__B1 (.DIODE(_1321_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3433__A (.DIODE(_1337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3961__B1 (.DIODE(_1324_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3412__A (.DIODE(_1337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3777__B1 (.DIODE(_1324_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3428__A (.DIODE(_1338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3590__B1 (.DIODE(_1324_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3423__A (.DIODE(_1338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3407__B1 (.DIODE(_1324_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3418__A (.DIODE(_1338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3599__B2 (.DIODE(_1331_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3413__A (.DIODE(_1338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3599__A2_N (.DIODE(_1331_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3513__A (.DIODE(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3597__B2 (.DIODE(_1331_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3508__A (.DIODE(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3420__B (.DIODE(_1331_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3503__A (.DIODE(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3441__A2_N (.DIODE(_1339_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3498__A (.DIODE(_1390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3435__B2 (.DIODE(_1339_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3534__A (.DIODE(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3435__A2_N (.DIODE(_1339_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3529__A (.DIODE(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3433__B2 (.DIODE(_1339_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3524__A (.DIODE(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3566__A (.DIODE(_1342_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3519__A (.DIODE(_1403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3545__A (.DIODE(_1342_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3527__B2 (.DIODE(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3524__A (.DIODE(_1342_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3527__A2_N (.DIODE(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3438__A (.DIODE(_1342_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3525__B2 (.DIODE(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3503__A (.DIODE(_1343_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3525__A2_N (.DIODE(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3482__A (.DIODE(_1343_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3554__A2_N (.DIODE(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3461__A (.DIODE(_1343_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3551__B2 (.DIODE(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3439__A (.DIODE(_1343_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3551__A2_N (.DIODE(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3484__A2_N (.DIODE(_1367_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3549__B2 (.DIODE(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3480__B2 (.DIODE(_1367_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3561__A2_N (.DIODE(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3480__A2_N (.DIODE(_1367_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3556__B2 (.DIODE(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3478__B2 (.DIODE(_1367_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3556__A2_N (.DIODE(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3498__A (.DIODE(_1370_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3554__B2 (.DIODE(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3493__A (.DIODE(_1370_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3718__A (.DIODE(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3488__A (.DIODE(_1370_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3713__A (.DIODE(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3483__A (.DIODE(_1370_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3708__A (.DIODE(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3489__A2_N (.DIODE(_1371_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3559__A (.DIODE(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3486__B2 (.DIODE(_1371_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3576__A (.DIODE(_1428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3486__A2_N (.DIODE(_1371_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3571__A (.DIODE(_1428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3484__B2 (.DIODE(_1371_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3565__A (.DIODE(_1428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3499__A2_N (.DIODE(_1377_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3560__A (.DIODE(_1428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3496__B2 (.DIODE(_1377_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3566__A2_N (.DIODE(_1429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3496__A2_N (.DIODE(_1377_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3563__B2 (.DIODE(_1429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3494__B2 (.DIODE(_1377_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3563__A2_N (.DIODE(_1429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3505__A2_N (.DIODE(_1380_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3561__B2 (.DIODE(_1429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3501__B2 (.DIODE(_1380_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3577__A2_N (.DIODE(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3501__A2_N (.DIODE(_1380_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3574__B2 (.DIODE(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3499__B2 (.DIODE(_1380_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3574__A2_N (.DIODE(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3510__A2_N (.DIODE(_1384_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3572__B2 (.DIODE(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3507__B2 (.DIODE(_1384_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3645__A (.DIODE(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3507__A2_N (.DIODE(_1384_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3624__A (.DIODE(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3505__B2 (.DIODE(_1384_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3603__A (.DIODE(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3526__A2_N (.DIODE(_1393_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3582__A (.DIODE(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3522__B2 (.DIODE(_1393_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3598__A (.DIODE(_1442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3522__A2_N (.DIODE(_1393_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3593__A (.DIODE(_1442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3520__B2 (.DIODE(_1393_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3588__A (.DIODE(_1442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3540__A (.DIODE(_1396_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3583__A (.DIODE(_1442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3535__A (.DIODE(_1396_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3610__A2_N (.DIODE(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3530__A (.DIODE(_1396_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3607__B2 (.DIODE(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3525__A (.DIODE(_1396_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3607__A2_N (.DIODE(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3547__A2_N (.DIODE(_1406_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3605__B2 (.DIODE(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3543__B2 (.DIODE(_1406_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3640__A (.DIODE(_1468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3543__A2_N (.DIODE(_1406_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3635__A (.DIODE(_1468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3541__B2 (.DIODE(_1406_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3630__A (.DIODE(_1468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3561__A (.DIODE(_1409_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3625__A (.DIODE(_1468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3556__A (.DIODE(_1409_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3631__A2_N (.DIODE(_1469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3551__A (.DIODE(_1409_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3628__B2 (.DIODE(_1469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3546__A (.DIODE(_1409_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3628__A2_N (.DIODE(_1469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3552__A2_N (.DIODE(_1410_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3626__B2 (.DIODE(_1469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3549__B2 (.DIODE(_1410_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3636__A2_N (.DIODE(_1472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3549__A2_N (.DIODE(_1410_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3633__B2 (.DIODE(_1472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3547__B2 (.DIODE(_1410_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3633__A2_N (.DIODE(_1472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3557__A2_N (.DIODE(_1413_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3631__B2 (.DIODE(_1472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3554__B2 (.DIODE(_1413_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3652__A2_N (.DIODE(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3554__A2_N (.DIODE(_1413_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3649__B2 (.DIODE(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3552__B2 (.DIODE(_1413_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3649__A2_N (.DIODE(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3568__A2_N (.DIODE(_1419_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3647__B2 (.DIODE(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3564__B2 (.DIODE(_1419_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3668__A2_N (.DIODE(_1491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3564__A2_N (.DIODE(_1419_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3664__B2 (.DIODE(_1491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3562__B2 (.DIODE(_1419_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3664__A2_N (.DIODE(_1491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3582__A (.DIODE(_1422_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3662__B2 (.DIODE(_1491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3577__A (.DIODE(_1422_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3682__A (.DIODE(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3572__A (.DIODE(_1422_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3677__A (.DIODE(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3567__A (.DIODE(_1422_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3672__A (.DIODE(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3573__A2_N (.DIODE(_1423_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3667__A (.DIODE(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3570__B2 (.DIODE(_1423_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3709__A2_N (.DIODE(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3570__A2_N (.DIODE(_1423_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3706__B2 (.DIODE(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3568__B2 (.DIODE(_1423_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3706__A2_N (.DIODE(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3588__A2_N (.DIODE(_1432_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3704__B2 (.DIODE(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3585__B2 (.DIODE(_1432_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3714__A2_N (.DIODE(_1520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3585__A2_N (.DIODE(_1432_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3711__B2 (.DIODE(_1520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3583__B2 (.DIODE(_1432_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3711__A2_N (.DIODE(_1520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3597__A2_N (.DIODE(_1438_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3709__B2 (.DIODE(_1520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3595__B2 (.DIODE(_1438_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3719__A2_N (.DIODE(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3595__A2_N (.DIODE(_1438_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3716__B2 (.DIODE(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3593__B2 (.DIODE(_1438_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3716__A2_N (.DIODE(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3629__B (.DIODE(_1443_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3714__B2 (.DIODE(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3602__A (.DIODE(_1443_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3811__B2 (.DIODE(_1530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3760__A (.DIODE(_1444_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3749__B (.DIODE(_1530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3675__A (.DIODE(_1444_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3726__A (.DIODE(_1530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3610__A (.DIODE(_1444_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3820__A (.DIODE(_1531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3603__A (.DIODE(_1444_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3733__A (.DIODE(_1531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3781__A (.DIODE(_1449_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3727__A (.DIODE(_1531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3654__A (.DIODE(_1449_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3905__B2 (.DIODE(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3633__A (.DIODE(_1449_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3905__A2_N (.DIODE(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3611__A (.DIODE(_1449_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3811__A2 (.DIODE(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3627__A (.DIODE(_1450_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3728__A (.DIODE(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3622__A (.DIODE(_1450_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3798__A (.DIODE(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3617__A (.DIODE(_1450_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3777__A (.DIODE(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3612__A (.DIODE(_1450_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3756__A (.DIODE(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3649__A (.DIODE(_1463_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3734__A (.DIODE(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3644__A (.DIODE(_1463_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3751__A (.DIODE(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3639__A (.DIODE(_1463_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3745__A (.DIODE(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3634__A (.DIODE(_1463_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3740__A (.DIODE(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3739__A (.DIODE(_1489_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3735__A (.DIODE(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3718__A (.DIODE(_1489_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3772__A (.DIODE(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3697__A (.DIODE(_1489_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3767__A (.DIODE(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3676__A (.DIODE(_1489_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3762__A (.DIODE(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3692__A (.DIODE(_1490_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3757__A (.DIODE(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3687__A (.DIODE(_1490_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3793__A (.DIODE(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3682__A (.DIODE(_1490_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3788__A (.DIODE(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3677__A (.DIODE(_1490_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3783__A (.DIODE(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3713__A (.DIODE(_1503_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3778__A (.DIODE(_1563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3708__A (.DIODE(_1503_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3815__A (.DIODE(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3703__A (.DIODE(_1503_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3809__A (.DIODE(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3698__A (.DIODE(_1503_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3804__A (.DIODE(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3755__A (.DIODE(_1529_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3799__A (.DIODE(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3750__A (.DIODE(_1529_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3884__A (.DIODE(_1589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3745__A (.DIODE(_1529_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3863__A (.DIODE(_1589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3740__A (.DIODE(_1529_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3842__A (.DIODE(_1589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3776__A (.DIODE(_1542_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3821__A (.DIODE(_1589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3771__A (.DIODE(_1542_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3900__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3766__A (.DIODE(_1542_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3895__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3761__A (.DIODE(_1542_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3890__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3805__A (.DIODE(_1559_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3885__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3800__A (.DIODE(_1559_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3903__B2 (.DIODE(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3795__A (.DIODE(_1559_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3903__A2_N (.DIODE(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3789__A (.DIODE(_1559_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3901__B2 (.DIODE(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3808__B2 (.DIODE(_1569_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3901__A2_N (.DIODE(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3808__A2_N (.DIODE(_1569_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3914__A2 (.DIODE(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3806__B2 (.DIODE(_1569_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3909__A2 (.DIODE(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3806__A2_N (.DIODE(_1569_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3908__A2 (.DIODE(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3939__A (.DIODE(_1572_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3907__A2 (.DIODE(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3918__A (.DIODE(_1572_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3965__A1 (.DIODE(_1643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3897__A (.DIODE(_1572_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3964__A1 (.DIODE(_1643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3811__A (.DIODE(_1572_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3919__A (.DIODE(_1643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3876__A (.DIODE(_1573_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3911__A (.DIODE(_1643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3855__A (.DIODE(_1573_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3965__B2 (.DIODE(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3834__A (.DIODE(_1573_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3964__B2 (.DIODE(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3812__A (.DIODE(_1573_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3920__A (.DIODE(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3829__A (.DIODE(_1574_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3913__A (.DIODE(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3824__A (.DIODE(_1574_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3950__A (.DIODE(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3819__A (.DIODE(_1574_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3943__A (.DIODE(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3813__A (.DIODE(_1574_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3936__A (.DIODE(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3838__B2 (.DIODE(_1588_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3927__A (.DIODE(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3838__A2_N (.DIODE(_1588_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3951__A (.DIODE(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3836__B2 (.DIODE(_1588_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3944__A (.DIODE(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3836__A2_N (.DIODE(_1588_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3937__A (.DIODE(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3892__A (.DIODE(_1613_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3929__A (.DIODE(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3887__A (.DIODE(_1613_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3953__A (.DIODE(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3882__A (.DIODE(_1613_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3946__A (.DIODE(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3877__A (.DIODE(_1613_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3939__A (.DIODE(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3913__A (.DIODE(_1626_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3932__A (.DIODE(_1655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3908__A (.DIODE(_1626_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3938__A2 (.DIODE(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3903__A (.DIODE(_1626_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3935__A2 (.DIODE(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3898__A (.DIODE(_1626_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3934__A2 (.DIODE(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3934__A (.DIODE(_1639_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3933__A2 (.DIODE(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3929__A (.DIODE(_1639_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3945__A2 (.DIODE(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3924__A (.DIODE(_1639_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3942__A2 (.DIODE(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3919__A (.DIODE(_1639_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3941__A2 (.DIODE(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3955__A (.DIODE(_1652_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3940__A2 (.DIODE(_1659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3950__A (.DIODE(_1652_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4009__A (.DIODE(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3945__A (.DIODE(_1652_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3995__A (.DIODE(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3940__A (.DIODE(_1652_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3983__A (.DIODE(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3979__A2 (.DIODE(_1671_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3967__A (.DIODE(_1669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3974__A2 (.DIODE(_1671_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4061__A (.DIODE(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3973__A2 (.DIODE(_1671_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4049__A (.DIODE(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3972__A2 (.DIODE(_1671_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3999__A (.DIODE(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4030__A1 (.DIODE(_1672_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3971__A (.DIODE(_1673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4029__A1 (.DIODE(_1672_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4074__A (.DIODE(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3984__A (.DIODE(_1672_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4070__A (.DIODE(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3976__A (.DIODE(_1672_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3986__A (.DIODE(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4030__B2 (.DIODE(_1674_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3972__A (.DIODE(_1674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4029__B2 (.DIODE(_1674_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4016__A (.DIODE(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3985__A (.DIODE(_1674_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4004__A (.DIODE(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3978__A (.DIODE(_1674_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3990__A (.DIODE(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4015__A (.DIODE(_1680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3978__A (.DIODE(_1678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4008__A (.DIODE(_1680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4035__A (.DIODE(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4001__A (.DIODE(_1680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4023__A (.DIODE(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3992__A (.DIODE(_1680_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4010__A (.DIODE(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4000__A1 (.DIODE(_1681_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3997__A (.DIODE(_1691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3999__A1 (.DIODE(_1681_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4037__A (.DIODE(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3998__A1 (.DIODE(_1681_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4025__A (.DIODE(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3995__A1 (.DIODE(_1681_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4012__A (.DIODE(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4016__A (.DIODE(_1682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4000__A (.DIODE(_1694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4009__A (.DIODE(_1682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4014__A1 (.DIODE(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4002__A (.DIODE(_1682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4011__A1 (.DIODE(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3994__A (.DIODE(_1682_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4007__A1 (.DIODE(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4000__B2 (.DIODE(_1683_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4005__A1 (.DIODE(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3999__B2 (.DIODE(_1683_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4406__A (.DIODE(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3998__B2 (.DIODE(_1683_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4259__A (.DIODE(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3995__B2 (.DIODE(_1683_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4112__A (.DIODE(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4018__A (.DIODE(_1684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4078__A (.DIODE(_1744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4011__A (.DIODE(_1684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4694__A (.DIODE(_1746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4004__A (.DIODE(_1684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4100__A (.DIODE(_1746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3997__A (.DIODE(_1684_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4093__A (.DIODE(_1746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4010__A2 (.DIODE(_1688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4080__A (.DIODE(_1746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4007__A2 (.DIODE(_1688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4433__A (.DIODE(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4006__A2 (.DIODE(_1688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4286__A (.DIODE(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4005__A2 (.DIODE(_1688_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4139__A (.DIODE(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4017__A2 (.DIODE(_1691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4082__A (.DIODE(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4014__A2 (.DIODE(_1691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4654__A (.DIODE(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4013__A2 (.DIODE(_1691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4619__A (.DIODE(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4012__A2 (.DIODE(_1691_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4101__A (.DIODE(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4029__A2 (.DIODE(_1697_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4083__A (.DIODE(_1749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4028__A2 (.DIODE(_1697_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4695__A (.DIODE(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4027__A2 (.DIODE(_1697_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4688__A (.DIODE(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4026__A2 (.DIODE(_1697_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4094__A (.DIODE(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4126__A (.DIODE(_1702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4084__A (.DIODE(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4114__A (.DIODE(_1702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4675__A (.DIODE(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4064__A (.DIODE(_1702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4386__A (.DIODE(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4036__A (.DIODE(_1702_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4239__A (.DIODE(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4139__A (.DIODE(_1703_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4090__A (.DIODE(_1756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4135__A (.DIODE(_1703_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4204__A (.DIODE(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4051__A (.DIODE(_1703_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4167__A (.DIODE(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4037__A (.DIODE(_1703_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4129__A (.DIODE(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4118__A (.DIODE(_1706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4091__A (.DIODE(_1757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4106__A (.DIODE(_1706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4122__B1 (.DIODE(_1758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4094__A (.DIODE(_1706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4110__B1 (.DIODE(_1758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4042__A (.DIODE(_1706_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4099__B1 (.DIODE(_1758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4081__A (.DIODE(_1707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4092__B1 (.DIODE(_1758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4069__A (.DIODE(_1707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4132__A (.DIODE(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4055__A (.DIODE(_1707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4124__A (.DIODE(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4043__A (.DIODE(_1707_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4115__A (.DIODE(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4100__A (.DIODE(_1720_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4102__A (.DIODE(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4088__A (.DIODE(_1720_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4475__A (.DIODE(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4075__A (.DIODE(_1720_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4328__A (.DIODE(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4062__A (.DIODE(_1720_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4181__A (.DIODE(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4102__A (.DIODE(_1723_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4106__A (.DIODE(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4090__A (.DIODE(_1723_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4692__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4077__A (.DIODE(_1723_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4685__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4065__A (.DIODE(_1723_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4144__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4092__A1 (.DIODE(_1734_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4107__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4089__A1 (.DIODE(_1734_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4135__A (.DIODE(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4084__A1 (.DIODE(_1734_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4127__A (.DIODE(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4082__A1 (.DIODE(_1734_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4120__A (.DIODE(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4123__A (.DIODE(_1737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4108__A (.DIODE(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4111__A (.DIODE(_1737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4142__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4099__A (.DIODE(_1737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4133__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4087__A (.DIODE(_1737_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4125__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4127__A2 (.DIODE(_1764_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4116__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4584__A (.DIODE(_1773_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4223__A (.DIODE(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4437__A (.DIODE(_1773_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4187__A (.DIODE(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4290__A (.DIODE(_1773_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4150__A (.DIODE(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4142__A (.DIODE(_1773_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4113__A (.DIODE(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4727__A (.DIODE(_1774_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4138__A (.DIODE(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4201__A (.DIODE(_1774_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4131__A (.DIODE(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4164__A (.DIODE(_1774_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4123__A (.DIODE(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4143__A (.DIODE(_1774_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4114__A (.DIODE(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4765__A (.DIODE(_1775_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4122__A1 (.DIODE(_1780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4758__A (.DIODE(_1775_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4143__A (.DIODE(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4156__A (.DIODE(_1775_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4134__A (.DIODE(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4144__A (.DIODE(_1775_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4126__A (.DIODE(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4611__A (.DIODE(_1777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4119__A (.DIODE(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4464__A (.DIODE(_1777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4130__A1 (.DIODE(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4317__A (.DIODE(_1777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4137__A1 (.DIODE(_1795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4146__A (.DIODE(_1777_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4250__A (.DIODE(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4230__A (.DIODE(_1778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4214__A (.DIODE(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4194__A (.DIODE(_1778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4177__A (.DIODE(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4157__A (.DIODE(_1778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4140__A (.DIODE(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4147__A (.DIODE(_1778_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4173__A (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4155__A1 (.DIODE(_1781_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4165__A (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4768__B1 (.DIODE(_1785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4158__A (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4227__A (.DIODE(_1785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4145__A (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4191__A (.DIODE(_1785_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4258__A (.DIODE(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4154__A (.DIODE(_1785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4222__A (.DIODE(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4184__B1 (.DIODE(_1786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4186__A (.DIODE(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4173__B1 (.DIODE(_1786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4149__A (.DIODE(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4163__B1 (.DIODE(_1786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4184__A (.DIODE(_1814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4155__B1 (.DIODE(_1786_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4174__A (.DIODE(_1814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4186__A (.DIODE(_1788_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4166__A (.DIODE(_1814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4177__A (.DIODE(_1788_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4159__A (.DIODE(_1814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4166__A (.DIODE(_1788_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4265__A (.DIODE(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4158__A (.DIODE(_1788_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4228__A (.DIODE(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4193__A (.DIODE(_1794_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4193__A (.DIODE(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4185__A (.DIODE(_1794_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4156__A (.DIODE(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4176__A (.DIODE(_1794_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4159__B (.DIODE(_1817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4165__A (.DIODE(_1794_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4207__A (.DIODE(_1834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4281__A (.DIODE(_1799_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4199__A (.DIODE(_1834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4243__A (.DIODE(_1799_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4189__A (.DIODE(_1834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4206__A (.DIODE(_1799_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4178__A (.DIODE(_1834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4170__A (.DIODE(_1799_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4185__A2 (.DIODE(_1841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4285__A (.DIODE(_1803_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4301__A (.DIODE(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4247__A (.DIODE(_1803_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4264__A (.DIODE(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4210__A (.DIODE(_1803_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4227__A (.DIODE(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4175__A (.DIODE(_1803_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4192__A (.DIODE(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4178__C (.DIODE(_1806_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4197__A2 (.DIODE(_1852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4208__A (.DIODE(_1808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4205__A2 (.DIODE(_1858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4199__A (.DIODE(_1808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4232__B1 (.DIODE(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4190__A (.DIODE(_1808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4221__B1 (.DIODE(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4183__A (.DIODE(_1808_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4212__B1 (.DIODE(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4184__A2 (.DIODE(_1812_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4205__B1 (.DIODE(_1859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4187__C (.DIODE(_1814_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4212__A2 (.DIODE(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4192__A2 (.DIODE(_1818_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4216__C (.DIODE(_1868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4222__A (.DIODE(_1821_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4221__A2 (.DIODE(_1873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4212__A (.DIODE(_1821_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4252__A (.DIODE(_1874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4203__A (.DIODE(_1821_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4244__A (.DIODE(_1874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4195__A (.DIODE(_1821_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4235__A (.DIODE(_1874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4200__A2 (.DIODE(_1826_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4226__A (.DIODE(_1874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4234__A (.DIODE(_1832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4249__A (.DIODE(_1875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4225__A (.DIODE(_1832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4242__A (.DIODE(_1875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4218__A (.DIODE(_1832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4233__A (.DIODE(_1875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4207__A (.DIODE(_1832_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4224__A (.DIODE(_1875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4209__A2 (.DIODE(_1834_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4397__A (.DIODE(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4327__A (.DIODE(_1839_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4361__A (.DIODE(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4289__A (.DIODE(_1839_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4324__A (.DIODE(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4251__A (.DIODE(_1839_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4287__A (.DIODE(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4215__A (.DIODE(_1839_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4405__A (.DIODE(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4245__A (.DIODE(_1840_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4369__A (.DIODE(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4235__A (.DIODE(_1840_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4333__A (.DIODE(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4226__A (.DIODE(_1840_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4296__A (.DIODE(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4219__A (.DIODE(_1840_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4412__A (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4256__B1 (.DIODE(_1851_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4375__A (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4246__B1 (.DIODE(_1851_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4340__A (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4236__B1 (.DIODE(_1851_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4303__A (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4228__B1 (.DIODE(_1851_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4438__A (.DIODE(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4258__A (.DIODE(_1853_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4401__A (.DIODE(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4249__A (.DIODE(_1853_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4365__A (.DIODE(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4240__A (.DIODE(_1853_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4329__A (.DIODE(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4231__A (.DIODE(_1853_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4448__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4236__A2 (.DIODE(_1858_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4411__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4349__A (.DIODE(_1859_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4374__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4312__A (.DIODE(_1859_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4339__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4276__A (.DIODE(_1859_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4379__A1 (.DIODE(_2009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4238__A (.DIODE(_1859_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4388__A1 (.DIODE(_2017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4267__A (.DIODE(_1860_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4416__B1 (.DIODE(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4257__A (.DIODE(_1860_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4404__B1 (.DIODE(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4248__A (.DIODE(_1860_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4395__B1 (.DIODE(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4239__A (.DIODE(_1860_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4388__B1 (.DIODE(_2022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4273__A (.DIODE(_1865_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4395__A1 (.DIODE(_2025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4261__A (.DIODE(_1865_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4426__A (.DIODE(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4254__A (.DIODE(_1865_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4418__A (.DIODE(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4244__A (.DIODE(_1865_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4409__A (.DIODE(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4245__C (.DIODE(_1866_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4398__A (.DIODE(_2030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4279__A (.DIODE(_1868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4404__A1 (.DIODE(_2032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4271__A (.DIODE(_1868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4429__A (.DIODE(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4259__A (.DIODE(_1868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4421__A (.DIODE(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4250__A (.DIODE(_1868_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4414__A (.DIODE(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4283__A (.DIODE(_1872_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4402__A (.DIODE(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4274__A (.DIODE(_1872_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4436__A (.DIODE(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4262__A (.DIODE(_1872_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4427__A (.DIODE(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4255__A (.DIODE(_1872_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4419__A (.DIODE(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4738__A (.DIODE(_1883_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4410__A (.DIODE(_2037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4703__A (.DIODE(_1883_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4517__A (.DIODE(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4411__A (.DIODE(_1883_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4481__A (.DIODE(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4264__A (.DIODE(_1883_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4444__A (.DIODE(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4375__A (.DIODE(_1884_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4407__A (.DIODE(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4339__A (.DIODE(_1884_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4432__A (.DIODE(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4302__A (.DIODE(_1884_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4425__A (.DIODE(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4265__A (.DIODE(_1884_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4417__A (.DIODE(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4295__B1 (.DIODE(_1885_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4408__A (.DIODE(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4284__B1 (.DIODE(_1885_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4437__A (.DIODE(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4275__B1 (.DIODE(_1885_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4428__A (.DIODE(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4266__B1 (.DIODE(_1885_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4420__A (.DIODE(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4378__A (.DIODE(_1887_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4413__A (.DIODE(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4342__A (.DIODE(_1887_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4416__A2 (.DIODE(_2047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4305__A (.DIODE(_1887_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4424__A2 (.DIODE(_2053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4269__A (.DIODE(_1887_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4431__A2 (.DIODE(_2060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4297__A (.DIODE(_1888_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4544__A (.DIODE(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4287__A (.DIODE(_1888_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4508__A (.DIODE(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4278__A (.DIODE(_1888_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4471__A (.DIODE(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4270__A (.DIODE(_1888_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4434__A (.DIODE(_2062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4304__A (.DIODE(_1894_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4467__A (.DIODE(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4296__A (.DIODE(_1894_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4459__A (.DIODE(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4286__A (.DIODE(_1894_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4452__A (.DIODE(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4277__A (.DIODE(_1894_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4439__A (.DIODE(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4309__A (.DIODE(_1899_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4441__A2 (.DIODE(_2069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4300__A (.DIODE(_1899_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4552__A (.DIODE(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4293__A (.DIODE(_1899_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4516__A (.DIODE(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4282__A (.DIODE(_1899_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4480__A (.DIODE(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4315__A (.DIODE(_1902_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4443__A (.DIODE(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4307__A (.DIODE(_1902_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4559__A (.DIODE(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4298__A (.DIODE(_1902_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4522__A (.DIODE(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4288__A (.DIODE(_1902_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4487__A (.DIODE(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4400__A (.DIODE(_1907_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4450__A (.DIODE(_2077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4364__A (.DIODE(_1907_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4462__A2 (.DIODE(_2087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4328__A (.DIODE(_1907_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4584__A (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4291__A (.DIODE(_1907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4332__B1 (.DIODE(_1918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4321__B1 (.DIODE(_1918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4311__B1 (.DIODE(_1918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4303__B1 (.DIODE(_1918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4334__A (.DIODE(_1920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4325__A (.DIODE(_1920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4314__A (.DIODE(_1920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4306__A (.DIODE(_1920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4341__A (.DIODE(_1926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4333__A (.DIODE(_1926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4324__A (.DIODE(_1926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4313__A (.DIODE(_1926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4428__A (.DIODE(_1931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4391__A (.DIODE(_1931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4354__A (.DIODE(_1931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4318__A (.DIODE(_1931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4346__A (.DIODE(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4337__A (.DIODE(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4330__A (.DIODE(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4319__A (.DIODE(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4432__A (.DIODE(_1935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4395__A (.DIODE(_1935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4358__A (.DIODE(_1935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4323__A (.DIODE(_1935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4352__A (.DIODE(_1936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4344__A (.DIODE(_1936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4335__A (.DIODE(_1936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4326__A (.DIODE(_1936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4356__A (.DIODE(_1940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4347__A (.DIODE(_1940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4338__A (.DIODE(_1940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4331__A (.DIODE(_1940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4368__B1 (.DIODE(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4357__B1 (.DIODE(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4348__B1 (.DIODE(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4340__B1 (.DIODE(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4377__A (.DIODE(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4369__A (.DIODE(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4359__A (.DIODE(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4350__A (.DIODE(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4474__A (.DIODE(_1971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4436__A (.DIODE(_1971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4399__A (.DIODE(_1971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4363__A (.DIODE(_1971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4496__A (.DIODE(_1991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4459__A (.DIODE(_1991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4423__A (.DIODE(_1991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4386__A (.DIODE(_1991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4430__A (.DIODE(_2004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4421__A (.DIODE(_2004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4410__A (.DIODE(_2004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4403__A (.DIODE(_2004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4522__A (.DIODE(_2015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4486__A (.DIODE(_2015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4449__A (.DIODE(_2015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4412__A (.DIODE(_2015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4442__B1 (.DIODE(_2016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4431__B1 (.DIODE(_2016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4422__B1 (.DIODE(_2016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4413__B1 (.DIODE(_2016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4525__A (.DIODE(_2018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4489__A (.DIODE(_2018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4452__A (.DIODE(_2018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4416__A (.DIODE(_2018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4430__B (.DIODE(_2029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4462__A (.DIODE(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4454__A (.DIODE(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4445__A (.DIODE(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4435__A (.DIODE(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4547__A (.DIODE(_2038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4511__A (.DIODE(_2038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4475__A (.DIODE(_2038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4438__A (.DIODE(_2038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4463__A (.DIODE(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4455__A (.DIODE(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4446__A (.DIODE(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4439__A (.DIODE(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4481__A (.DIODE(_2051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4472__A (.DIODE(_2051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4461__A (.DIODE(_2051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4453__A (.DIODE(_2051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4488__A (.DIODE(_2057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4480__A (.DIODE(_2057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4471__A (.DIODE(_2057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4460__A (.DIODE(_2057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4575__A (.DIODE(_2062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4538__A (.DIODE(_2062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4501__A (.DIODE(_2062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4465__A (.DIODE(_2062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4493__A (.DIODE(_2063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4484__A (.DIODE(_2063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4477__A (.DIODE(_2063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4466__A (.DIODE(_2063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4579__A (.DIODE(_2066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4542__A (.DIODE(_2066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4505__A (.DIODE(_2066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4470__A (.DIODE(_2066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4499__A (.DIODE(_2067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4491__A (.DIODE(_2067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4482__A (.DIODE(_2067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4473__A (.DIODE(_2067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4503__A (.DIODE(_2071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4494__A (.DIODE(_2071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4485__A (.DIODE(_2071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4478__A (.DIODE(_2071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4515__B1 (.DIODE(_2082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4504__B1 (.DIODE(_2082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4495__B1 (.DIODE(_2082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4487__B1 (.DIODE(_2082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4529__A (.DIODE(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4520__A (.DIODE(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4513__A (.DIODE(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4502__A (.DIODE(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4536__A (.DIODE(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4527__A (.DIODE(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4518__A (.DIODE(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4508__A (.DIODE(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4621__A (.DIODE(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4583__A (.DIODE(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4546__A (.DIODE(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4510__A (.DIODE(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4540__A (.DIODE(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4530__A (.DIODE(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4521__A (.DIODE(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4514__A (.DIODE(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4537__A (.DIODE(_2104_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4548__A (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4528__A (.DIODE(_2104_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4512__A (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4519__A (.DIODE(_2104_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4476__A (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4512__A (.DIODE(_2104_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4504__A (.DIODE(_2101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4643__A (.DIODE(_2122_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4496__A (.DIODE(_2101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4606__A (.DIODE(_2122_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4489__A (.DIODE(_2101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4570__A (.DIODE(_2122_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4477__A (.DIODE(_2101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4533__A (.DIODE(_2122_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4507__A (.DIODE(_2105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4560__A1 (.DIODE(_2142_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4500__A (.DIODE(_2105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4668__A (.DIODE(_2146_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4492__A (.DIODE(_2105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4633__A (.DIODE(_2146_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4482__A (.DIODE(_2105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4596__A (.DIODE(_2146_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4593__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4559__A (.DIODE(_2146_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4558__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4589__B1 (.DIODE(_2147_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4521__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4578__B1 (.DIODE(_2147_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4486__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4569__B1 (.DIODE(_2147_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4514__A (.DIODE(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4560__B1 (.DIODE(_2147_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4505__A (.DIODE(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4671__A (.DIODE(_2149_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4497__A (.DIODE(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4636__A (.DIODE(_2149_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4490__A (.DIODE(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4599__A (.DIODE(_2149_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4511__A (.DIODE(_2111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4563__A (.DIODE(_2149_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4503__A (.DIODE(_2111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4598__A (.DIODE(_2156_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4495__A (.DIODE(_2111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4590__A (.DIODE(_2156_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4488__A (.DIODE(_2111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4580__A (.DIODE(_2156_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4526__B1 (.DIODE(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4571__A (.DIODE(_2156_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4515__B1 (.DIODE(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4577__C (.DIODE(_2162_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4506__B1 (.DIODE(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4609__A (.DIODE(_2164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4499__B1 (.DIODE(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4601__A (.DIODE(_2164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4537__A (.DIODE(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4592__A (.DIODE(_2164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4528__A (.DIODE(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4582__A (.DIODE(_2164_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4519__A (.DIODE(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4589__A1 (.DIODE(_2167_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4509__A (.DIODE(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4692__A (.DIODE(_2169_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4540__A (.DIODE(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4657__A (.DIODE(_2169_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4531__A (.DIODE(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4622__A (.DIODE(_2169_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4524__A (.DIODE(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4585__A (.DIODE(_2169_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4513__A (.DIODE(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4626__B1 (.DIODE(_2180_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4543__A (.DIODE(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4615__B1 (.DIODE(_2180_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4536__A (.DIODE(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4605__B1 (.DIODE(_2180_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4527__A (.DIODE(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4597__B1 (.DIODE(_2180_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4518__A (.DIODE(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4628__A (.DIODE(_2182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4573__A (.DIODE(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4619__A (.DIODE(_2182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4565__A (.DIODE(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4608__A (.DIODE(_2182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4556__A (.DIODE(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4600__A (.DIODE(_2182_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4545__A (.DIODE(_2161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4609__B (.DIODE(_2189_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4582__A (.DIODE(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4718__A (.DIODE(_2193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4574__A (.DIODE(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4683__A (.DIODE(_2193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4566__A (.DIODE(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4648__A (.DIODE(_2193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4557__A (.DIODE(_2168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4612__A (.DIODE(_2193_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4659__A (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4640__A (.DIODE(_2194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4624__A (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4631__A (.DIODE(_2194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4589__A (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4624__A (.DIODE(_2194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4554__A (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4613__A (.DIODE(_2194_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4579__A (.DIODE(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4722__A (.DIODE(_2197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4572__A (.DIODE(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4687__A (.DIODE(_2197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4564__A (.DIODE(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4652__A (.DIODE(_2197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4555__A (.DIODE(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4617__A (.DIODE(_2197_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4563__A2 (.DIODE(_2178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4650__A (.DIODE(_2202_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4571__A2 (.DIODE(_2184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4641__A (.DIODE(_2202_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4598__B1 (.DIODE(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4632__A (.DIODE(_2202_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4587__B1 (.DIODE(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4625__A (.DIODE(_2202_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4578__B1 (.DIODE(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4626__A2 (.DIODE(_2206_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4571__B1 (.DIODE(_2185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4634__A2 (.DIODE(_2212_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4578__A2 (.DIODE(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4642__A2 (.DIODE(_2220_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4587__A2 (.DIODE(_2199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4651__A2 (.DIODE(_2228_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4618__A (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4667__C (.DIODE(_2242_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4610__A (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4705__A (.DIODE(_2252_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4602__A (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4697__A (.DIODE(_2252_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4595__A (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4688__A (.DIODE(_2252_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4606__A2 (.DIODE(_2215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4679__A (.DIODE(_2252_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4613__A2 (.DIODE(_2222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4690__B (.DIODE(_2261_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4646__A (.DIODE(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4720__A (.DIODE(_2264_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4638__A (.DIODE(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4711__A (.DIODE(_2264_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4631__A (.DIODE(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4702__A (.DIODE(_2264_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4620__A (.DIODE(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4695__A (.DIODE(_2264_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4622__A2 (.DIODE(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4717__A (.DIODE(_2265_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4656__A (.DIODE(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4709__A (.DIODE(_2265_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4647__A (.DIODE(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4700__A (.DIODE(_2265_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4639__A (.DIODE(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4693__A (.DIODE(_2265_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4632__A (.DIODE(_2236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4696__A2 (.DIODE(_2268_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4653__A (.DIODE(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4731__B1 (.DIODE(_2275_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4645__A (.DIODE(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4721__B1 (.DIODE(_2275_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4637__A (.DIODE(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4712__B1 (.DIODE(_2275_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4630__A (.DIODE(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4704__B1 (.DIODE(_2275_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4632__C (.DIODE(_2239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4733__A (.DIODE(_2277_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4668__B1 (.DIODE(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4724__A (.DIODE(_2277_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4657__B1 (.DIODE(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4715__A (.DIODE(_2277_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4648__B1 (.DIODE(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4707__A (.DIODE(_2277_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4641__B1 (.DIODE(_2247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4740__A (.DIODE(_2283_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4652__C (.DIODE(_2256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4732__A (.DIODE(_2283_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4681__A (.DIODE(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4723__A (.DIODE(_2283_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4673__A (.DIODE(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4714__A (.DIODE(_2283_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4666__A (.DIODE(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4716__C (.DIODE(_2285_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4655__A (.DIODE(_2259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4720__C (.DIODE(_2289_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4656__C (.DIODE(_2260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4751__A (.DIODE(_2296_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4657__A2 (.DIODE(_2261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4744__A (.DIODE(_2296_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4686__A (.DIODE(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4735__A (.DIODE(_2296_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4679__A (.DIODE(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4728__A (.DIODE(_2296_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4671__A (.DIODE(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4754__A1 (.DIODE(_2316_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4662__A (.DIODE(_2262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4761__A1 (.DIODE(_2322_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4684__A (.DIODE(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4768__A1 (.DIODE(_2328_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4677__A (.DIODE(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4768__A2 (.DIODE(_2331_),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4669__A (.DIODE(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4038__B1 (.DIODE(\reg_rdata[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4660__A (.DIODE(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2794__B1 (.DIODE(\reg_rdata[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4689__A (.DIODE(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4071__B1 (.DIODE(\reg_rdata[10] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4682__A (.DIODE(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2717__B1 (.DIODE(\reg_rdata[10] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4674__A (.DIODE(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4073__B1 (.DIODE(\reg_rdata[11] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4667__A (.DIODE(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2700__A (.DIODE(\reg_rdata[11] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4687__A (.DIODE(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4078__B1 (.DIODE(\reg_rdata[12] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4680__A (.DIODE(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2693__A (.DIODE(\reg_rdata[12] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4672__A (.DIODE(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4080__B1 (.DIODE(\reg_rdata[13] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4665__A (.DIODE(_2268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2685__A (.DIODE(\reg_rdata[13] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4697__B1 (.DIODE(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4083__B1 (.DIODE(\reg_rdata[14] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4690__B1 (.DIODE(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2677__A (.DIODE(\reg_rdata[14] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4683__B1 (.DIODE(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4085__B1 (.DIODE(\reg_rdata[15] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4676__B1 (.DIODE(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2667__A (.DIODE(\reg_rdata[15] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3973__B1 (.DIODE(\reg_rdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4091__B1 (.DIODE(\reg_rdata[16] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2748__B1 (.DIODE(\reg_rdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2660__A (.DIODE(\reg_rdata[16] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4006__B1 (.DIODE(\reg_rdata[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4093__B1 (.DIODE(\reg_rdata[17] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2672__B1 (.DIODE(\reg_rdata[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2652__A (.DIODE(\reg_rdata[17] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4008__B1 (.DIODE(\reg_rdata[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4096__B1 (.DIODE(\reg_rdata[18] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2655__A (.DIODE(\reg_rdata[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2644__A (.DIODE(\reg_rdata[18] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4013__B1 (.DIODE(\reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4098__B1 (.DIODE(\reg_rdata[19] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2648__A (.DIODE(\reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2634__A (.DIODE(\reg_rdata[19] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4015__B1 (.DIODE(\reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4040__B1 (.DIODE(\reg_rdata[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2639__A (.DIODE(\reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2787__B1 (.DIODE(\reg_rdata[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4018__B1 (.DIODE(\reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4103__B1 (.DIODE(\reg_rdata[20] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2631__A (.DIODE(\reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2627__A (.DIODE(\reg_rdata[20] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4020__B1 (.DIODE(\reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4105__B1 (.DIODE(\reg_rdata[21] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2621__A (.DIODE(\reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2619__A (.DIODE(\reg_rdata[21] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4026__B1 (.DIODE(\reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4108__B1 (.DIODE(\reg_rdata[22] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2614__A (.DIODE(\reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2611__A (.DIODE(\reg_rdata[22] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4028__B1 (.DIODE(\reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4110__B1 (.DIODE(\reg_rdata[23] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2606__A (.DIODE(\reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2601__A (.DIODE(\reg_rdata[23] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4031__B1 (.DIODE(\reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4115__B1 (.DIODE(\reg_rdata[24] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2598__A (.DIODE(\reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2594__A (.DIODE(\reg_rdata[24] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4033__B1 (.DIODE(\reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4117__B1 (.DIODE(\reg_rdata[25] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2588__A (.DIODE(\reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2585__A (.DIODE(\reg_rdata[25] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3975__B1 (.DIODE(\reg_rdata[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4120__B1 (.DIODE(\reg_rdata[26] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2741__B1 (.DIODE(\reg_rdata[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2576__A (.DIODE(\reg_rdata[26] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4038__B1 (.DIODE(\reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4122__B1 (.DIODE(\reg_rdata[27] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2581__A (.DIODE(\reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2565__A (.DIODE(\reg_rdata[27] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4040__B1 (.DIODE(\reg_rdata[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4127__B1 (.DIODE(\reg_rdata[28] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2573__A (.DIODE(\reg_rdata[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2558__A (.DIODE(\reg_rdata[28] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4043__B1 (.DIODE(\reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4129__B1 (.DIODE(\reg_rdata[29] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2565__A (.DIODE(\reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2550__A (.DIODE(\reg_rdata[29] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4045__B1 (.DIODE(\reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4045__B1 (.DIODE(\reg_rdata[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2555__A (.DIODE(\reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2781__B1 (.DIODE(\reg_rdata[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4050__B1 (.DIODE(\reg_rdata[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4131__B1 (.DIODE(\reg_rdata[30] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2548__A (.DIODE(\reg_rdata[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2542__A (.DIODE(\reg_rdata[30] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4052__B1 (.DIODE(\reg_rdata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4133__B1 (.DIODE(\reg_rdata[31] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2540__A (.DIODE(\reg_rdata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2519__A (.DIODE(\reg_rdata[31] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4055__B1 (.DIODE(\reg_rdata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4047__B1 (.DIODE(\reg_rdata[3] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2531__A (.DIODE(\reg_rdata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2772__B1 (.DIODE(\reg_rdata[3] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4057__B1 (.DIODE(\reg_rdata[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4052__B1 (.DIODE(\reg_rdata[4] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2520__A (.DIODE(\reg_rdata[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2763__B1 (.DIODE(\reg_rdata[4] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4062__B1 (.DIODE(\reg_rdata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4057__B1 (.DIODE(\reg_rdata[6] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2513__A (.DIODE(\reg_rdata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2749__B1 (.DIODE(\reg_rdata[6] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4064__B1 (.DIODE(\reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4059__B1 (.DIODE(\reg_rdata[7] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2503__A (.DIODE(\reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2740__B1 (.DIODE(\reg_rdata[7] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3980__B1 (.DIODE(\reg_rdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4066__B1 (.DIODE(\reg_rdata[8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2735__B1 (.DIODE(\reg_rdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2729__B1 (.DIODE(\reg_rdata[8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4066__B1 (.DIODE(\reg_rdata[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4068__B1 (.DIODE(\reg_rdata[9] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2495__A (.DIODE(\reg_rdata[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2722__B1 (.DIODE(\reg_rdata[9] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4068__B1 (.DIODE(\reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5268__D (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2472__A (.DIODE(\reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2414__B2 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3982__B1 (.DIODE(\reg_rdata[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5269__D (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2726__B1 (.DIODE(\reg_rdata[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2430__A (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4001__B1 (.DIODE(\reg_rdata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2409__C (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2683__B1 (.DIODE(\reg_rdata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2392__A1 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4003__B1 (.DIODE(\reg_rdata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2390__A (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2677__B1 (.DIODE(\reg_rdata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4537__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][43] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5191__D (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3672__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][43] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2387__A (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4593__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][49] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2373__C (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3657__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][49] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2357__A1 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4602__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][50] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2355__A (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3653__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][50] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4086__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4682__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][59] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3904__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3630__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][59] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4653__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4693__B (.DIODE(\u_async_wb.u_cmd_if.mem[0][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3742__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3629__A (.DIODE(\u_async_wb.u_cmd_if.mem[0][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4206__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4304__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][17] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3323__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3364__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][17] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4114__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4688__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3357__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3248__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4649__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4740__B (.DIODE(\u_async_wb.u_cmd_if.mem[2][66] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3188__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3227__A (.DIODE(\u_async_wb.u_cmd_if.mem[2][66] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4207__B (.DIODE(\u_async_wb.u_cmd_if.mem[3][13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4619__B (.DIODE(\u_async_wb.u_cmd_if.mem[3][52] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3510__A (.DIODE(\u_async_wb.u_cmd_if.mem[3][13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3833__A (.DIODE(\u_async_wb.u_cmd_if.mem[3][52] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4626__B (.DIODE(\u_async_wb.u_cmd_if.mem[3][60] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4689__B (.DIODE(\u_async_wb.u_cmd_if.mem[3][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3388__A (.DIODE(\u_async_wb.u_cmd_if.mem[3][60] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3815__A (.DIODE(\u_async_wb.u_cmd_if.mem[3][60] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4162__B (.DIODE(\u_async_wb.u_cmd_if.mem[3][8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2450__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3523__A (.DIODE(\u_async_wb.u_cmd_if.mem[3][8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2447__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5176__D (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2399__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2997__A1 (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2384__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4067__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2449__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3109__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2429__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3985__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2410__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3159__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2381__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3988__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2355__A2 (.DIODE(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3156__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2353__A (.DIODE(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3991__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2436__A (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3155__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2431__A (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3985__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2433__A1 (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3961__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2432__A (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3976__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2433__A2 (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2436__A2 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2429__A2 (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2435__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2428__A (.DIODE(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2431__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5253__D (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2398__B2 (.DIODE(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3045__B1 (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2398__A2_N (.DIODE(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5254__D (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2397__A (.DIODE(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3043__A1 (.DIODE(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5177__D (.DIODE(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4050__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][4] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2415__A (.DIODE(\u_async_wb.u_resp_if.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3204__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][4] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2398__B1 (.DIODE(\u_async_wb.u_resp_if.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4056__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][6] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2398__A1_N (.DIODE(\u_async_wb.u_resp_if.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3200__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][6] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2419__B1 (.DIODE(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4058__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][7] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2401__A (.DIODE(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3199__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][7] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2399__A (.DIODE(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4063__A2 (.DIODE(\u_async_wb.u_resp_if.mem[0][8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2384__A (.DIODE(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3197__B1 (.DIODE(\u_async_wb.u_resp_if.mem[0][8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3196__A (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4034__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3001__A (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4030__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2746__B1 (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4113__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][24] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3193__A (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3988__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][24] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3006__A (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4116__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][25] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2739__B1 (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3986__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][25] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3189__A (.DIODE(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4121__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][27] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3010__A (.DIODE(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3982__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][27] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2733__B1 (.DIODE(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4063__B2 (.DIODE(\u_async_wb.u_resp_if.mem[1][8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3186__A (.DIODE(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4019__B1 (.DIODE(\u_async_wb.u_resp_if.mem[1][8] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3013__A (.DIODE(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4041__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2722__B1 (.DIODE(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2483__A2 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3182__A (.DIODE(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2482__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3017__A (.DIODE(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2478__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2715__B1 (.DIODE(\u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2443__B2 (.DIODE(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3179__A (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2443__A2_N (.DIODE(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3021__A (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3246__A (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2707__B1 (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3047__A (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3175__A (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2792__B1 (.DIODE(\u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3025__A (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3242__A (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2701__B1 (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3051__A (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3172__A (.DIODE(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2785__B1 (.DIODE(\u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2891__A (.DIODE(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3228__A (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2689__B1 (.DIODE(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3067__A (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4075__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2753__B1 (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__3031__A (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3225__A (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__2668__A (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__3071__A (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4701__A2 (.DIODE(\u_wbclk.clk_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__2747__B1 (.DIODE(\u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4075__B2 (.DIODE(\u_wbclk.clk_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36646,267 +36013,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4941__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4916__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4942__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5053__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5009__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5059__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5083__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5060__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5084__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5061__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5157__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5126__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4945__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5127__CLK (.DIODE(clknet_5_0_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5016__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4915__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5081__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4922__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5085__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5051__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5155__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5054__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5156__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5055__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5158__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5056__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5159__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5057__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4940__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5124__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5010__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5125__CLK (.DIODE(clknet_5_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5011__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5062__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5013__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5064__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5015__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5065__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5068__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5129__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5087__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5130__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5088__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5131__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5151__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5133__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4938__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5134__CLK (.DIODE(clknet_5_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4943__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4983__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4944__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4984__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4997__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5052__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5014__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5120__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5069__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5121__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5086__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5122__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5139__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5123__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5152__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4911__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5153__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4912__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5154__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4913__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5211__CLK (.DIODE(clknet_5_3_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4914__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4939__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4919__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4991__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4980__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4996__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4981__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5067__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4982__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5130__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4985__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5133__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4986__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5138__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4918__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5209__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4921__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5210__CLK (.DIODE(clknet_5_4_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4932__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4989__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4990__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5059__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5079__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5135__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5202__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5203__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5206__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5207__CLK (.DIODE(clknet_5_5_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4933__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36916,72 +36243,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4992__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4989__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4993__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5058__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4994__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5110__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5060__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5247__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5062__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5279__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5063__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4865__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5064__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4917__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5065__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4920__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5136__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4923__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5199__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4924__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5200__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5201__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5204__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5205__CLK (.DIODE(clknet_5_6_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4926__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36991,67 +36303,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4990__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4992__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4993__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_ef_sc_hd__fakediode_2 ANTENNA__4995__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5012__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4996__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5061__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5002__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5125__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5041__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5129__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5071__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5131__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4909__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5132__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4910__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5134__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4979__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5137__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5045__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5150__CLK (.DIODE(clknet_5_7_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4986__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5006__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5008__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5046__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37061,392 +36373,457 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5076__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5050__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5145__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5117__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5146__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5118__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5218__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5119__CLK (.DIODE(clknet_5_8_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4937__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4907__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5005__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4908__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5050__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4975__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5074__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4977__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5077__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4978__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5122__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5047__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5140__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5048__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5144__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5115__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5147__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5116__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5148__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4994__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5198__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5001__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5212__CLK (.DIODE(clknet_5_9_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5003__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4935__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5004__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4936__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5038__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4983__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5040__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5007__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5042__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5057__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5043__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5058__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5044__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5075__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5063__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5121__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5070__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5073__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5107__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5109__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5111__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5139__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4901__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4904__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4973__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4974__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4976__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4997__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5005__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5039__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5124__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5108__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5126__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5112__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5127__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5113__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5128__CLK (.DIODE(clknet_5_10_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5114__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4981__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4866__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4982__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4934__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4984__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4935__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4985__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4936__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5051__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5072__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5052__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5140__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5053__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5175__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5054__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5283__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5055__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5284__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5056__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5286__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5078__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5287__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5123__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5288__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5194__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5289__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5195__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5290__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5196__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5291__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5197__CLK (.DIODE(clknet_5_11_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5311__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4946__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5312__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4947__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5313__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4948__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5314__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4949__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4867__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5080__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4868__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5089__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5074__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5090__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5075__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5364__CLK (.DIODE(clknet_5_12_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5174__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5000__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5206__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5070__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5214__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5071__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5215__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5072__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5292__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5141__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5293__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5149__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5310__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5162__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5332__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5291__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5204__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5362__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5205__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5363__CLK (.DIODE(clknet_5_13_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5207__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5017__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5212__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5018__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5213__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5019__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5245__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5073__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5246__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5082__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5248__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5161__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5277__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5281__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5278__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5283__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5280__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5353__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5201__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5354__CLK (.DIODE(clknet_5_14_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5202__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4998__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5203__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5020__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5242__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5091__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5243__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5142__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5244__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5143__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5274__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5160__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5276__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37456,237 +36833,417 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5284__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5285__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5292__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4873__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5351__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4874__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5352__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4875__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5361__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4876__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5365__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4877__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5366__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4942__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5367__CLK (.DIODE(clknet_5_15_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4943__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4973__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4944__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5003__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4945__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5004__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4946__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5021__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4947__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5022__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5011__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5116__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5014__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5117__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5015__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5208__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5018__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5216__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5019__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5217__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5086__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5408__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5087__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4975__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5088__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4976__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5309__CLK (.DIODE(clknet_5_16_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4977__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4878__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4979__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4879__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5066__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4948__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5118__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5016__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5119__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5017__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5185__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5020__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5188__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5021__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5191__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5022__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4972__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5023__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4974__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5024__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4978__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5089__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4980__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5090__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5044__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5091__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5045__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5092__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5046__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5093__CLK (.DIODE(clknet_5_17_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5047__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5220__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5048__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5228__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5113__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5229__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5120__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5230__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5186__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5231__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5187__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5252__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5189__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5253__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5190__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5262__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5192__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5263__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5193__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5268__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5392__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5269__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5270__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5271__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5296__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5304__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5305__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5307__CLK (.DIODE(clknet_5_18_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5221__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5222__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5223__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5224__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5225__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5226__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5227__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5254__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5255__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5256__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5257__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5258__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5259__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5260__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5261__CLK (.DIODE(clknet_5_19_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4890__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4891__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4892__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4894__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4896__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4897__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4898__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4899__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4958__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4960__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4962__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4964__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4965__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4966__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4967__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37696,62 +37253,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4970__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5036__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5027__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4893__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5028__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5031__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5039__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5041__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5101__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5109__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5110__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5111__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5177__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5182__CLK (.DIODE(clknet_5_20_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4895__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37761,7 +37273,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4957__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4959__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37771,17 +37283,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4962__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4963__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4967__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5029__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5026__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5030__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5031__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5032__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5033__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37791,7 +37318,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5040__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5035__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5037__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5096__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5097__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5098__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37806,6 +37353,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5101__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_ef_sc_hd__fakediode_2 ANTENNA__5102__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37821,167 +37373,162 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5107__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5105__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5108__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5106__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5183__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4880__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5268__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4881__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5271__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4888__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5394__CLK (.DIODE(clknet_5_21_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4889__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4955__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4928__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4958__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4929__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5029__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4930__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5032__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4931__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5035__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4998__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5096__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4999__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5097__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5066__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5098__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5067__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5167__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5068__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5168__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5069__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5169__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5137__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5170__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5138__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5172__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4882__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5255__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4883__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5256__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4884__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5267__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4885__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5270__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4886__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5396__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4887__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5397__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4949__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5399__CLK (.DIODE(clknet_5_22_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4950__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4959__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4951__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4960__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4952__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4963__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4953__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4964__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4954__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4965__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4955__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4966__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4969__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4957__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37991,712 +37538,407 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5030__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5026__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5033__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5027__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5036__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5028__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5037__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5094__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5038__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5095__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5105__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5135__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5106__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5136__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5112__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4940__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5171__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4941__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5173__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4969__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5174__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4971__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5175__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5006__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5176__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5007__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5178__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5079__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5179__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5191__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5180__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5192__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5181__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5193__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5393__CLK (.DIODE(clknet_5_23_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5194__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4950__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5318__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4999__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4869__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5001__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4870__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5023__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4937__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5024__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4939__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5092__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5196__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5166__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5198__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5213__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5200__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5215__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5219__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5273__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5232__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5409__CLK (.DIODE(clknet_5_24_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5233__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4140__A2 (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5239__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4951__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5240__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5002__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5241__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5093__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5272__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5094__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5273__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5095__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5275__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5163__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5281__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5164__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5294__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5214__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5295__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5252__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5300__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5274__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4871__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5275__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5012__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5285__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5013__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5369__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5078__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5373__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5085__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5374__CLK (.DIODE(clknet_5_25_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5197__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5278__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5199__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5279__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5210__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5288__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5250__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5290__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5251__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5293__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5264__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5313__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5265__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5318__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5299__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5320__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5000__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5321__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5176__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5325__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5209__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5349__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5211__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5355__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5234__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5356__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5237__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5358__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5297__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5359__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5008__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5368__CLK (.DIODE(clknet_5_26_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5077__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5276__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5080__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5277__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5177__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5280__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5235__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5286__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5238__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5287__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5298__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5289__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5319__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5312__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5320__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5314__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4872__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5315__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5009__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5316__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5010__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5317__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5081__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5319__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5082__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5322__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5084__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5323__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5178__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5324__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5236__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5326__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5303__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5327__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5306__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5346__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5308__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5348__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5316__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5350__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5357__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5360__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5370__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5372__CLK (.DIODE(clknet_5_27_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5165__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5311__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5330__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5333__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5334__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5344__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5345__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5347__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5377__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5391__CLK (.DIODE(clknet_5_28_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5300__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5301__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5302__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5308__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5309__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5332__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5335__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5336__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5371__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5375__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5380__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5386__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5389__CLK (.DIODE(clknet_5_29_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5297__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5299__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5303__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5305__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5306__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5307__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5328__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5329__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5331__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5339__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5340__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5342__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5376__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5383__CLK (.DIODE(clknet_5_30_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5253__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5254__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5296__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5298__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5304__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5310__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5337__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5338__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5341__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5343__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5378__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5379__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5381__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5382__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5384__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5385__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5387__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5388__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5390__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5398__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5317__CLK (.DIODE(clknet_5_31_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38711,387 +37953,432 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA_clkbuf_1_0_1_wbs_clk_i_A (.DIODE(clknet_1_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4832__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA_clkbuf_1_1_1_wbs_clk_i_A (.DIODE(clknet_1_1_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4835__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4902__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4857__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4918__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4858__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4919__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4863__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4922__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5141__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4925__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5166__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5225__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5167__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5226__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5168__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5227__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4833__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5236__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4859__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5237__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4860__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5242__CLK (.DIODE(clknet_3_0_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4861__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4923__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4862__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4928__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4864__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4931__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5142__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4932__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5169__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5219__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5170__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5239__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5171__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5240__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5172__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5241__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5173__CLK (.DIODE(clknet_3_1_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5243__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4846__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5245__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4848__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5247__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4849__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5249__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4851__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5251__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4852__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4903__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4856__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4920__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5148__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5153__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5156__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5158__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5160__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5165__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4834__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4844__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4845__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4847__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4921__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4850__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4924__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4853__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4926__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4854__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4927__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4855__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4929__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5146__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4930__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5147__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4933__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5154__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4934__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5155__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5220__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5157__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5222__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5159__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5223__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5161__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5238__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5162__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5244__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5163__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5246__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5164__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5248__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5185__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5250__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5188__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5259__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5325__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5262__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5326__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5263__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5328__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5266__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5329__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5401__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5179__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5402__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5180__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5257__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5181__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5258__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5182__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5260__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5183__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5261__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5184__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5264__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5186__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5265__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5187__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5295__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4836__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5400__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4839__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4904__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4840__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4905__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4843__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4906__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5145__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4912__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5149__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4914__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5152__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4915__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5217__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5228__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5218__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5229__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4837__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5230__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4838__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5232__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4841__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5233__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__4842__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5234__CLK (.DIODE(clknet_3_6_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5143__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__4913__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5144__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5231__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5150__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5294__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5151__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5403__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5195__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5404__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5323__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5405__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5324__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_ef_sc_hd__fakediode_2 ANTENNA__5406__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
+ sky130_ef_sc_hd__fakediode_2 ANTENNA__5327__CLK (.DIODE(clknet_3_7_0_wbs_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39512,11 +38799,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39908,15 +39195,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_1840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_1847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40392,47 +39675,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40444,87 +39719,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40532,19 +39803,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40568,19 +39839,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40588,23 +39863,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_1547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_1551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_1555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40612,55 +39899,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40668,23 +39955,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1718 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40700,27 +39983,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40732,23 +40015,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55744,11 +55023,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_2111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_2115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_2127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56396,19 +55679,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_1978 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56436,35 +55727,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_2086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_2092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_2109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_2130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57036,7 +56319,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57076,99 +56363,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_2048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_2060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_2072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_2078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_2101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_2109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_2129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57744,147 +57039,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_1798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_2003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_2015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_2039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_2052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_2076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_2101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_2125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_2137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58456,139 +57763,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_1766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_1828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_1886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_2019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_2039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_2047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_2069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_2073 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_26_2075 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_2085 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_2098 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_2121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_2129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59156,155 +58487,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_1955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_2016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_2054 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_2065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_2069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_2077 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_2101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_2109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_2132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_27_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59856,127 +59215,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_1682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_1829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_1916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_1890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_1919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_1964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_1971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_1991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_2002 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59984,43 +59363,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_2022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_2022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_2046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_2052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_2055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_2067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_2058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_2087 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_2090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60028,19 +59403,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_2117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_2130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_2129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_2136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_2138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60608,87 +59979,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_1726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60696,67 +60055,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_2007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_2019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_2033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_2048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_2054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_2054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_2060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60764,15 +60123,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_2127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_2139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_2132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_2145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61328,15 +60687,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61344,123 +60707,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_1815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_1836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_2006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_2000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61468,43 +60807,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_2018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_2053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_2060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_2036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_2072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_2119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_2101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_2124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62048,27 +61395,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1662 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62076,91 +61419,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_1719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_1886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_1898 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62168,27 +61523,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1972 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62196,7 +61547,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62204,11 +61555,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_2012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_2024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62216,39 +61567,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_2036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_2039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_2042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_2054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_2066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_2087 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_2094 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_2098 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62816,15 +62155,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_1680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62832,15 +62171,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62848,99 +62187,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_1928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_1940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_2004 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_2012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62948,27 +62295,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_2024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_2067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_2050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_2066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_2079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62976,15 +62323,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_2094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_2114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_2131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_2121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_2128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63532,167 +62887,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_1630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_2000 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2008 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2014 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2022 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_2030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63700,19 +63027,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_2052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_2078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_2074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_2090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63720,15 +63047,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_2133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_2138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64272,199 +63595,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_2022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_2031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2059 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2098 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_2089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_2132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_2136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_34_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64900,31 +64231,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64956,23 +64279,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65016,27 +64331,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65044,47 +64355,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65092,103 +64395,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_2024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_2052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_2056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_2064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_2033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_2088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_2045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_2049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_2068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_2074 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65196,15 +64515,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_2122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_2143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65452,23 +64771,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_36_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65636,19 +64963,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65660,87 +64987,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65752,203 +65067,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_1670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_1967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1964 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_2004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_2010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_2026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_2038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_2046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_2051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_2065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_2063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_2090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_2096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_2110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_2131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_2133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66200,15 +65511,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_744 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66236,19 +65559,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_875 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_37_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66260,15 +65587,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66388,47 +65719,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66436,183 +65763,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_1882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66620,75 +65939,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_1994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_2035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_2068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_2035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_2072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_2043 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_2054 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_2062 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2066 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2078 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_2090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_2078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66696,11 +65995,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_2122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_2129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_2135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_37_2143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66868,63 +66175,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66944,99 +66271,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_959 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67108,11 +66443,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67120,11 +66451,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67136,19 +66463,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67156,23 +66479,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67180,219 +66499,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_1510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67400,19 +66695,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67420,19 +66711,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67440,47 +66727,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_2021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_2033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_2047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_2046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_2111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_2122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_2133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_2130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67592,15 +66883,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67616,31 +66911,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67648,19 +66955,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67668,19 +66979,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67692,87 +67007,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67788,95 +67115,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1081 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_1105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67900,343 +67239,315 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1712 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_1792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_1993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_2035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_2007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_2032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_2051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_2055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_2059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_2067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_2079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_2084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_2097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68244,11 +67555,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_2129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_2141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_2133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68280,127 +67587,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_40_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_40_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68412,159 +67755,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68572,11 +67895,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68584,11 +67907,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_876 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68596,79 +67915,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1079 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_1091 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68676,87 +68003,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68764,155 +68095,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68924,63 +68235,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68988,75 +68299,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_2030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_2042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_2031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_2050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_2055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_2067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_2058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_2086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_2109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_2122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_2093 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_2128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69064,7 +68363,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_2138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_2136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_40_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69080,99 +68383,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69180,27 +68483,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69208,219 +68507,223 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_41_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69428,35 +68731,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69464,83 +68779,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69548,263 +68847,263 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_1797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69812,95 +69111,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1992 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2015 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_2036 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_2054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_2007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2043 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_2045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_2057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_2061 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_2068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_2076 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_2099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_2109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_2113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_2133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69912,35 +69251,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69948,115 +69287,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70064,107 +69415,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70172,15 +69507,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70188,7 +69519,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70196,59 +69531,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_876 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_882 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_888 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70256,275 +69603,279 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70532,175 +69883,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_2011 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_2079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_2139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_2145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_2134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_2136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_42_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70716,27 +70075,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70744,7 +70099,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70752,31 +70107,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70784,7 +70139,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70792,211 +70151,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71012,15 +70363,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71028,135 +70375,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_1150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71168,19 +70523,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_1209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_1215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_1219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_43_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71188,7 +70547,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_1256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_1260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71196,175 +70567,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71372,23 +70735,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71396,31 +70759,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1828 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71428,67 +70775,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71508,39 +70855,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_2065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_2108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_2138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71548,103 +70883,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71652,223 +70963,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71876,55 +71175,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_843 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_44_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_908 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71932,59 +71215,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71996,35 +71279,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72032,51 +71307,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72084,83 +71359,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_1499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_1517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_1549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_1561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_44_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72172,23 +71467,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72196,27 +71479,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72224,59 +71503,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72284,99 +71559,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72384,7 +71659,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72392,91 +71667,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72484,19 +71743,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72504,23 +71759,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72528,27 +71795,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72556,19 +71815,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72576,15 +71847,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72592,67 +71867,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72664,31 +71923,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72696,27 +71955,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_902 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72724,23 +71987,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72748,23 +72007,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72772,59 +72031,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_1201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72832,7 +72099,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_1244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72840,23 +72111,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72864,43 +72135,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_1408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_1420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72908,51 +72191,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72960,107 +72235,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73068,19 +72335,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73088,39 +72347,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_1917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73128,7 +72391,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73136,91 +72399,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_2072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_2103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73228,27 +72503,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73256,55 +72527,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73312,27 +72571,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73348,23 +72599,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73372,99 +72619,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_588 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73476,11 +72707,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73488,31 +72723,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73520,19 +72747,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73540,79 +72767,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_972 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73620,11 +72839,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73632,75 +72851,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73712,43 +72919,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73764,27 +72967,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73792,83 +72991,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_1636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73876,67 +73079,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73944,31 +73163,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1930 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73976,55 +73199,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_2107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74032,23 +73255,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_2144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74056,47 +73267,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74104,35 +73315,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74140,19 +73363,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74160,103 +73379,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74268,7 +73491,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74276,71 +73503,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_753 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74348,23 +73547,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74372,107 +73563,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_1169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74480,7 +73687,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_1199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74488,11 +73703,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74500,55 +73715,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74556,63 +73763,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1479 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74620,87 +73803,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_1501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74708,59 +73887,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_1797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1855 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_1862 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74772,39 +73967,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1956 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_1971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_2010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_2019 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_2029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74812,55 +74015,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_2053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_2085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_2094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_2102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_2133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_2140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_2132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74868,35 +74067,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74904,159 +74099,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_479 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75068,15 +74251,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75084,15 +74263,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75100,143 +74283,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_937 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_943 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_972 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75244,31 +74447,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1078 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1085 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75280,39 +74491,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75320,19 +74523,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_1238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75340,23 +74547,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75364,67 +74575,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_1482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_1490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75436,11 +74631,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_1563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_48_1576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75448,19 +74655,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_1613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75468,115 +74683,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_1884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_1863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1918 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75584,47 +74791,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_1976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_2003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_2011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_2004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_2012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_2027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_2046 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_2062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75632,31 +74843,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_2087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_2108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_2140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_2136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_2144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75664,91 +74871,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75756,43 +74963,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75800,14 +75027,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_49_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75816,35 +75035,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75852,35 +75079,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_616 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75888,39 +75099,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75928,47 +75147,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75976,63 +75183,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1025 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_1043 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76040,23 +75259,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76064,19 +75279,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_1168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_1171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_1174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_49_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76084,83 +75315,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1308 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76168,123 +75359,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_1491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76292,11 +75467,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76304,247 +75479,251 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_1912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_1920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_1919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_1938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_2004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_2041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_2070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_2083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_2091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_2122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_2135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_2143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76552,7 +75731,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76560,127 +75739,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_596 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76688,83 +75835,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76772,23 +75919,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76796,115 +75943,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1090 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76912,63 +76047,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76976,31 +76099,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_1361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77008,15 +76139,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77024,15 +76151,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_1430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77040,23 +76159,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77064,31 +76175,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77096,7 +76199,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_1608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77104,59 +76207,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_1762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77164,127 +76271,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_2022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_2048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_2052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_2052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_2094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_2117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_2130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77300,11 +76415,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77312,51 +76427,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_51_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77364,91 +76487,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77456,27 +76567,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77484,43 +76591,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_51_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77528,15 +76643,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77544,67 +76663,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77612,19 +76727,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77632,63 +76751,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1008 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_1034 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_1042 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_1050 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_1054 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77696,51 +76835,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77748,79 +76879,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_1323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_1331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77828,43 +76947,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_51_1406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_1480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77872,223 +76995,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_1504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_1559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_1614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_1642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_1650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_1911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_1919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_1967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2007 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78100,55 +77183,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_2072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_2080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_2104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_2106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_2116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_2127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78156,71 +77231,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78228,75 +77303,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78304,19 +77367,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_52_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78324,83 +77391,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78408,39 +77475,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78448,71 +77515,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78520,15 +77567,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1034 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_1042 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_1048 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78536,111 +77595,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_1278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78648,31 +77691,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78680,7 +77719,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_1418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78688,227 +77735,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_1950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_2005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_2010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2038 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2050 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_2048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78916,23 +77951,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_2078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_2108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2099 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78944,15 +77971,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78960,19 +77983,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78980,35 +77999,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_53_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79016,35 +78039,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79052,79 +78063,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79132,35 +78143,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79168,39 +78179,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79208,47 +78223,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79256,39 +78275,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79296,51 +78303,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79348,47 +78359,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_1199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79396,55 +78427,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_1382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_1409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79452,55 +78491,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79508,7 +78547,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79516,19 +78559,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79540,27 +78583,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79568,267 +78595,255 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_1888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_2029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_2039 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_2045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_2048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_2066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_2095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_2103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_2106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_2124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_2136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79836,7 +78851,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79844,23 +78859,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79868,27 +78887,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_54_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79896,15 +78923,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_54_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79912,47 +78947,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79960,10 +78991,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_54_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79972,35 +78999,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80008,43 +79023,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_836 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80052,47 +79075,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_958 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80104,19 +79115,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80124,179 +79135,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_1206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80304,47 +79275,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_1406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80352,11 +79311,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80364,35 +79323,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80400,159 +79351,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_1797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_1828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_1940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_1979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_2011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_2007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80560,51 +79483,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_2026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_2026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_2047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_2075 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_2098 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_2104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_2116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_2128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_2123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80624,55 +79539,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80680,71 +79591,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80752,27 +79667,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80780,47 +79711,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80828,63 +79759,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80900,11 +79835,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_866 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80916,27 +79859,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_921 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80944,31 +79871,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_998 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_1002 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1011 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1038 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1046 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80976,27 +79931,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1098 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_55_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81004,7 +79963,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81016,7 +79975,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81024,43 +79987,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81068,35 +80027,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81104,27 +80067,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_1416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_55_1430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81132,19 +80103,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_1498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81152,47 +80127,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81200,31 +80179,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81232,219 +80207,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_2020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_2074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_2130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_2142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_2115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_2125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_2137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_2145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81452,11 +80411,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81464,31 +80423,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81496,31 +80443,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81536,19 +80487,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81556,27 +80507,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81584,75 +80535,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81664,71 +80615,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81736,79 +80675,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1074 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_1088 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1094 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81816,115 +80739,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_1372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81932,31 +80831,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_1488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81964,43 +80867,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1560 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_1580 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82008,15 +80895,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_1633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82024,15 +80915,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_1670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82040,143 +80927,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_2033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_2010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_2126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_2132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_2075 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_2087 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_2099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_2111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_2123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82188,11 +81091,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82200,47 +81103,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82248,31 +81139,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82284,39 +81183,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82324,7 +81219,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82332,71 +81227,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82404,31 +81287,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82436,11 +81319,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82448,55 +81327,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82504,15 +81379,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82520,47 +81403,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1092 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_1096 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_1099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_1109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82568,99 +81467,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_1369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_1390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82668,47 +81579,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_57_1537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_1545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82716,63 +81635,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_1714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_1719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_1731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82780,23 +81711,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82804,47 +81735,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1866 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_1872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_1903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82860,103 +81767,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_2080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_2136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_2130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_2142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_58_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82964,23 +81911,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82988,39 +81931,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83028,19 +81979,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83048,15 +82007,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83064,23 +82031,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83092,15 +82051,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83108,107 +82063,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_774 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_838 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83216,11 +82135,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83228,183 +82151,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_1345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83412,11 +82319,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83424,35 +82327,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83460,11 +82363,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_1529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_1537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_1556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_1566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83472,99 +82383,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_58_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_1792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_1854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83584,11 +82487,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83596,91 +82495,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_2011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_2019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_2027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_2018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_2070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_2069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_2133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_2136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_2130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_2144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_2140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83688,99 +82579,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83788,11 +82659,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_59_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83800,59 +82687,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83860,63 +82735,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83924,75 +82791,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_846 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_857 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_876 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84000,11 +82895,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84012,15 +82911,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84028,35 +82935,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84064,7 +82971,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84072,23 +82979,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84096,55 +82999,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84152,79 +83059,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_1492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_1498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_1503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_1557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_1562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_1589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_59_1595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84236,107 +83159,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1885 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_1893 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_1900 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_1908 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_1913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84344,83 +83287,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_2027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_2047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_2049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_2074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_2079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_2098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_2108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_2104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_2109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_2142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_2115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_59_2127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_2139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_2145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84428,15 +83383,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84444,27 +83403,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84472,107 +83423,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84584,211 +83539,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_959 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84796,115 +83759,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84912,11 +83867,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84924,43 +83875,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_1364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_1382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84968,11 +83919,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_1444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_1450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84980,39 +83943,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85020,127 +83975,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_1598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1871 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85148,67 +84095,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_1902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_1994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_2014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_2042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_2069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_2048 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_2055 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_2063 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85216,23 +84151,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_2090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_2102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_2096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_2120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_2104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_2128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85264,7 +84195,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85276,31 +84207,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_61_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85308,35 +84247,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85344,183 +84287,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_836 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_860 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85528,59 +84483,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1034 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_1038 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_1055 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_1064 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_1073 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85588,31 +84563,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_61_1186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85620,103 +84599,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1378 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85724,43 +84667,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85768,51 +84699,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_1573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_1584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85820,147 +84751,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_1858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_1916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_2005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_2022 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_2030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_2038 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_2045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_2053 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_2070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_2076 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_2091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85968,11 +84871,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_2110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_2134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_2118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_2130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_2142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85988,47 +84899,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86036,19 +84955,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_62_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86056,67 +84983,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_378 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86124,95 +85031,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86220,59 +85099,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_62_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86280,23 +85167,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86304,259 +85195,255 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_1278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_1461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86568,19 +85455,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_1610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_1622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_1626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_1643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86588,63 +85487,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1786 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_1811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_1819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86652,11 +85559,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_1848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86664,99 +85575,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_1911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_2010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_2026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_2016 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_2026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_2038 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_2057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_2065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86764,23 +85659,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_2078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_2084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_2087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_2096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_2118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_2108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_2130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_2127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_2134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86796,75 +85691,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86872,51 +85759,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86924,11 +85827,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86936,47 +85835,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86984,43 +85859,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87032,51 +85907,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87084,63 +85955,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87148,7 +86019,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1012 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87156,31 +86031,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87188,11 +86067,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_1145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_1153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87204,7 +86095,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_1205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_1211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87212,31 +86111,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_1288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87244,43 +86139,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_1378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87288,31 +86179,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_1437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87320,63 +86215,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_1586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_1614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_1703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87384,99 +86287,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_2015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_2022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_2032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_2051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_2059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_2086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_2098 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_2020 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_2030 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_2068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_2080 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_2092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87484,23 +86403,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_2106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_2114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_2118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_2120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_2130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_2126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_2138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_2142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87528,11 +86443,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87540,11 +86455,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87552,11 +86467,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87564,11 +86479,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87588,43 +86503,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87636,23 +86551,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87664,39 +86583,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87704,27 +86619,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87732,67 +86647,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87800,43 +86719,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87844,215 +86763,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_64_1346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_1358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_1388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88060,23 +86991,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_64_1437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_1444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_1448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88084,159 +87031,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1749 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88252,67 +87175,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1887 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1898 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1907 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88320,39 +87223,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_1950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1958 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88364,31 +87255,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_2011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_2016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_2047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_2047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_2059 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/rtl/digital_core/src/digital_core.sv b/verilog/rtl/digital_core/src/digital_core.sv
index 2e042cd..4b8a056 100644
--- a/verilog/rtl/digital_core/src/digital_core.sv
+++ b/verilog/rtl/digital_core/src/digital_core.sv
@@ -450,7 +450,7 @@
     .wbd_ack_o              (wbd_spim_ack_i            ),
     .wbd_err_o              (wbd_spim_err_i            ),
 
-    .events_o               (                          ), // TODO - Need to connect to intr ?
+    .spi_debug              (spi_debug                 ),
 
     // Pad Interface
     .io_in                  (io_in[35:30]              ),
diff --git a/verilog/rtl/lib/async_wb.sv b/verilog/rtl/lib/async_wb.sv
index df1fbce..dac5928 100644
--- a/verilog/rtl/lib/async_wb.sv
+++ b/verilog/rtl/lib/async_wb.sv
@@ -105,7 +105,7 @@
 // -------------------------------------------------
 logic        PendingRd     ; // Pending Read Transaction
 logic        m_cmd_wr_en       ;
-logic [70:0] m_cmd_wr_data     ;
+logic [68:0] m_cmd_wr_data     ;
 logic        m_cmd_wr_full     ;
 logic        m_cmd_wr_afull    ;
 
@@ -119,14 +119,14 @@
 
 assign m_cmd_wr_en = (!PendingRd) && wbm_stb_i && !m_cmd_wr_full && !m_cmd_wr_afull;
 
-assign m_cmd_wr_data = {wbm_cyc_i,wbm_stb_i,wbm_adr_i,wbm_we_i,wbm_dat_i,wbm_sel_i};
+assign m_cmd_wr_data = {wbm_adr_i,wbm_we_i,wbm_dat_i,wbm_sel_i};
 
 always@(negedge wbm_rst_n or posedge wbm_clk_i)
 begin
    if(wbm_rst_n == 0) begin
       PendingRd <= 1'b0;
    end else begin
-      if((!PendingRd) && wbm_stb_i && (!wbm_we_i)) begin
+      if((!PendingRd) && wbm_stb_i && (!wbm_we_i) && m_cmd_wr_en) begin
       PendingRd <= 1'b1;
       end else if(PendingRd && wbm_stb_i && (!wbm_we_i) && wbm_ack_o) begin
          PendingRd <= 1'b0;
@@ -158,10 +158,26 @@
 logic [32:0] s_resp_wr_data      ;
 logic        s_resp_wr_full      ;
 logic        s_resp_wr_afull     ;
+logic        wbs_ack_f          ;
+
+
+always@(negedge wbs_rst_n or posedge wbs_clk_i)
+begin
+   if(wbs_rst_n == 0) begin
+      wbs_ack_f <= 1'b0;
+   end else begin
+      wbs_ack_f <= wbs_ack_i;
+   end
+end
 
 
 // Read Interface
-assign {wbs_cyc_o,wbs_stb_o,wbs_adr_o,wbs_we_o,wbs_dat_o,wbs_sel_o} = (s_cmd_rd_empty) ? '0:  s_cmd_rd_data;
+assign {wbs_adr_o,wbs_we_o,wbs_dat_o,wbs_sel_o} = (s_cmd_rd_empty) ? '0:  s_cmd_rd_data;
+// All the downstream logic expect Stobe is getting de-asserted 
+// atleast for 1 cycle after ack is generated
+assign wbs_stb_o = (wbs_ack_f) ? 1'b0 : (s_cmd_rd_empty) ? 1'b0: 1'b1;
+assign wbs_cyc_o = (wbs_ack_f) ? 1'b0 : (s_cmd_rd_empty) ? 1'b0: 1'b1;
+
 assign s_cmd_rd_en = wbs_ack_i;
 
 // Write Interface
@@ -169,7 +185,7 @@
 assign s_resp_wr_en   = wbs_stb_o & (!wbs_we_o) & wbs_ack_i & !s_resp_wr_full;
 assign s_resp_wr_data = {wbs_err_i,wbs_dat_i};
 
-async_fifo #(.W(71), .DP(4), .WR_FAST(1), .RD_FAST(1)) u_cmd_if (
+async_fifo #(.W(69), .DP(4), .WR_FAST(1), .RD_FAST(1)) u_cmd_if (
 	           // Sync w.r.t WR clock
 	           .wr_clk        (wbm_clk_i         ),
                    .wr_reset_n    (wbm_rst_n         ),
diff --git a/verilog/rtl/spi_master/src/spim_ctrl.sv b/verilog/rtl/spi_master/src/spim_ctrl.sv
index b4bee53..9e81d98 100644
--- a/verilog/rtl/spi_master/src/spim_ctrl.sv
+++ b/verilog/rtl/spi_master/src/spim_ctrl.sv
@@ -60,35 +60,54 @@
 //// from http://www.opencores.org/lgpl.shtml                     ////
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
-module spim_ctrl
+module spim_ctrl  #(
+     parameter ENDIEAN = 0  // 0 - Little, 1 - Big endian, since RISV is Little indian default set 0
+     )
+
 (
     input  logic                          clk,
     input  logic                          rstn,
-    output logic                          eot,
 
-    input  logic                    [5:0] spi_clk_div,
+    input  logic                    [7:0] spi_clk_div,
     output logic                    [8:0] spi_status,
 
+    // Master 0 Configuration
+    input  logic [3:0]                   cfg_m0_cs_reg    ,  // Chip select
+    input  logic [1:0]                   cfg_m0_spi_mode  ,  // Final SPI Mode 
+    input  logic [1:0]                   cfg_m0_spi_switch,  // SPI Mode Switching Place
 
-    input  logic                          spi_req,
-    input  logic                   [31:0] spi_addr,
-    input  logic                    [5:0] spi_addr_len,
-    input  logic                   [7:0]  spi_cmd,
-    input  logic                    [5:0] spi_cmd_len,
-    input  logic                   [7:0]  spi_mode_cmd,
-    input  logic                          spi_mode_cmd_enb,
-    input  logic                    [3:0] spi_csreg,
-    input  logic                   [15:0] spi_data_len,
-    input  logic                   [15:0] spi_dummy_rd_len,
-    input  logic                   [15:0] spi_dummy_wr_len,
-    input  logic                          spi_swrst, //FIXME Not used at all
-    input  logic                          spi_rd,
-    input  logic                          spi_wr,
-    input  logic                          spi_qrd,
-    input  logic                          spi_qwr,
-    input  logic                   [31:0] spi_wdata,
-    output logic                   [31:0] spi_rdata,
-    output logic                          spi_ack,
+    input  logic [3:0]                   cfg_m1_cs_reg    ,  // Chip select
+    input  logic [1:0]                   cfg_m1_spi_mode  ,  // Final SPI Mode 
+    input  logic [1:0]                   cfg_m1_spi_switch,  // SPI Mode Switching Place
+
+    input  logic [1:0]                   cfg_cs_early     ,  // Amount of cycle early CS asserted
+    input  logic [1:0]                   cfg_cs_late      ,  // Amount of cycle late CS de-asserted
+
+    // Master 0 Command FIFO Interface
+    input  logic                         m0_cmd_fifo_empty,
+    output logic                         m0_cmd_fifo_rd,
+    input  logic [33:0]                  m0_cmd_fifo_rdata,
+
+    // Master 0 response FIFO Interface
+    output logic 	                 m0_res_fifo_flush,
+    input  logic                         m0_res_fifo_empty,
+    input  logic                         m0_res_fifo_full,
+    output logic                         m0_res_fifo_wr,
+    output logic [31:0]                  m0_res_fifo_wdata,
+
+    // Master 1 Command FIFO Interface
+    output logic 	                 m1_res_fifo_flush,
+    input  logic                         m1_cmd_fifo_empty,
+    output logic                         m1_cmd_fifo_rd,
+    input  logic [33:0]                  m1_cmd_fifo_rdata,
+
+    // Master 1 response FIFO Interface
+    input  logic                         m1_res_fifo_empty,
+    input  logic                         m1_res_fifo_full,
+    output logic                         m1_res_fifo_wr,
+    output logic [31:0]                  m1_res_fifo_wdata,
+
+    output logic [3:0]                   ctrl_state,
 
     output logic                          spi_clk,
     output logic                          spi_csn0,
@@ -107,11 +126,73 @@
     output logic                          spi_en_tx // Spi Direction control
 );
 
-
+//--------------------------------------
+// Parameter
+// --------------------------------------
 parameter  SPI_STD     = 2'b00;
 parameter  SPI_QUAD_TX = 2'b01;
 parameter  SPI_QUAD_RX = 2'b10;
 
+/*************************************************************
+*  SPI FSM State Control
+*
+*   OPERATION   COMMAND                   SEQUENCE 
+*
+*    ERASE       P4E(0x20)           ->  COMMAND + ADDRESS
+*    ERASE       P8E(0x40)           ->  COMMAND + ADDRESS
+*    ERASE       SE(0xD8)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0x60)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0xC7)            ->  COMMAND 
+*    PROGRAM     PP(0x02)            ->  COMMAND + ADDRESS + Write DATA
+*    PROGRAM     QPP(0x32)           ->  COMMAND + ADDRESS + Write DATA
+*    READ        READ(0x3)           ->  COMMAND + ADDRESS + READ DATA
+*    READ        FAST_READ(0xB)      ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DOR (0x3B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        QOR (0x6B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DIOR (0xBB)         ->  COMMAND + ADDRESS + MODE  + READ DATA
+*    READ        QIOR (0xEB)         ->  COMMAND + ADDRESS + MODE  + DUMMY + READ DATA
+*    READ        RDID (0x9F)         ->  COMMAND + READ DATA
+*    READ        READ_ID (0x90)      ->  COMMAND + ADDRESS + READ DATA
+*    WRITE       WREN(0x6)           ->  COMMAND
+*    WRITE       WRDI                ->  COMMAND
+*    STATUS      RDSR(0x05)          ->  COMMAND + READ DATA
+*    STATUS      RCR(0x35)           ->  COMMAND + READ DATA
+*    CONFIG      WRR(0x01)           ->  COMMAND + WRITE DATA
+*    CONFIG      CLSR(0x30)          ->  COMMAND
+*    Power Saving DP(0xB9)           ->  COMMAND
+*    Power Saving RES(0xAB)          ->  COMMAND + READ DATA
+*    OTP          OTPP(0x42)         ->  COMMAND + ADDR+ WRITE DATA
+*    OTP          OTPR(0x4B)         ->  COMMAND + ADDR + DUMMY + READ DATA
+*    ********************************************************************/
+parameter P_FSM_C      = 4'b0000; // Command Phase Only
+parameter P_FSM_CW     = 4'b0001; // Command + Write DATA Phase Only
+parameter P_FSM_CA     = 4'b0010; // Command -> Address Phase Only
+
+parameter P_FSM_CAR    = 4'b0011; // Command -> Address -> Read Data
+parameter P_FSM_CADR   = 4'b0100; // Command -> Address -> Dummy -> Read Data
+parameter P_FSM_CAMR   = 4'b0101; // Command -> Address -> Mode -> Read Data
+parameter P_FSM_CAMDR  = 4'b0110; // Command -> Address -> Mode -> Dummy -> Read Data
+
+parameter P_FSM_CAW    = 4'b0111; // Command -> Address ->Write Data
+parameter P_FSM_CADW   = 4'b1000; // Command -> Address -> DUMMY + Write Data
+
+parameter P_FSM_CDR    = 4'b1001; // COMMAND -> DUMMY -> READ
+parameter P_FSM_CDW    = 4'b1010; // COMMAND -> DUMMY -> WRITE
+
+//---------------------
+  parameter P_8BIT   = 2'b00;
+  parameter P_16BIT  = 2'b01;
+  parameter P_24BIT  = 2'b10;
+  parameter P_32BIT  = 2'b11;
+
+//---- Phase where to switch the SPI Mode
+//---- This need to decided based on command
+  parameter P_MODE_SWITCH_IDLE     = 2'b00;
+  parameter P_MODE_SWITCH_AT_ADDR  = 2'b01;
+  parameter P_MODE_SWITCH_AT_DATA  = 2'b10;
+//----------------------------------------
+// Local Variable
+// ---------------------------------------
   logic spi_rise;
   logic spi_fall;
 
@@ -119,6 +200,8 @@
 
   logic spi_en_rx;
 
+  logic        res_fifo_flush;
+
   logic [15:0] counter_tx;
   logic        counter_tx_valid;
   logic [15:0] counter_rx;
@@ -127,68 +210,137 @@
   logic [31:0] data_to_tx;
   logic        data_to_tx_valid;
   logic        data_to_tx_ready;
+  logic        tx_data_ready;
 
-  logic en_quad;
-  logic en_quad_int;
-  logic do_tx; //FIXME NOT USED at all!!
-  logic do_rx;
 
-  logic tx_done;
-  logic rx_done;
+  logic       tx_done;
+  logic       rx_done;
 
   logic [1:0] s_spi_mode;
 
-  logic ctrl_data_valid;
+  logic       ctrl_data_valid;
 
-  logic spi_cs;
+  logic       spi_cs;
 
-  logic tx_clk_en;
-  logic rx_clk_en;
-  logic en_quad_in;
+  logic        tx_clk_en;
+  logic        rx_clk_en;
+  logic        en_quad_in;
+  logic [1:0]  cnt; // counter for cs assertion and de-assertion
+  logic [1:0]  nxt_cnt;
+  logic [1:0]  gnt;
+
+  logic  [7:0] cfg_data_cnt    ;
+  logic  [1:0] cfg_dummy_cnt   ;
+  logic  [1:0] cfg_addr_cnt    ;
+  logic  [3:0] cfg_spi_seq     ;
+  logic [7:0]  spi_mode_cmd    ;
   
 
   enum logic [2:0] {DATA_NULL,DATA_EMPTY,DATA_CMD,DATA_ADDR,DATA_MODE,DATA_FIFO} ctrl_data_mux;
 
-  enum logic [4:0] {IDLE,CMD,ADDR,MODE,DUMMY_RX,DUMMY_TX,DATA_TX,DATA_RX,WAIT_EDGE} state,state_next;
+  enum logic [4:0] {FSM_IDLE,FSM_CS_ASSERT,FSM_CMD_PHASE,FSM_ADR_PHASE,FSM_DUMMY_PHASE,FSM_MODE_PHASE,FSM_WRITE_CMD,FSM_WRITE_PHASE,
+	            FSM_READ_WAIT,FSM_READ_PHASE,FSM_TX_DONE,FSM_CS_DEASEERT} state,next_state;
 
-  assign en_quad = spi_qrd | spi_qwr | en_quad_int;
-  
-  
+ 
+  assign ctrl_state =  state;
   assign en_quad_in = (s_spi_mode == SPI_STD) ? 1'b0 : 1'b1;
 
+  assign spi_mode = s_spi_mode;
+
+  //----------------------------
+  // Configuration
+  //----------------------------
+  logic [3:0]  cfg_cs_reg    ;  // Chip select
+  logic [1:0]  cfg_spi_mode  ;  // Final SPI Mode 
+  logic [1:0]  cfg_spi_switch;  // SPI Mode Switching Place
+
+  
+  assign cfg_cs_reg     = (gnt == 2'b01) ? cfg_m0_cs_reg    : cfg_m1_cs_reg;
+  assign cfg_spi_mode   = (gnt == 2'b01) ? cfg_m0_spi_mode  : cfg_m1_spi_mode;  // Final SPI Mode 
+  assign cfg_spi_switch = (gnt == 2'b01) ? cfg_m0_spi_switch: cfg_m1_spi_switch;  // SPI Mode Switching Place
+
+  //----------------------------
+  // Command FIFO
+  //----------------------------
+  logic              cmd_fifo_empty;
+  logic              cmd_fifo_rd;
+  logic [33:0]       cmd_fifo_rdata;
+
+  assign cmd_fifo_empty = (gnt == 2'b01) ? m0_cmd_fifo_empty : m1_cmd_fifo_empty;
+  assign cmd_fifo_rdata = (gnt == 2'b01) ? m0_cmd_fifo_rdata : m1_cmd_fifo_rdata;
+
+  assign m0_cmd_fifo_rd = (gnt == 2'b01) ? cmd_fifo_rd : 1'b0;
+  assign m1_cmd_fifo_rd = (gnt == 2'b10) ? cmd_fifo_rd : 1'b0;
+
+  //----------------------------
+  // Response FIFO
+  //----------------------------
+  logic              res_fifo_empty;
+  logic              res_fifo_full;
+  logic              res_fifo_wr;
+  logic [31:0]       res_fifo_wdata;
+
+  assign res_fifo_empty = (gnt == 2'b01) ? m0_res_fifo_empty : m1_res_fifo_empty;
+  assign res_fifo_full  = (gnt == 2'b01) ? m0_res_fifo_full  : m1_res_fifo_full;
+
+  assign m0_res_fifo_wr = (gnt == 2'b01) ? res_fifo_wr : 1'b0;
+  assign m1_res_fifo_wr = (gnt == 2'b10) ? res_fifo_wr : 1'b0;
+
+  assign m0_res_fifo_wdata = (gnt == 2'b01) ? res_fifo_wdata : 1'b0;
+  assign m1_res_fifo_wdata = (gnt == 2'b10) ? res_fifo_wdata : 1'b0;
+
+  //---------------------------------------------------------------------------
+  // To take care of partial/stall data in response fifo
+  // we are flushing the content
+  //
+  // WARNING: This will work well for burst size 4,
+  // If User given 6 Word Burst and Read only one location
+  // Read Path will hang waiting for Response FIFO to empty, User need to take
+  // care of partial reading case.
+  //---------------------------------------------------------------------------
+  
+  assign m0_res_fifo_flush   =  (gnt == 2'b01) ? res_fifo_flush : 1'b0;
+  assign m1_res_fifo_flush   =  (gnt == 2'b10) ? res_fifo_flush : 1'b0;
+
+  assign spi_clock_en =  tx_clk_en |  rx_clk_en;
+
+  logic  fsm_flush;
+  assign fsm_flush  =  (state == FSM_IDLE);
+
   spim_clkgen u_clkgen
   (
-    .clk           ( clk               ),
-    .rstn          ( rstn              ),
-    .en            ( spi_clock_en      ),
-    .cfg_sck_period( spi_clk_div       ),
-    .spi_clk       ( spi_clk           ),
-    .spi_fall      ( spi_fall          ),
-    .spi_rise      ( spi_rise          )
+    .clk            ( clk                    ),
+    .rstn           ( rstn                   ),
+    .en             ( spi_clock_en           ),
+    .cfg_sck_period ( spi_clk_div [5:0]      ),
+    .spi_clk        ( spi_clk                ),
+    .spi_fall       ( spi_fall               ),
+    .spi_rise       ( spi_rise               )
   );
-
   spim_tx u_txreg
   (
-    .clk            ( clk              ),
-    .rstn           ( rstn             ),
-    .en             ( spi_en_tx        ),
-    .tx_edge        ( spi_fall         ),
-    .tx_done        ( tx_done          ),
-    .sdo0           ( spi_sdo0         ),
-    .sdo1           ( spi_sdo1         ),
-    .sdo2           ( spi_sdo2         ),
-    .sdo3           ( spi_sdo3         ),
-    .en_quad_in     ( en_quad_in       ),
-    .counter_in     ( counter_tx       ),
-    .txdata         ( data_to_tx       ),
-    .data_valid     ( data_to_tx_valid ),
-    .data_ready     (                  ),
-    .clk_en_o       ( tx_clk_en        )
-  );
-  spim_rx u_rxreg
-  (
     .clk            ( clk                    ),
     .rstn           ( rstn                   ),
+    .flush          ( fsm_flush              ),
+    .en             ( spi_en_tx              ),
+    .tx_edge        ( spi_fall               ),
+    .tx_done        ( tx_done                ),
+    .sdo0           ( spi_sdo0               ),
+    .sdo1           ( spi_sdo1               ),
+    .sdo2           ( spi_sdo2               ),
+    .sdo3           ( spi_sdo3               ),
+    .en_quad_in     ( en_quad_in             ),
+    .counter_in     ( counter_tx             ),
+    .txdata         ( data_to_tx             ),
+    .data_valid     ( data_to_tx_valid       ),
+    .data_ready     ( tx_data_ready          ),
+    .clk_en_o       ( tx_clk_en              )
+  );
+  spim_rx #(.ENDIEAN(ENDIEAN)) u_rxreg
+  (
+    .clk            ( clk                    ),
+    .rstn           ( rstn                   ),
+    .flush          ( fsm_flush              ),
     .en             ( spi_en_rx              ),
     .rx_edge        ( spi_rise               ),
     .rx_done        ( rx_done                ),
@@ -199,13 +351,12 @@
     .en_quad_in     ( en_quad_in             ),
     .counter_in     ( counter_rx             ),
     .counter_in_upd ( counter_rx_valid       ),
-    .data           ( spi_rdata              ),
-    .data_valid     (                        ),
-    .data_ready     ( 1'b1                   ),
+    .data           ( res_fifo_wdata         ),
+    .data_valid     ( res_fifo_wr            ),
+    .data_ready     ( !res_fifo_full         ),
     .clk_en_o       ( rx_clk_en              )
   );
 
-  
   always_comb
   begin
       data_to_tx       =  'h0;
@@ -226,7 +377,7 @@
 
           DATA_CMD:
           begin
-              data_to_tx       = {spi_cmd,24'h0};
+              data_to_tx       = {cmd_fifo_rdata[7:0],24'h0};
               data_to_tx_valid = ctrl_data_valid;
           end
           DATA_MODE:
@@ -237,518 +388,311 @@
 
           DATA_ADDR:
           begin
-              data_to_tx       = spi_addr;
+              data_to_tx       = (cfg_addr_cnt == P_8BIT)  ? {cmd_fifo_rdata[7:0],24'h0}  :
+		                 (cfg_addr_cnt == P_16BIT) ? {cmd_fifo_rdata[15:0],16'h0} :
+		                 (cfg_addr_cnt == P_24BIT) ? {cmd_fifo_rdata[23:0],8'h0}  : {cmd_fifo_rdata[31:0]};
               data_to_tx_valid = ctrl_data_valid;
           end
 
-          DATA_FIFO:
-          begin
-             data_to_tx             = spi_wdata;
-             data_to_tx_valid       = ctrl_data_valid;
+	  // RISV is little endian, so data is converted to little endian format
+          DATA_FIFO: begin
+             data_to_tx     = (ENDIEAN) ? cmd_fifo_rdata[31:0] : 
+		                 {cmd_fifo_rdata[7:0],cmd_fifo_rdata[15:8],cmd_fifo_rdata[23:16],cmd_fifo_rdata[31:24]};
+             data_to_tx_valid  = !cmd_fifo_empty;
           end
       endcase
   end
 
   always_comb
   begin
-    spi_cs           = 1'b1;
-    spi_clock_en     = 1'b0;
-    counter_tx       =  '0;
-    counter_tx_valid = 1'b0;
-    counter_rx       =  '0;
-    counter_rx_valid = 1'b0;
-    state_next       = state;
-    ctrl_data_mux    = DATA_NULL;
-    ctrl_data_valid  = 1'b0;
-    spi_en_rx        = 1'b0;
-    spi_en_tx        = 1'b0;
-    spi_status       =  '0;
-    s_spi_mode       = SPI_QUAD_RX;
-    eot              = 1'b0;
+    counter_tx         =  '0;
+    counter_tx_valid   = 1'b0;
+    counter_rx         =  '0;
+    counter_rx_valid   = 1'b0;
+    next_state         = state;
+    ctrl_data_mux      = DATA_NULL;
+    ctrl_data_valid    = 1'b0;
+    spi_en_rx          = 1'b0;
+    spi_en_tx          = 1'b0;
+    spi_status         =  '0;
+    cmd_fifo_rd        = 1'b0;
+    res_fifo_flush     = 0;
+    nxt_cnt            = cnt;
     case(state)
-      IDLE:
+      FSM_IDLE:
       begin
         spi_status[0] = 1'b1;
-        s_spi_mode = SPI_QUAD_RX;
-        if (spi_req && spi_fall)
-        begin
-          spi_cs       = 1'b0;
-          spi_clock_en = 1'b1;
-
-          if (spi_cmd_len != 0)
-          begin
-//            s_spi_mode = (spi_qrd | spi_qwr) ? `SPI_QUAD_TX : `SPI_STD;
-            s_spi_mode       = SPI_STD; // COMMAND is always Standard Mode ?
-            counter_tx       = {8'h0,spi_cmd_len};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_CMD;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = CMD;
-          end
-          else if (spi_addr_len != 0)
-          begin
-            s_spi_mode = (spi_qrd | spi_qwr) ? SPI_QUAD_TX : SPI_STD;
-            counter_tx       = {8'h0,spi_addr_len};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_ADDR;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = ADDR;
-          end
-          else if (spi_mode_cmd_enb != 0)
-          begin
-            s_spi_mode = (spi_qrd | spi_qwr) ? SPI_QUAD_TX : SPI_STD;
-            counter_tx       = {8'h0,8'h8};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_MODE;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = MODE;
-          end
-          else if (spi_data_len != 0)
-          begin
-             if (spi_rd || spi_qrd)
-             begin
-                s_spi_mode = (spi_qrd) ? SPI_QUAD_RX : SPI_STD;
-                if(spi_dummy_rd_len != 0)
-                begin
-                  counter_rx       = en_quad ? {2'b00,spi_dummy_rd_len[13:0]} : spi_dummy_rd_len;
-                  counter_rx_valid = 1'b1;
-                  spi_en_rx        = 1'b1;
-                  ctrl_data_mux    = DATA_EMPTY;
-                  spi_clock_en     = rx_clk_en;
-                  state_next       = DUMMY_RX;
-                end
-                else
-                begin
-                   counter_rx       = spi_data_len;
-                   counter_rx_valid = 1'b1;
-                   spi_en_rx        = 1'b1;
-                   spi_clock_en     = rx_clk_en;
-                   state_next       = DATA_RX;
-                end
-             end
-             else
-             begin
-                s_spi_mode = (spi_qwr) ? SPI_QUAD_TX : SPI_STD;
-                if(spi_dummy_wr_len != 0)
-                begin
-                   counter_tx       = en_quad ? {2'b00,spi_dummy_wr_len[13:0]} : spi_dummy_wr_len;
-                   counter_tx_valid = 1'b1;
-                   ctrl_data_mux    = DATA_EMPTY;
-                   spi_en_tx        = 1'b1;
-                   spi_clock_en     = tx_clk_en;
-                   state_next       = DUMMY_TX;
-                end
-                else
-                begin
-                   counter_tx       = spi_data_len;
-                   counter_tx_valid = 1'b1;
-                   ctrl_data_mux    = DATA_FIFO;
-                   ctrl_data_valid  = 1'b0;
-                   spi_en_tx        = 1'b1;
-                   spi_clock_en     = tx_clk_en;
-                   state_next       = DATA_TX;
-                end
-             end
-          end
-        end
-        else
-        begin
-          spi_cs = 1'b1;
-          state_next = IDLE;
+	nxt_cnt    = 0;
+	if(!m0_cmd_fifo_empty || !m1_cmd_fifo_empty )  begin
+	   next_state  = FSM_CS_ASSERT;
         end
       end
 
-      CMD:
-      begin
-        spi_status[1] = 1'b1;
-        spi_cs = 1'b0;
-        spi_clock_en = 1'b1;
-//      s_spi_mode = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-        s_spi_mode = SPI_STD; // Command is always Standard Mode ?
-        if (tx_done && spi_fall)
-        begin
-          if (spi_addr_len != 0)
-          begin
-            s_spi_mode = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-            counter_tx       = {8'h0,spi_addr_len};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_ADDR;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = ADDR;
-          end
-          else if (spi_mode_cmd_enb != 0)
-          begin
-            s_spi_mode = (spi_qrd | spi_qwr) ? SPI_QUAD_TX : SPI_STD;
-            counter_tx       = {8'h0,8'h8};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_MODE;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = MODE;
-          end
-          else if (spi_data_len != 0)
-          begin
-            if (do_rx)
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-              if(spi_dummy_rd_len != 0)
-              begin
-                counter_rx       = en_quad ? {2'b00,spi_dummy_rd_len[13:0]} : spi_dummy_rd_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DUMMY_RX;
-              end
-              else
-              begin
-                counter_rx       = spi_data_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DATA_RX;
-              end
-            end
-            else
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-              if(spi_dummy_wr_len != 0)
-              begin
-                counter_tx       = en_quad ? {2'b00,spi_dummy_wr_len[13:0]} : spi_dummy_wr_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_en_tx        = 1'b1;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DUMMY_TX;
-              end
-              else
-              begin
-                counter_tx       = spi_data_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_FIFO;
-                ctrl_data_valid  = 1'b1;
-                spi_en_tx        = 1'b1;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DATA_TX;
-              end
-            end
-          end
-          else
-          begin
-            spi_en_tx  = 1'b1;
-            state_next = WAIT_EDGE;
-          end
-        end
-        else
-        begin
-          spi_en_tx  = 1'b1;
-          state_next = CMD;
-        end
+      // Asserted CS# low
+      FSM_CS_ASSERT: begin
+	 if(cfg_cs_early == cnt) begin
+	     next_state  = FSM_CMD_PHASE;
+	 end else begin
+             nxt_cnt = nxt_cnt+1;
+	 end
       end
 
-      ADDR:
-      begin
-        spi_en_tx     = 1'b1;
-        spi_status[2] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = 1'b1;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-
-        if (tx_done && spi_fall)
-        begin
-          if (spi_mode_cmd_enb != 0)
-          begin
-            s_spi_mode = (spi_qrd | spi_qwr) ? SPI_QUAD_TX : SPI_STD;
-            counter_tx       = {8'h0,8'h8};
-            counter_tx_valid = 1'b1;
-            ctrl_data_mux    = DATA_MODE;
-            ctrl_data_valid  = 1'b1;
-            spi_en_tx        = 1'b1;
-            state_next       = MODE;
-          end
-          else if (spi_data_len != 0)
-          begin
-            if (do_rx)
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-              if(spi_dummy_rd_len != 0)
-              begin
-                counter_rx       = en_quad ? {2'b00,spi_dummy_rd_len[13:0]} : spi_dummy_rd_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DUMMY_RX;
-              end
-              else
-              begin
-                counter_rx       = spi_data_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DATA_RX;
-              end
-            end
-            else
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-              spi_en_tx  = 1'b1;
-
-              if(spi_dummy_wr_len != 0) begin
-                counter_tx       = en_quad ? {2'b00,spi_dummy_wr_len[13:0]} : spi_dummy_wr_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DUMMY_TX;
-              end else begin
-                counter_tx       = spi_data_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_FIFO;
-                ctrl_data_valid  = 1'b1;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DATA_TX;
-              end
-            end
-          end
-          else
-          begin
-            state_next = WAIT_EDGE;
-          end
-        end
-      end
-
-      MODE:
-      begin
-        spi_en_tx     = 1'b1;
-        spi_status[3] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = 1'b1;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-        if (tx_done && spi_fall)
-        begin
-          if (spi_data_len != 0)
-          begin
-            if (do_rx)
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-              if(spi_dummy_rd_len != 0)
-              begin
-                counter_rx       = en_quad ? {2'b00,spi_dummy_rd_len[13:0]} : spi_dummy_rd_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DUMMY_RX;
-              end
-              else
-              begin
-                counter_rx       = spi_data_len;
-                counter_rx_valid = 1'b1;
-                spi_en_rx        = 1'b1;
-                spi_clock_en     = rx_clk_en;
-                state_next       = DATA_RX;
-              end
-            end
-            else
-            begin
-              s_spi_mode = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-              spi_en_tx  = 1'b1;
-
-              if(spi_dummy_wr_len != 0) begin
-                counter_tx       = en_quad ? {2'b00,spi_dummy_wr_len[13:0]} : spi_dummy_wr_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_EMPTY;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DUMMY_TX;
-              end else begin
-                counter_tx       = spi_data_len;
-                counter_tx_valid = 1'b1;
-                ctrl_data_mux    = DATA_FIFO;
-                ctrl_data_valid  = 1'b1;
-                spi_clock_en     = tx_clk_en;
-                state_next       = DATA_TX;
-              end
-            end
-          end
-          else
-          begin
-            state_next = WAIT_EDGE;
-          end
-        end
-      end
-
-      DUMMY_TX:
-      begin
-        spi_en_tx     = 1'b1;
-        spi_status[4] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = 1'b1;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-
-        if (tx_done && spi_fall) begin
-          if (spi_data_len != 0) begin
-            if (do_rx) begin
-              counter_rx       = spi_data_len;
-              counter_rx_valid = 1'b1;
-              spi_en_rx        = 1'b1;
-              spi_clock_en     = rx_clk_en;
-              state_next       = DATA_RX;
-            end else begin
-              counter_tx       = spi_data_len;
+      // WAIT for COMMAND Phase Completed
+      FSM_CMD_PHASE: begin
+              counter_tx       = 8'h8;
+              ctrl_data_mux    = DATA_CMD;
+              ctrl_data_valid  = 1'b1;
+              counter_tx       = 'd8;
               counter_tx_valid = 1'b1;
-              s_spi_mode       = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-
-              spi_clock_en     = tx_clk_en;
               spi_en_tx        = 1'b1;
-              state_next       = DATA_TX;
-            end
-          end
-          else
-          begin
-            eot        = 1'b1;
-            state_next = WAIT_EDGE;
-          end
+	 if (tx_data_ready) begin
+	      cmd_fifo_rd      = 1'b1;
+	      case(cfg_spi_seq)
+	      P_FSM_C:     next_state = FSM_TX_DONE;
+	      P_FSM_CW:    next_state = FSM_WRITE_CMD;
+	      P_FSM_CA:    next_state = FSM_ADR_PHASE;
+	      P_FSM_CAR:   next_state = FSM_ADR_PHASE;
+              P_FSM_CADR:  next_state = FSM_ADR_PHASE;
+	      P_FSM_CAMR:  next_state = FSM_ADR_PHASE;
+	      P_FSM_CAMDR: next_state = FSM_ADR_PHASE;
+	      P_FSM_CAW:   next_state = FSM_ADR_PHASE;
+	      P_FSM_CADW:  next_state = FSM_ADR_PHASE;
+	      P_FSM_CDR:   next_state = FSM_DUMMY_PHASE;
+	      P_FSM_CDW:   next_state = FSM_DUMMY_PHASE;
+	      default  :   next_state = FSM_TX_DONE;
+              endcase
+	  end
+      end
+
+      // WAIT for ADDR Command Accepted
+      FSM_ADR_PHASE: begin
+          nxt_cnt          = 0;
+          ctrl_data_mux    = DATA_ADDR;
+          ctrl_data_valid  = 1'b1;
+          counter_tx       =  (cfg_addr_cnt == P_8BIT) ? 'd8 :
+	                      (cfg_addr_cnt == P_16BIT) ? 'd16 :
+	                      (cfg_addr_cnt == P_24BIT) ? 'd24 : 'd20;
+          counter_tx_valid = 1'b1;
+          spi_en_tx        = 1'b1;
+	  if (tx_data_ready) begin
+              ctrl_data_valid  = 1'b0;
+	      cmd_fifo_rd      = 1'b1;
+	      case(cfg_spi_seq)
+	      P_FSM_CA:    next_state = FSM_TX_DONE;
+	      P_FSM_CAR:   next_state = FSM_READ_WAIT;
+              P_FSM_CADR:  next_state = FSM_DUMMY_PHASE;
+	      P_FSM_CAMR:  next_state = FSM_MODE_PHASE;
+	      P_FSM_CAMDR: next_state = FSM_MODE_PHASE;
+	      P_FSM_CAW:   next_state = FSM_WRITE_CMD;
+	      P_FSM_CADW:  next_state = FSM_DUMMY_PHASE;
+	      default  :   next_state = FSM_TX_DONE;
+              endcase
+           end
         end
-        else
-        begin
-          ctrl_data_mux = DATA_EMPTY;
-          spi_en_tx     = 1'b1;
-          state_next    = DUMMY_TX;
+
+      // WAIT for DUMMY command Accepted
+      FSM_DUMMY_PHASE: begin
+          nxt_cnt          = 0;
+          ctrl_data_mux    = DATA_EMPTY;
+          ctrl_data_valid  = 1'b1;
+          counter_tx_valid = 1'b1;
+          counter_tx       =  (cfg_dummy_cnt == P_8BIT) ? 'd8 :
+	                      (cfg_dummy_cnt == P_16BIT) ? 'd16 :
+	                      (cfg_dummy_cnt == P_24BIT) ? 'd24 : 'd20;
+          spi_en_tx        = 1'b1;
+	  if (tx_data_ready) begin
+              ctrl_data_valid = 1'b0;
+	      case(cfg_spi_seq)
+              P_FSM_CADR:  next_state = FSM_READ_WAIT;
+	      P_FSM_CAMDR: next_state = FSM_READ_WAIT;
+	      P_FSM_CADW:  next_state = FSM_WRITE_CMD;
+	      P_FSM_CDR:   next_state = FSM_READ_WAIT;
+	      P_FSM_CDW:   next_state = FSM_WRITE_CMD;
+	      default  :   next_state = FSM_CS_DEASEERT;
+              endcase
+           end
         end
-      end
-
-      DUMMY_RX:
-      begin
-        spi_en_rx     = 1'b1;
-        spi_status[5] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = 1'b1;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-
-        if (rx_done && spi_rise) begin
-          if (spi_data_len != 0) begin
-            if (do_rx) begin
-              counter_rx       = spi_data_len;
-              counter_rx_valid = 1'b1;
-              spi_en_rx        = 1'b1;
-              spi_clock_en     = rx_clk_en;
-              state_next       = DATA_RX;
-            end else begin
-              counter_tx       = spi_data_len;
-              counter_tx_valid = 1'b1;
-              s_spi_mode       = (en_quad) ? SPI_QUAD_TX : SPI_STD;
-
-              spi_clock_en     = tx_clk_en;
-              spi_en_tx        = 1'b1;
-              state_next       = DATA_TX;
-            end
-          end
-          else
-          begin
-            eot        = 1'b1;
-            state_next = WAIT_EDGE;
-          end
+      // WAIT for MODE command accepted
+      FSM_MODE_PHASE: begin
+          nxt_cnt          = 0;
+          ctrl_data_mux    = DATA_MODE;
+          ctrl_data_valid  = 1'b1;
+          counter_tx_valid = 1'b1;
+          counter_tx       = 'd8;
+          spi_en_tx        = 1'b1;
+	  if (tx_data_ready) begin
+	      case(cfg_spi_seq)
+	      P_FSM_CAMR:  next_state = FSM_READ_WAIT;
+	      P_FSM_CAMDR: next_state = FSM_DUMMY_PHASE;
+	      default  :   next_state = FSM_CS_DEASEERT;
+              endcase
+           end
         end
-        else
-        begin
-          ctrl_data_mux = DATA_EMPTY;
-          spi_en_tx     = 1'b1;
-          spi_clock_en  = rx_clk_en;
-          state_next    = DUMMY_RX;
+
+      // Wait for WRITE COMMAND ACCEPTED
+      FSM_WRITE_CMD: begin
+          nxt_cnt          = 0;
+          ctrl_data_mux    = DATA_FIFO;
+          ctrl_data_valid  = 1'b1;
+          counter_tx_valid = 1'b1;
+          counter_tx       = {5'b0,cfg_data_cnt[7:0],3'b000}; // Convert Byte to Bit Count
+          spi_en_tx        = 1'b1;
+	  if (tx_data_ready) begin
+	      cmd_fifo_rd      = 1'b1;
+	      next_state = FSM_WRITE_PHASE;
+	   end
         end
-      end
-      DATA_TX:
-      begin
-        spi_status[6]    = 1'b1;
-        spi_cs           = 1'b0;
-        spi_clock_en     = tx_clk_en;
-        ctrl_data_mux    = DATA_FIFO;
-        spi_en_tx        = 1'b1;
-        s_spi_mode       = (en_quad) ? SPI_QUAD_TX : SPI_STD;
 
-        if (tx_done && spi_fall) begin
-          eot          = 1'b1;
-          state_next   = WAIT_EDGE;
-          spi_clock_en = 1'b0;
-        end else begin
-          state_next = DATA_TX;
+      // Wait for ALL WRITE DATA ACCEPTED
+      FSM_WRITE_PHASE: begin
+          nxt_cnt          = 0;
+          ctrl_data_mux    = DATA_FIFO;
+          ctrl_data_valid  = 1'b1;
+          spi_en_tx        = 1'b1;
+	  if (tx_done) begin
+	      next_state = FSM_CS_DEASEERT;
+           end else if(tx_data_ready  && cmd_fifo_empty == 0) begin
+	      // Once Current Data is accepted by TX FSM, check FIFO not empty
+	      // and read next location
+	      cmd_fifo_rd      = 1'b1;
+	   end
         end
+
+      // Wait for Previous TX Completeion
+      FSM_READ_WAIT: begin
+          spi_en_tx        = 1'b1;
+	  if (tx_done) begin
+              res_fifo_flush  = 1; // Flush any stall data in response fifo
+	      next_state = FSM_READ_PHASE;
+	  end
       end
 
-      DATA_RX:
-      begin
-        spi_status[7] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = rx_clk_en;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-
-        if (rx_done && spi_rise) begin
-          state_next = WAIT_EDGE;
-        end else begin
-          spi_en_rx  = 1'b1;
-          state_next = DATA_RX;
+      FSM_READ_PHASE: begin
+          nxt_cnt          = 0;
+          counter_rx_valid = 1'b1;
+          counter_rx       = {5'b0,cfg_data_cnt[7:0],3'b000}; // Convert Byte to Bit Count
+          spi_en_rx        = 1'b1;
+	  if(!cmd_fifo_empty) begin
+             // If you see new command request, then abort the current request
+	      next_state = FSM_CS_DEASEERT;
+	  end else begin
+	     if (rx_done && spi_rise) begin
+	         next_state = FSM_CS_DEASEERT;
+             end 
+	  end
         end
-      end
-      WAIT_EDGE:
-      begin
-        spi_status[8] = 1'b1;
-        spi_cs        = 1'b0;
-        spi_clock_en  = 1'b0;
-        s_spi_mode    = (en_quad) ? SPI_QUAD_RX : SPI_STD;
-        eot           = 1'b1;
-        state_next    = IDLE;
-      end
-    endcase
-  end
 
-assign  spi_ack = ((spi_req ==1) && (state == WAIT_EDGE)) ? 1'b1 : 1'b0;
+      // Wait for TX Done
+      FSM_TX_DONE: begin
+         spi_en_tx        = 1'b1;
+	 if(tx_done) next_state  = FSM_CS_DEASEERT;
+      end
+
+      // De-assert CS#
+      FSM_CS_DEASEERT: begin
+	 if(cfg_cs_late == cnt) begin
+	     next_state  = FSM_IDLE;
+	 end else begin
+             nxt_cnt = nxt_cnt+1;
+	 end
+      end
+   endcase
+end
 
 
-  always_ff @(posedge clk, negedge rstn)
-  begin
-    if (rstn == 1'b0)
-    begin
-      state       <= IDLE;
-      en_quad_int <= 1'b0;
-      do_rx       <= 1'b0;
-      do_tx       <= 1'b0;
-      spi_mode    <= SPI_QUAD_RX;
-    end
-    else
-    begin
-       state <= state_next;
-       spi_mode <= s_spi_mode;
-      if (spi_qrd || spi_qwr)
-        en_quad_int <= 1'b1;
-      else if (state_next == IDLE)
-        en_quad_int <= 1'b0;
 
-      if (spi_rd || spi_qrd)
-      begin
-        do_rx <= 1'b1;
-        do_tx <= 1'b0;
-      end
-      else if (spi_wr || spi_qwr)
-      begin
-        do_rx <= 1'b0;
-        do_tx <= 1'b1;
-      end
-      else if (state_next == IDLE)
-      begin
-        do_rx <= 1'b0;
-        do_tx <= 1'b0;
-      end
+
+  always @(posedge clk or negedge rstn) begin
+    if (rstn == 1'b0) begin
+      state       <= FSM_IDLE;
+      cnt         <= 'h0;
+    end else begin
+       state <= next_state;
+       cnt   <= nxt_cnt;
     end
   end
 
-  assign spi_csn0 = ~spi_csreg[0] | spi_cs;
-  assign spi_csn1 = ~spi_csreg[1] | spi_cs;
-  assign spi_csn2 = ~spi_csreg[2] | spi_cs;
-  assign spi_csn3 = ~spi_csreg[3] | spi_cs;
+  //---------------------------------------------------------------------
+  //  Grant Generation Based on FIFO empty, priority given to Master 0
+  //  Grant switch happens only at FSM IDLE State
+  // ---------------------------------------------------------------------
+
+  always @(posedge clk or negedge rstn) begin
+    if (rstn == 1'b0) begin
+      gnt             <= 0;
+      spi_mode_cmd    <= 'h0;
+      cfg_spi_seq     <= 'h0;
+      cfg_addr_cnt    <= 'h0;
+      cfg_dummy_cnt   <= 'h0;
+      cfg_data_cnt    <= 'h0;
+    end else begin
+       if(state == FSM_IDLE) begin
+           if(!m0_cmd_fifo_empty) begin
+              cfg_data_cnt    <= m0_cmd_fifo_rdata[31:24];
+              cfg_dummy_cnt   <= m0_cmd_fifo_rdata[23:22];
+              cfg_addr_cnt    <= m0_cmd_fifo_rdata[21:20];
+              cfg_spi_seq     <= m0_cmd_fifo_rdata[19:16];
+              spi_mode_cmd    <= m0_cmd_fifo_rdata[15:8];
+              gnt             <= 2'b01;
+           end
+           else if(!m1_cmd_fifo_empty ) begin
+              cfg_data_cnt    <= m1_cmd_fifo_rdata[31:24];
+              cfg_dummy_cnt   <= m1_cmd_fifo_rdata[23:22];
+              cfg_addr_cnt    <= m1_cmd_fifo_rdata[21:20];
+              cfg_spi_seq     <= m1_cmd_fifo_rdata[19:16];
+              spi_mode_cmd    <= m1_cmd_fifo_rdata[15:8];
+              gnt             <= 2'b10;
+           end
+        end
+      end
+   end
+
+
+  //-----------------------------------------------------------------------
+  // SPI Mode Switch Control Logic
+  // Note: SPI Protocl Start with SPI_STD Mode (Sigle Bit Mode) Base on the
+  // Command, Type it Switch the mode at ADDRESS/DUMMY/DATA Phase
+  // QIOR(0xEB) -> Mode switch at Address Phase
+  // DIOR(0xBB) -> Mode Switch at Address Phase
+  // QOR (0x6B) -> Mode Switch at Data Phase
+  // DOR (0x3B) -> Mode Switch at Data Phase
+  // QPP (0x32) -> Mode Switch at Data Phase 
+  // ----------------------------------------------------------------------
+  always @(posedge clk or negedge rstn) begin
+     if (rstn == 1'b0) begin
+        s_spi_mode <= SPI_STD;
+     end else begin
+	if(state == FSM_IDLE) begin // Reset the Mode at IDLE State
+            s_spi_mode <= SPI_STD;
+	end else if(state == FSM_ADR_PHASE && cfg_spi_switch == P_MODE_SWITCH_AT_ADDR) begin
+            s_spi_mode <= cfg_spi_mode;
+	end else if(state == FSM_DUMMY_PHASE && cfg_spi_switch == P_MODE_SWITCH_AT_DATA) begin
+            s_spi_mode <= cfg_spi_mode;
+	end
+     end
+  end
+
+  // SPI Chip Select Logic
+  always @(posedge clk or negedge rstn) begin
+     if (rstn == 1'b0) begin
+        spi_csn0 <= 1'b1;
+        spi_csn1 <= 1'b1;
+        spi_csn2 <= 1'b1;
+        spi_csn3 <= 1'b1;
+     end else begin
+	if(state != FSM_IDLE) begin
+           spi_csn0 <= ~cfg_cs_reg[0];
+           spi_csn1 <= ~cfg_cs_reg[1];
+           spi_csn2 <= ~cfg_cs_reg[2];
+           spi_csn3 <= ~cfg_cs_reg[3];
+	end else begin
+           spi_csn0 <= 1'b1;
+           spi_csn1 <= 1'b1;
+           spi_csn2 <= 1'b1;
+           spi_csn3 <= 1'b1;
+	end
+     end
+  end
 
 endmodule
 
diff --git a/verilog/rtl/spi_master/src/spim_fifo.sv b/verilog/rtl/spi_master/src/spim_fifo.sv
index bbe3c1a..b16a1b3 100644
--- a/verilog/rtl/spi_master/src/spim_fifo.sv
+++ b/verilog/rtl/spi_master/src/spim_fifo.sv
@@ -15,160 +15,213 @@
 // SPDX-License-Identifier: Apache-2.0
 // SPDX-FileContributor: Created by Dinesh Annayya <dinesha@opencores.org>
 //
-//////////////////////////////////////////////////////////////////////
-////                                                              ////
-////  YiFive cores common library Module                          ////
-////                                                              ////
-////  This file is part of the YIFive cores project               ////
-////  https://github.com/dineshannayya/yifive_r0.git              ////
-////  http://www.opencores.org/cores/yifive/                      ////
-////                                                              ////
-////  Description                                                 ////
-////     Sync Fifo with full and empty                            ////
-////                                                              ////
-////  To Do:                                                      ////
-////    nothing                                                   ////
-////                                                              ////
-////  Author(s):                                                  ////
-////      - Dinesh Annayya, dinesha@opencores.org                 ////
-////                                                              ////
-////  Revision : June 7, 2021                                     //// 
-////                                                              ////
-//////////////////////////////////////////////////////////////////////
-////                                                              ////
-//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
-////                                                              ////
-//// This source file may be used and distributed without         ////
-//// restriction provided that this copyright statement is not    ////
-//// removed from the file and that any derivative work contains  ////
-//// the original copyright notice and the associated disclaimer. ////
-////                                                              ////
-//// This source file is free software; you can redistribute it   ////
-//// and/or modify it under the terms of the GNU Lesser General   ////
-//// Public License as published by the Free Software Foundation; ////
-//// either version 2.1 of the License, or (at your option) any   ////
-//// later version.                                               ////
-////                                                              ////
-//// This source is distributed in the hope that it will be       ////
-//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
-//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
-//// PURPOSE.  See the GNU Lesser General Public License for more ////
-//// details.                                                     ////
-////                                                              ////
-//// You should have received a copy of the GNU Lesser General    ////
-//// Public License along with this source; if not, download it   ////
-//// from http://www.opencores.org/lgpl.shtml                     ////
-////                                                              ////
-//////////////////////////////////////////////////////////////////////
+/*********************************************************************
+                                                              
+  SYNC FIFO
+                                                              
+  This file is part of the yifive project
+  https://github.com/dineshannayya/yifive_r0.git           
+                                                              
+  Description: SYNC FIFO 
+                                                              
+  To Do:                                                      
+    nothing                                                   
+                                                              
+  Author(s):  Dinesh Annayya, dinesha@opencores.org                 
+                                                             
+ Copyright (C) 2000 Authors and OPENCORES.ORG                
+                                                             
+ This source file may be used and distributed without         
+ restriction provided that this copyright statement is not    
+ removed from the file and that any derivative work contains  
+ the original copyright notice and the associated disclaimer. 
+                                                              
+ This source file is free software; you can redistribute it   
+ and/or modify it under the terms of the GNU Lesser General   
+ Public License as published by the Free Software Foundation; 
+ either version 2.1 of the License, or (at your option) any   
+later version.                                               
+                                                              
+ This source is distributed in the hope that it will be       
+ useful, but WITHOUT ANY WARRANTY; without even the implied   
+ warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
+ PURPOSE.  See the GNU Lesser General Public License for more 
+ details.                                                     
+                                                              
+ You should have received a copy of the GNU Lesser General    
+ Public License along with this source; if not, download it   
+ from http://www.opencores.org/lgpl.shtml                     
+                                                              
+*******************************************************************/
 
-module spim_fifo #(
-      parameter  DATA_WIDTH  = 32, // Data Width
-      parameter  ADDR_WIDTH   = 1, // Address Width
-      parameter  FIFO_DEPTH   = 2  // FIFO DEPTH
-	
-)(
-       input                   rstn,
-       input                   srst,
-       input                   clk,
-       input                   wr_en, // Write
-       input [DATA_WIDTH-1:0]  din,
-       output                  ready_o,
+//-------------------------------------------
+// sync FIFO
+//-----------------------------------------------
+//`timescale  1ns/1ps
 
-       input                   rd_en, // Read
-       output [DATA_WIDTH-1:0] dout,
-       output                  valid_o
-);
+module spim_fifo (clk,
+                   reset_n,
+		   flush,
+                   wr_en,
+                   wr_data,
+                   full,                 
+                   afull,                 
+                   rd_en,
+                   empty,                
+                   aempty,                
+                   rd_data);
+
+   parameter W = 4'd8;
+   parameter DP = 3'd4;
+   parameter WR_FAST = 1'b1;
+   parameter RD_FAST = 1'b1;
+   parameter FULL_DP = DP;
+   parameter EMPTY_DP = 1'b0;
+
+   parameter AW = (DP == 2)   ? 1 : 
+		  (DP == 4)   ? 2 :
+                  (DP == 8)   ? 3 :
+                  (DP == 16)  ? 4 :
+                  (DP == 32)  ? 5 :
+                  (DP == 64)  ? 6 :
+                  (DP == 128) ? 7 :
+                  (DP == 256) ? 8 : 0;
+
+   output [W-1 : 0]  rd_data;
+   input [W-1 : 0]   wr_data;
+   input             clk, reset_n, wr_en,flush,
+                     rd_en;
+   output            full, empty;
+   output            afull, aempty;       // about full and about to empty
 
 
-reg [DATA_WIDTH-1:0]  ram [FIFO_DEPTH-1:0];
-reg [ADDR_WIDTH-1:0]  wptr; // write ptr
-reg [ADDR_WIDTH-1:0]  rptr; // write ptr
-reg [ADDR_WIDTH:0]    status_cnt; // status counter
-reg                   empty;
-reg                   full;
+   // synopsys translate_off
 
- wire ready_o = ! full;
- wire valid_o = ! empty;
+   initial begin
+      if (AW == 0) begin
+         $display ("%m : ERROR!!! Fifo depth %d not in range 2 to 256", DP);
+      end // if (AW == 0)
+   end // initial begin
 
- //-----------Code Start---------------------------
- always @ (negedge rstn or posedge clk)
- begin : WRITE_POINTER
-   if (rstn==1'b0) begin
-     wptr <= 0;
-   end else if (srst ) begin
-     wptr <= 0;
-   end else if (wr_en ) begin
-     wptr <= wptr + 1;
+   // synopsys translate_on
+
+   reg [W-1 : 0]    mem[DP-1 : 0];
+
+   /*********************** write side ************************/
+   reg [AW:0] wr_ptr;
+   reg full_q;
+   wire full_c;
+   wire afull_c;
+   wire [AW:0] wr_ptr_inc = wr_ptr + 1'b1;
+   wire [AW:0] wr_cnt = get_cnt(wr_ptr, rd_ptr);
+
+   assign full_c  = (wr_cnt == FULL_DP) ? 1'b1 : 1'b0;
+   assign afull_c = (wr_cnt == FULL_DP-1) ? 1'b1 : 1'b0;
+
+
+   always @(posedge clk or negedge reset_n) begin
+	if (!reset_n) begin
+	   wr_ptr <= 0;
+	   full_q <= 0;	
+	end
+	else begin
+	   if(flush) begin
+		wr_ptr <= 0;
+		full_q <= 0;	
+	   end else if (wr_en) begin
+	   	wr_ptr <= wr_ptr_inc;
+	   	if (wr_cnt == (FULL_DP-1)) begin
+	   		full_q <= 1'b1;
+	   	end
+	   end else begin
+	       	if (full_q && (wr_cnt<FULL_DP)) begin
+	   		full_q <= 1'b0;
+	        end
+	   end
+       end
+    end
+
+    assign full  = (WR_FAST == 1) ? full_c : full_q;
+    assign afull = afull_c;
+
+    always @(posedge clk) begin
+        if (wr_en) begin
+           mem[wr_ptr[AW-1:0]] <= wr_data;
+        end
+    end
+
+
+   /************************ read side *****************************/
+   reg [AW:0] rd_ptr;
+   reg empty_q;
+   wire empty_c;
+   wire aempty_c;
+   wire [AW:0] rd_ptr_inc = rd_ptr + 1'b1;
+   wire [AW:0] rd_cnt = get_cnt(wr_ptr, rd_ptr);
+ 
+   assign empty_c  = (rd_cnt == 0) ? 1'b1 : 1'b0;
+   assign aempty_c = (rd_cnt == 1) ? 1'b1 : 1'b0;
+
+   always @(posedge clk or negedge reset_n) begin
+      if (!reset_n) begin
+            rd_ptr <= 0;
+            empty_q <= 1'b1;
+      end
+      else begin
+	 if(flush) begin
+           rd_ptr <= 0;
+	   empty_q <= 1'b1;
+	 end else if (rd_en) begin
+               rd_ptr <= rd_ptr_inc;
+               if (rd_cnt==(EMPTY_DP+1)) begin
+                  empty_q <= 1'b1;
+               end
+         end else begin
+            if (empty_q && (rd_cnt!=EMPTY_DP)) begin
+	      empty_q <= 1'b0;
+	    end
+         end
+       end
+    end
+
+    assign empty  = (RD_FAST == 1) ? empty_c : empty_q;
+    assign aempty = aempty_c;
+
+    reg [W-1 : 0]  rd_data_q;
+
+   wire [W-1 : 0] rd_data_c = mem[rd_ptr[AW-1:0]];
+   always @(posedge clk) begin
+	rd_data_q <= rd_data_c;
    end
- end
+   assign rd_data  = (RD_FAST == 1) ? rd_data_c : rd_data_q;
 
-always @ (negedge rstn or posedge clk)
-begin : READ_POINTER
-  if (rstn==1'b0) begin
-    rptr <= 0;
-   end else if (srst ) begin
-     rptr <= 0;
-  end else if (rd_en) begin
-    rptr <= rptr + 1;
-  end
-end
 
-always @ (negedge rstn or posedge clk)
-begin : STATUS_COUNTER
-  if (rstn==1'b0) begin
-       status_cnt <= 0;
-  end else if (srst ) begin
-       status_cnt <= 0;
-  // Read but no write.
-  end else if (rd_en &&   (!wr_en) && (status_cnt  != 0)) begin
-    status_cnt <= status_cnt - 1;
-  // Write but no read.
-  end else if (wr_en &&  (!rd_en) && (status_cnt  != FIFO_DEPTH)) begin
-    status_cnt <= status_cnt + 1;
-  end
-end
-
-// underflow is not handled
-always @ (negedge rstn or posedge clk)
-begin : EMPTY_FLAG
-  if (rstn==1'b0) begin
-       empty <= 1;
-  end else if (srst ) begin
-       empty <= 1;
-  // Read but no write.
-  end else if (rd_en &&   (!wr_en) && (status_cnt  == 1)) begin
-    empty <= 1;
-  // Write 
-  end else if (wr_en) begin
-    empty <= 0;
-  end else if (status_cnt  == 0) begin
-     empty <= 1;
-  end
-end
-
-// overflow is not handled
-always @ (negedge rstn or posedge clk)
-begin : FULL_FLAG
-  if (rstn==1'b0) begin
-       full <= 0;
-  end else if (srst ) begin
-       full <= 0;
-  // Write but no read.
-  end else if (wr_en &&  (!rd_en) && (status_cnt  == (FIFO_DEPTH-1))) begin
-    full <= 1;
-  // Read 
-  end else if (rd_en &&  (!wr_en) ) begin
-    full <= 0;
-  end else if (status_cnt  == FIFO_DEPTH) begin
-     full <= 1;
-  end
-end
-assign dout = ram[rptr];
-
-always @ (posedge clk)
+function [AW:0] get_cnt;
+input [AW:0] wr_ptr, rd_ptr;
 begin
-  if (wr_en) ram[wptr] <= din;
+	if (wr_ptr >= rd_ptr) begin
+		get_cnt = (wr_ptr - rd_ptr);	
+	end
+	else begin
+		get_cnt = DP*2 - (rd_ptr - wr_ptr);
+	end
+end
+endfunction
+
+// synopsys translate_off
+always @(posedge clk) begin
+   if (wr_en && full) begin
+      $display($time, "%m Error! afifo overflow!");
+      $stop;
+   end
 end
 
+always @(posedge clk) begin
+   if (rd_en && empty) begin
+      $display($time, "%m error! afifo underflow!");
+      $stop;
+   end
+end
+// synopsys translate_on
 
 endmodule
diff --git a/verilog/rtl/spi_master/src/spim_if.sv b/verilog/rtl/spi_master/src/spim_if.sv
new file mode 100644
index 0000000..e8c85e0
--- /dev/null
+++ b/verilog/rtl/spi_master/src/spim_if.sv
@@ -0,0 +1,295 @@
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya                          
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Dinesh Annayya <dinesha@opencores.org>
+//
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  SPI WishBone I/F Module                                     ////
+////                                                              ////
+////  This file is part of the YIFive cores project               ////
+////  https://github.com/dineshannayya/yifive_r0.git              ////
+////  http://www.opencores.org/cores/yifive/                      ////
+////                                                              ////
+////  Description                                                 ////
+////     SPI WishBone I/F module                                  ////
+////     This block support following functionality               ////
+////        1. This block Response to Direct Memory Read and      ////
+////           Register Write and Read Command                    ////
+////        2. In case of Direct Memory Read, It check send the   ////
+////           SPI Read command to SPI Ctrl logic and wait for    ////
+////           Read data through Response                         ////
+////                                                              ////
+////  To Do:                                                      ////
+////    1. Add 4 Word Memory Fetch for better Through Put         ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////     V.0  -  June 30, 2021                                    //// 
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
+////                                                              ////
+//// This source file may be used and distributed without         ////
+//// restriction provided that this copyright statement is not    ////
+//// removed from the file and that any derivative work contains  ////
+//// the original copyright notice and the associated disclaimer. ////
+////                                                              ////
+//// This source file is free software; you can redistribute it   ////
+//// and/or modify it under the terms of the GNU Lesser General   ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any   ////
+//// later version.                                               ////
+////                                                              ////
+//// This source is distributed in the hope that it will be       ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
+//// PURPOSE.  See the GNU Lesser General Public License for more ////
+//// details.                                                     ////
+////                                                              ////
+//// You should have received a copy of the GNU Lesser General    ////
+//// Public License along with this source; if not, download it   ////
+//// from http://www.opencores.org/lgpl.shtml                     ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+
+
+module spim_if #( parameter WB_WIDTH = 32) (
+    input  logic                         mclk,
+    input  logic                         rst_n,
+
+    input  logic                         wbd_stb_i, // strobe/request
+    input  logic   [WB_WIDTH-1:0]        wbd_adr_i, // address
+    input  logic                         wbd_we_i,  // write
+    input  logic   [WB_WIDTH-1:0]        wbd_dat_i, // data output
+    input  logic   [3:0]                 wbd_sel_i, // byte enable
+    output logic   [WB_WIDTH-1:0]        wbd_dat_o, // data input
+    output logic                         wbd_ack_o, // acknowlegement
+    output logic                         wbd_err_o,  // error
+
+
+    // Configuration
+    input logic                          cfg_fsm_reset,
+    input logic [3:0]                    cfg_mem_seq,    // SPI MEM SEQUENCE
+    input logic [1:0]                    cfg_addr_cnt,   // SPI Addr Count
+    input logic [1:0]                    cfg_dummy_cnt,  // SPI Dummy Count
+    input logic [7:0]                    cfg_data_cnt,   // SPI Read Count
+    input logic [7:0]                    cfg_cmd_reg,    // SPI MEM COMMAND
+    input logic [7:0]                    cfg_mode_reg,   // SPI MODE REG
+    input logic                          spi_init_done,  // SPI internal Init completed
+
+    // Towards Reg I/F
+    output logic                         spim_reg_req,     // Reg Request
+    output logic [3:0]                   spim_reg_addr,    // Reg Address
+    output logic                         spim_reg_we,      // Reg Write/Read Command
+    output logic [3:0]                   spim_reg_be,      // Reg Byte Enable
+    output logic [31:0]                  spim_reg_wdata,    // Reg Write Data
+    input  logic                         spim_reg_ack,     // Read Ack
+    input  logic [31:0]                  spim_reg_rdata,    // Read Read Data
+
+    // Towards Command FIFO
+    input  logic                         cmd_fifo_empty,   // Command FIFO empty
+    output logic                         cmd_fifo_wr,      // Command FIFO Write
+    output logic [33:0]                  cmd_fifo_wdata,   // Command FIFO WData
+    
+    // Towards Response FIFO
+    input  logic                         res_fifo_empty,   // Response FIFO Empty
+    output logic                         res_fifo_rd,      // Response FIFO Read
+    input  logic [31:0]                  res_fifo_rdata,    // Response FIFO Data
+
+    output  logic [3:0]                  state         
+    );
+
+//------------------------------------------------
+// Parameter Decleration
+// -----------------------------------------------
+parameter SOC = 1'b1;    // START of COMMAND
+parameter EOC = 1'b1;    // END of COMMAND
+parameter NOC = 1'b0;    // NORMAL COMMAND
+
+// State Machine state
+parameter IDLE       = 4'b000;
+parameter ADR_PHASE  = 4'b001;
+parameter READ_DATA  = 4'b010;
+
+/*************************************************************
+*  SPI FSM State Control
+*
+*   OPERATION   COMMAND                   SEQUENCE 
+*
+*    ERASE       P4E(0x20)           ->  COMMAND + ADDRESS
+*    ERASE       P8E(0x40)           ->  COMMAND + ADDRESS
+*    ERASE       SE(0xD8)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0x60)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0xC7)            ->  COMMAND 
+*    PROGRAM     PP(0x02)            ->  COMMAND + ADDRESS + Write DATA
+*    PROGRAM     QPP(0x32)           ->  COMMAND + ADDRESS + Write DATA
+*    READ        READ(0x3)           ->  COMMAND + ADDRESS + READ DATA
+*    READ        FAST_READ(0xB)      ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DOR (0x3B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        QOR (0x6B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DIOR (0xBB)         ->  COMMAND + ADDRESS + MODE  + READ DATA
+*    READ        QIOR (0xEB)         ->  COMMAND + ADDRESS + MODE  + DUMMY + READ DATA
+*    READ        RDID (0x9F)         ->  COMMAND + READ DATA
+*    READ        READ_ID (0x90)      ->  COMMAND + ADDRESS + READ DATA
+*    WRITE       WREN(0x6)           ->  COMMAND
+*    WRITE       WRDI                ->  COMMAND
+*    STATUS      RDSR(0x05)          ->  COMMAND + READ DATA
+*    STATUS      RCR(0x35)           ->  COMMAND + READ DATA
+*    CONFIG      WRR(0x01)           ->  COMMAND + WRITE DATA
+*    CONFIG      CLSR(0x30)          ->  COMMAND
+*    Power Saving DP(0xB9)           ->  COMMAND
+*    Power Saving RES(0xAB)          ->  COMMAND + READ DATA
+*    OTP          OTPP(0x42)         ->  COMMAND + ADDR+ WRITE DATA
+*    OTP          OTPR(0x4B)         ->  COMMAND + ADDR + DUMMY + READ DATA
+*    ********************************************************************/
+
+parameter P_FSM_C      = 4'b0000; // Command Phase Only
+parameter P_FSM_CA     = 4'b0001; // Command -> Address Phase Only
+
+parameter P_FSM_CAR    = 4'b0010; // Command -> Address -> Read Data
+parameter P_FSM_CADR   = 4'b0011; // Command -> Address -> Dummy -> Read Data
+parameter P_FSM_CAMR   = 4'b0100; // Command -> Address -> Mode -> Read Data
+parameter P_FSM_CAMDR  = 4'b0101; // Command -> Address -> Mode -> Dummy -> Read Data
+
+parameter P_FSM_CAW    = 4'b0110; // Command -> Address ->Write Data
+parameter P_FSM_CADW   = 4'b0111; // Command -> Address -> DUMMY + Write Data
+//---------------------------------------------------------
+// Variable declartion
+// -------------------------------------------------------
+logic                 spim_mem_req   ;  // Current Request is Direct Memory Read
+
+
+logic                 spim_wb_req    ;
+logic [WB_WIDTH-1:0]  spim_wb_wdata  ;
+logic [WB_WIDTH-1:0]  spim_wb_addr   ;
+logic                 spim_wb_ack    ;
+logic                 spim_wb_we     ;
+logic [3:0]           spim_wb_be     ;
+logic [WB_WIDTH-1:0]  spi_mem_rdata  ;
+logic [WB_WIDTH-1:0]  spim_wb_rdata  ;
+
+logic                 spim_mem_ack   ;
+logic [3:0]           next_state     ;
+
+
+
+  //---------------------------------------------------------------
+  // Address Decoding
+  // 0x0000_0000 - 0x0FFF_FFFF  - SPI FLASH MEMORY ACCESS - 256MB
+  // 0x1000_0000 -              - SPI Register Access
+  // 
+  //
+  // Note: Only Bit[28] is decoding done here, other Bit decoding 
+  // will be done inside the wishbone inter-connect 
+  // --------------------------------------------------------------
+
+  assign spim_mem_req = ((spim_wb_req) && spim_wb_addr[28] == 1'b0);
+  assign spim_reg_req = ((spim_wb_req) && spim_wb_addr[28] == 1'b1);
+
+  assign spim_reg_addr = spim_wb_addr[5:2];
+  assign spim_reg_wdata = spim_wb_wdata;
+  assign spim_reg_we   = spim_wb_we;
+  assign spim_reg_be   = spim_wb_be;
+
+  assign wbd_dat_o  =  spim_wb_rdata;
+  assign wbd_ack_o  =  spim_wb_ack;
+  assign wbd_err_o  =  1'b0;
+
+  // To reduce the load/Timing Wishbone I/F, all the variable are registered
+always_ff @(negedge rst_n or posedge mclk) begin
+    if ( rst_n == 1'b0 ) begin
+        spim_wb_req   <= '0;
+        spim_wb_wdata <= '0;
+        spim_wb_rdata <= '0;
+        spim_wb_addr  <= '0;
+        spim_wb_be    <= '0;
+        spim_wb_we    <= '0;
+        spim_wb_ack   <= '0;
+   end else begin
+	if(spi_init_done) begin // Wait for internal SPI Init Done
+            spim_wb_req   <= wbd_stb_i && ((spim_wb_ack == 0) && (spim_mem_ack ==0) && (spim_reg_ack == 0));
+            spim_wb_wdata <= wbd_dat_i;
+            spim_wb_addr  <= wbd_adr_i;
+            spim_wb_be    <= wbd_sel_i;
+            spim_wb_we    <= wbd_we_i;
+    
+    
+    	    if(!spim_wb_we && spim_mem_req && spim_mem_ack) 
+                   spim_wb_rdata <= spi_mem_rdata;
+            else if (spim_reg_req && spim_reg_ack)
+                   spim_wb_rdata <= spim_reg_rdata;
+    
+            spim_wb_ack   <= (spim_mem_req) ? spim_mem_ack :
+		             (spim_reg_req) ? spim_reg_ack : 1'b0;
+       end
+   end
+end
+
+
+always_ff @(negedge rst_n or posedge mclk) begin
+    if ( rst_n == 1'b0 ) begin
+	state <= IDLE;
+    end else begin
+	if(cfg_fsm_reset) state <= IDLE;
+	else state <= next_state;
+    end
+end
+
+/***********************************************************************************
+* This block interface with WishBone Request and Write Command & Read Response FIFO
+* **********************************************************************************/
+
+always_comb
+begin
+   cmd_fifo_wr    = '0;
+   cmd_fifo_wdata = '0;
+   res_fifo_rd    = 0;
+   spi_mem_rdata = '0;
+
+   spim_mem_ack   = 0;
+   next_state     = state;
+   case(state)
+   IDLE:  begin
+	if(spim_mem_req && cmd_fifo_empty) begin
+	   cmd_fifo_wdata = {SOC,NOC,cfg_data_cnt[7:0],cfg_dummy_cnt[1:0],cfg_addr_cnt[1:0],cfg_mem_seq[3:0],cfg_mode_reg[7:0],cfg_cmd_reg[7:0]};
+	   cmd_fifo_wr    = 1;
+	   next_state = ADR_PHASE;
+	end
+   end
+   ADR_PHASE: begin
+          cmd_fifo_wdata = {NOC,EOC,spim_wb_addr[31:0]};
+          cmd_fifo_wr      = 1;
+          next_state = READ_DATA;
+   end
+
+
+   READ_DATA: begin
+	if(res_fifo_empty != 1) begin
+           spi_mem_rdata = res_fifo_rdata;
+	   res_fifo_rd   = 1;
+           spim_mem_ack  = 1;
+           next_state    = IDLE;
+	end
+   end
+   endcase
+end
+    
+
+
+endmodule
diff --git a/verilog/rtl/spi_master/src/spim_regs.sv b/verilog/rtl/spi_master/src/spim_regs.sv
index 64cb6f7..f3fa7e1 100644
--- a/verilog/rtl/spi_master/src/spim_regs.sv
+++ b/verilog/rtl/spi_master/src/spim_regs.sv
@@ -70,349 +70,472 @@
 
 
 module spim_regs #( parameter WB_WIDTH = 32) (
-    input  logic                         mclk,
-    input  logic                         rst_n,
+    input  logic                         mclk             ,
+    input  logic                         rst_n            ,
+    input logic                          fast_sim_mode    , // Set 1 for simulation
 
-    input  logic                         wbd_stb_i, // strobe/request
-    input  logic   [WB_WIDTH-1:0]        wbd_adr_i, // address
-    input  logic                         wbd_we_i,  // write
-    input  logic   [WB_WIDTH-1:0]        wbd_dat_i, // data output
-    input  logic   [3:0]                 wbd_sel_i, // byte enable
-    output logic   [WB_WIDTH-1:0]        wbd_dat_o, // data input
-    output logic                         wbd_ack_o, // acknowlegement
-    output logic                         wbd_err_o,  // error
+    output logic                   [7:0] spi_clk_div      ,
+    output logic                         spi_init_done    , // SPI internal Init completed
 
-    output logic                   [7:0] spi_clk_div,
-    input logic                    [8:0] spi_status,
+    // Status Monitoring
+    input logic                    [31:0] spi_debug       ,
 
-    // Towards SPI TX/RX FSM
+    // Master 0 Configuration
+    output logic                         cfg_m0_fsm_reset ,
+    output logic [3:0]                   cfg_m0_cs_reg    ,  // Chip select
+    output logic [1:0]                   cfg_m0_spi_mode  ,  // Final SPI Mode 
+    output logic [1:0]                   cfg_m0_spi_switch,  // SPI Mode Switching Place
+    output logic [3:0]                   cfg_m0_spi_seq   ,  // SPI SEQUENCE
+    output logic [1:0]                   cfg_m0_addr_cnt  ,  // SPI Addr Count
+    output logic [1:0]                   cfg_m0_dummy_cnt ,  // SPI Dummy Count
+    output logic [7:0]                   cfg_m0_data_cnt  ,  // SPI Read Count
+    output logic [7:0]                   cfg_m0_cmd_reg   ,  // SPI MEM COMMAND
+    output logic [7:0]                   cfg_m0_mode_reg  ,  // SPI MODE REG
 
+    output logic [3:0]                   cfg_m1_cs_reg    ,  // Chip select
+    output logic [1:0]                   cfg_m1_spi_mode  ,  // Final SPI Mode 
+    output logic [1:0]                   cfg_m1_spi_switch,  // SPI Mode Switching Place
 
-    output logic                          spi_req,
-    output logic                   [31:0] spi_addr,
-    output logic                    [5:0] spi_addr_len,
-    output logic                   [7:0]  spi_cmd,
-    output logic                    [5:0] spi_cmd_len,
-    output logic                   [7:0]  spi_mode_cmd,
-    output logic                          spi_mode_cmd_enb,
-    output logic                    [3:0] spi_csreg,
-    output logic                   [15:0] spi_data_len,
-    output logic                   [15:0] spi_dummy_rd_len,
-    output logic                   [15:0] spi_dummy_wr_len,
-    output logic                          spi_swrst,
-    output logic                          spi_rd,
-    output logic                          spi_wr,
-    output logic                          spi_qrd,
-    output logic                          spi_qwr,
-    output logic                   [31:0] spi_wdata,
-    input logic                   [31:0]  spi_rdata,
-    input logic                           spi_ack
+    output logic [1:0]                   cfg_cs_early     ,  // Amount of cycle early CS asserted
+    output logic [1:0]                   cfg_cs_late      ,  // Amount of cycle late CS de-asserted
 
+    // Towards Reg I/F
+    input  logic                         spim_reg_req     ,   // Reg Request
+    input  logic [3:0]                   spim_reg_addr    ,   // Reg Address
+    input  logic                         spim_reg_we      ,   // Reg Write/Read Command
+    input  logic [3:0]                   spim_reg_be      ,   // Reg Byte Enable
+    input  logic [31:0]                  spim_reg_wdata   ,   // Reg Write Data
+    output  logic                        spim_reg_ack     ,   // Read Ack
+    output  logic [31:0]                 spim_reg_rdata    ,   // Read Read Data
+
+    // Towards Command FIFO
+    input  logic                         cmd_fifo_full    ,   // Command FIFO full
+    input  logic                         cmd_fifo_empty   ,   // Command FIFO empty
+    output logic                         cmd_fifo_wr      ,   // Command FIFO Write
+    output logic [33:0]                  cmd_fifo_wdata   ,   // Command FIFO WData
+    
+    // Towards Response FIFO
+    input  logic                         res_fifo_full    ,   // Response FIFO Empty
+    input  logic                         res_fifo_empty   ,   // Response FIFO Empty
+    output logic                         res_fifo_rd      ,   // Response FIFO Read
+    input  logic [31:0]                  res_fifo_rdata   ,   // Response FIFO Data
+
+    output logic [3:0]                   state           
     );
+//------------------------------------------------
+// Parameter Decleration
+// -----------------------------------------------
+parameter SOC = 1'b1;    // START of COMMAND
+parameter EOC = 1'b1;    // END of COMMAND
+parameter NOC = 1'b0;    // NORMAL COMMAND
+
+parameter BTYPE = 1'b0;  // Count is Byte Type
+parameter WTYPE = 1'b1;  // Count is Word Type
+
+parameter CNT1 = 2'b00; // BYTE/WORD Count1
+parameter CNT2 = 2'b01; // BYTE/WORD Count2
+parameter CNT3 = 2'b10; // BYTE/WORD Count3
+parameter CNT4 = 2'b11; // BYTE/WORD Count4
+
+
+// Type of command
+parameter NWRITE = 2'b00; // Normal Write
+parameter NREAD  = 2'b01; // Normal Read
+parameter DWRITE = 2'b10; // Dummy Write
+parameter DREAD  = 2'b11; // Dummy Read
+
+// State Machine state
+parameter FSM_IDLE        = 3'b000;
+parameter FSM_ADR_PHASE   = 3'b001;
+parameter FSM_WRITE_PHASE = 3'b010;
+parameter FSM_READ_PHASE  = 3'b011;
+parameter FSM_READ_BUSY   = 3'b100;
+parameter FSM_WRITE_BUSY  = 3'b101;
+parameter FSM_ACK_PHASE   = 3'b110;
 
 //----------------------------
 // Register Decoding
 // ---------------------------
-parameter REG_CTRL     = 4'b0000;
-parameter REG_CLKDIV   = 4'b0001;
-parameter REG_SPICMD   = 4'b0010;
-parameter REG_SPIADR   = 4'b0011;
-parameter REG_SPILEN   = 4'b0100;
-parameter REG_SPIDUM   = 4'b0101;
+parameter GLBL_CTRL    = 4'b0000;
+parameter MEM_CTRL1    = 4'b0001;
+parameter MEM_CTRL2    = 4'b0010;
+parameter REG_CTRL1    = 4'b0011;
+parameter REG_CTRL2    = 4'b0100;
+parameter REG_SPIADR   = 4'b0101;
 parameter REG_SPIWDATA = 4'b0110;
 parameter REG_SPIRDATA = 4'b0111;
 parameter REG_STATUS   = 4'b1000;
 
 // Init FSM
-parameter SPI_INIT_IDLE      = 3'b000;
-parameter SPI_INIT_CMD_WAIT  = 3'b001;
-parameter SPI_INIT_WREN_CMD  = 3'b010;
-parameter SPI_INIT_WREN_WAIT = 3'b011;
-parameter SPI_INIT_WRR_CMD   = 3'b100;
-parameter SPI_INIT_WRR_WAIT  = 3'b101;
+parameter SPI_INIT_PWUP      = 3'b000;
+parameter SPI_INIT_IDLE      = 3'b001;
+parameter SPI_INIT_CMD_WAIT  = 3'b010;
+parameter SPI_INIT_WREN_CMD  = 3'b011;
+parameter SPI_INIT_WREN_WAIT = 3'b100;
+parameter SPI_INIT_WRR_CMD   = 3'b101;
+parameter SPI_INIT_WRR_WAIT  = 3'b110;
+parameter SPI_INIT_WAIT      = 3'b111;
 
+/*************************************************************
+*  SPI FSM State Control
+*
+*   OPERATION   COMMAND                   SEQUENCE 
+*
+*    ERASE       P4E(0x20)           ->  COMMAND + ADDRESS
+*    ERASE       P8E(0x40)           ->  COMMAND + ADDRESS
+*    ERASE       SE(0xD8)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0x60)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0xC7)            ->  COMMAND 
+*    PROGRAM     PP(0x02)            ->  COMMAND + ADDRESS + Write DATA
+*    PROGRAM     QPP(0x32)           ->  COMMAND + ADDRESS + Write DATA
+*    READ        READ(0x3)           ->  COMMAND + ADDRESS + READ DATA
+*    READ        FAST_READ(0xB)      ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DOR (0x3B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        QOR (0x6B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DIOR (0xBB)         ->  COMMAND + ADDRESS + MODE  + READ DATA
+*    READ        QIOR (0xEB)         ->  COMMAND + ADDRESS + MODE  + DUMMY + READ DATA
+*    READ        RDID (0x9F)         ->  COMMAND + READ DATA
+*    READ        READ_ID (0x90)      ->  COMMAND + ADDRESS + READ DATA
+*    WRITE       WREN(0x6)           ->  COMMAND
+*    WRITE       WRDI                ->  COMMAND
+*    STATUS      RDSR(0x05)          ->  COMMAND + READ DATA
+*    STATUS      RCR(0x35)           ->  COMMAND + READ DATA
+*    CONFIG      WRR(0x01)           ->  COMMAND + WRITE DATA
+*    CONFIG      CLSR(0x30)          ->  COMMAND
+*    Power Saving DP(0xB9)           ->  COMMAND
+*    Power Saving RES(0xAB)          ->  COMMAND + READ DATA
+*    OTP          OTPP(0x42)         ->  COMMAND + ADDR+ WRITE DATA
+*    OTP          OTPR(0x4B)         ->  COMMAND + ADDR + DUMMY + READ DATA
+*    ********************************************************************/
+parameter P_FSM_C      = 4'b0000; // Command Phase Only
+parameter P_FSM_CW     = 4'b0001; // Command + Write DATA Phase Only
+parameter P_FSM_CA     = 4'b0010; // Command -> Address Phase Only
+
+parameter P_FSM_CAR    = 4'b0011; // Command -> Address -> Read Data
+parameter P_FSM_CADR   = 4'b0100; // Command -> Address -> Dummy -> Read Data
+parameter P_FSM_CAMR   = 4'b0101; // Command -> Address -> Mode -> Read Data
+parameter P_FSM_CAMDR  = 4'b0110; // Command -> Address -> Mode -> Dummy -> Read Data
+
+parameter P_FSM_CAW    = 4'b0111; // Command -> Address ->Write Data
+parameter P_FSM_CADW   = 4'b1000; // Command -> Address -> DUMMY + Write Data
+
+parameter P_FSM_CDR    = 4'b1001; // COMMAND -> DUMMY -> READ
+parameter P_FSM_CDW    = 4'b1010; // COMMAND -> DUMMY -> WRITE
+//---------------------------------------------------------
+  parameter P_CS0 = 4'b0001;
+  parameter P_CS1 = 4'b0010;
+  parameter P_CS2 = 4'b0100;
+  parameter P_CS3 = 4'b1000;
+
+  parameter P_SINGLE = 2'b00;
+  parameter P_DOUBLE = 2'b01;
+  parameter P_QUAD   = 2'b10;
+
+  parameter P_MODE_SWITCH_IDLE     = 2'b00;
+  parameter P_MODE_SWITCH_AT_ADDR  = 2'b01;
+  parameter P_MODE_SWITCH_AT_DATA  = 2'b10;
+
+  parameter P_QOR = 8'h6B;
+  parameter P_QIOR = 8'hEB;
+  parameter P_RES = 8'hAB;
+  parameter P_WEN = 8'h06;
+  parameter P_WRR = 8'h01;
+
+  parameter P_8BIT   = 2'b00;
+  parameter P_16BIT  = 2'b01;
+  parameter P_24BIT  = 2'b10;
+  parameter P_32BIT  = 2'b11;
 //---------------------------------------------------------
 // Variable declartion
 // -------------------------------------------------------
-logic                 spi_init_done  ;
-logic   [2:0]         spi_init_state ;
-logic                spim_mem_req   ;
-logic                spim_reg_req   ;
+logic   [2:0]        spi_init_state ;
+logic                spim_reg_req_f ; 
+
+logic [1:0]          cfg_m1_fsm_reset ;
+logic [3:0]          cfg_m1_spi_seq   ; // SPI SEQUENCE
+logic [1:0]          cfg_m1_addr_cnt  ; // SPI Addr Count
+logic [1:0]          cfg_m1_dummy_cnt ; // SPI Dummy Count
+logic [7:0]          cfg_m1_data_cnt  ; // SPI Read Count
+logic [7:0]          cfg_m1_cmd_reg   ; // SPI MEM COMMAND
+logic [7:0]          cfg_m1_mode_reg  ; // SPI MODE REG
+logic [31:0]         cfg_m1_addr      ;
+logic [31:0]         cfg_m1_wdata     ;
+logic [31:0]         cfg_m1_rdata     ;
+logic                cfg_m1_wrdy      ;
+logic                cfg_m1_req       ;
+
+logic [31:0]         reg_rdata        ;
 
 
-logic                 spim_wb_req    ;
-logic                 spim_wb_req_l  ;
-logic [WB_WIDTH-1:0]  spim_wb_wdata  ;
-logic [WB_WIDTH-1:0]  spim_wb_addr   ;
-logic                 spim_wb_ack    ;
-logic                 spim_wb_we     ;
-logic [3:0]           spim_wb_be     ;
-logic [WB_WIDTH-1:0]  spim_reg_rdata ;
-logic [WB_WIDTH-1:0]  spim_wb_rdata  ;
-logic  [WB_WIDTH-1:0] reg_rdata      ;
-
-// Control Signal Generated from Reg to SPI Access
-logic                 reg2spi_req;
-logic         [31:0]  reg2spi_addr;
-logic          [5:0]  reg2spi_addr_len;
-logic         [31:0]  reg2spi_cmd;
-logic          [5:0]  reg2spi_cmd_len;
-logic          [3:0]  reg2spi_csreg;
-logic         [15:0]  reg2spi_data_len;
-logic                 reg2spi_mode_enb; // mode enable
-logic         [7:0]   reg2spi_mode;     // mode 
-logic         [15:0]  reg2spi_dummy_rd_len;
-logic         [15:0]  reg2spi_dummy_wr_len;
-logic                 reg2spi_swrst;
-logic                 reg2spi_rd;
-logic                 reg2spi_wr;
-logic                 reg2spi_qrd;
-logic                 reg2spi_qwr;
-logic         [31:0]  reg2spi_wdata;
-//------------------------------------------------------------------   
-// Priority given to mem2spi request over Reg2Spi
-
-    assign  spi_req           =  (spim_mem_req && !spim_wb_we) ? 1'b1                           : reg2spi_req;      
-    assign  spi_addr          =  (spim_mem_req && !spim_wb_we) ? {spim_wb_addr[23:0],8'h0}      : reg2spi_addr;      
-    assign  spi_addr_len      =  (spim_mem_req && !spim_wb_we) ? 24                             : reg2spi_addr_len;  
-    assign  spi_cmd           =  (spim_mem_req && !spim_wb_we) ? 8'hEB                          : reg2spi_cmd;       
-    assign  spi_cmd_len       =  (spim_mem_req && !spim_wb_we) ? 8                              : reg2spi_cmd_len;   
-    assign  spi_mode_cmd      =  (spim_mem_req && !spim_wb_we) ? 8'h00                          : reg2spi_mode;       
-    assign  spi_mode_cmd_enb  =  (spim_mem_req && !spim_wb_we) ? 1                              : reg2spi_mode_enb;   
-    assign  spi_csreg         =  (spim_mem_req && !spim_wb_we) ? '1                             : reg2spi_csreg;     
-    assign  spi_data_len      =  (spim_mem_req && !spim_wb_we) ? 'h20                           : reg2spi_data_len;  
-    assign  spi_dummy_rd_len  =  (spim_mem_req && !spim_wb_we) ? 'h20                           : reg2spi_dummy_rd_len;  
-    assign  spi_dummy_wr_len  =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_dummy_wr_len;  
-    assign  spi_swrst         =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_swrst;     
-    assign  spi_rd            =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_rd;        
-    assign  spi_wr            =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_wr;        
-    assign  spi_qrd           =  (spim_mem_req && !spim_wb_we) ? 1                              : reg2spi_qrd;       
-    assign  spi_qwr           =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_qwr;       
-    assign  spi_wdata         =  (spim_mem_req && !spim_wb_we) ? 0                              : reg2spi_wdata;       
+logic [5:0]           cur_cnt         ;
+logic [5:0]           next_cnt        ;
+logic [3:0]           next_state      ;
 
 
+logic [31:0]          spim_m1_rdata   ;
+logic                 spim_m1_ack     ;
+logic                 spim_m1_rrdy    ;
+logic                 spim_m1_wrdy    ;
+logic  [9:0]          spi_delay_cnt  ;
+logic                 spim_fifo_rdata_req  ;
+logic                 spim_fifo_wdata_req  ;
 
 
-  //---------------------------------------------------------------
-  // Address Decoding
-  // 0x0000_0000 - 0x0FFF_FFFF  - SPI FLASH MEMORY ACCESS - 256MB
-  // 0x1000_0000 -              - SPI Register Access
-  // --------------------------------------------------------------
+//----------------------------------------------
+// Consolidated Register Ack handling
+//   1. Handles Normal Register Read
+//   2. Indirect Memory Write
+//   3. Indirect Memory Read
+//----------------------------------------------
+//
+assign spim_fifo_rdata_req = spim_reg_req && spim_reg_we == 0 && (spim_reg_addr== REG_SPIRDATA);
+assign spim_fifo_wdata_req = spim_reg_req && spim_reg_we == 1 && (spim_reg_addr== REG_SPIWDATA);
 
-  assign spim_mem_req = ((spim_wb_req) && spim_wb_addr[31:28] == 4'b0000);
-  assign spim_reg_req = ((spim_wb_req) && spim_wb_addr[31:28] == 4'b0001);
-
-
-  assign wbd_dat_o  =  spim_wb_rdata;
-  assign wbd_ack_o  =  spim_wb_ack;
-  assign wbd_err_o  =  1'b0;
-
-  // To reduce the load/Timing Wishbone I/F, all the variable are registered
 always_ff @(negedge rst_n or posedge mclk) begin
-    if ( rst_n == 1'b0 ) begin
-        spim_wb_req   <= '0;
-        spim_wb_req_l <= '0;
-        spim_wb_wdata <= '0;
-        spim_wb_rdata <= '0;
-        spim_wb_addr  <= '0;
-        spim_wb_be    <= '0;
-        spim_wb_we    <= '0;
-        spim_wb_ack   <= '0;
+   if ( rst_n == 1'b0 ) begin
+       spim_reg_ack  <= 1'b0;
+       spim_reg_rdata <= 'h0;
    end else begin
-	if(spi_init_done) begin // Wait for internal SPI Init Done
-            spim_wb_req   <= wbd_stb_i && (spi_ack == 0) && (spim_wb_ack==0);
-            spim_wb_req_l <= spim_wb_req;
-            spim_wb_wdata <= wbd_dat_i;
-            spim_wb_addr  <= wbd_adr_i;
-            spim_wb_be    <= wbd_sel_i;
-            spim_wb_we    <= wbd_we_i;
-    
-    
-    	// If there is Reg2Spi read Access, Register the Read Data
-    	if(reg2spi_req && (reg2spi_rd || reg2spi_qrd ) && spi_ack) 
-                 spim_reg_rdata <= spi_rdata;
-    
-    	if(!spim_wb_we && spim_wb_req && spi_ack) 
-               spim_wb_rdata <= spi_rdata;
-            else if (spim_reg_req)
-               spim_wb_rdata <= reg_rdata;
-    
-        // For safer design, we have generated ack after 2 cycle latter to 
-    	// cross-check current request is towards SPI or not
-            spim_wb_ack   <= (spi_req && spim_wb_req) ? spi_ack :
-    		         ((spim_wb_ack==0) && spim_wb_req && spim_wb_req_l) ;
-       end
+      if(spi_init_done && spim_reg_ack == 0) begin
+         if (spim_fifo_wdata_req && (spim_m1_wrdy == 1)) begin // Indirect Memory Write
+	     // If FIFO Write DATA case, Make sure that there no previous pending
+	     // need to processed
+             spim_reg_ack  <= 1'b1;
+	 end else if (spim_reg_req && spim_reg_we && (spim_reg_addr != REG_SPIWDATA)) begin // Indirect memory Write
+             spim_reg_ack  <= 1'b1;
+	 end else if (spim_fifo_rdata_req && (spim_m1_rrdy == 1)) begin // Indirect mem Read
+	     // If FIFO Read DATA case, Make sure that there Data is read from
+             // External SPI Memory
+             spim_reg_ack  <= 1'b1;
+             spim_reg_rdata <= reg_rdata;
+	end else if (spim_reg_req && spim_reg_we == 0 && (spim_reg_addr != REG_SPIRDATA)) begin // Normal Read
+	     // Read other than FIFO Read Data case
+             spim_reg_ack  <= 1'b1;
+             spim_reg_rdata <= reg_rdata;
+	end
+      end else begin
+         spim_reg_ack <= 1'b0;
+      end
    end
 end
 
-  wire [3:0] reg_addr = spim_wb_addr[5:2];
+  //---------------------------------------------
+  // Manges the initial Config Phase of SPI Memory
+  // 1. Power Up Command -  RES(0xAB) 
+  // 2. Write Enable Command - WEN (0x06)
+  // 3. WRITE CONFIG Reg - WRR (0x01) - Set Qaud Mode
+  // --------------------------------------------
+  
+  logic  [9:0]          cfg_exit_cnt  ;
+  assign cfg_exit_cnt = (fast_sim_mode) ? 100: 1000;
+
   integer byte_index;
   always_ff @(negedge rst_n or posedge mclk) begin
     if ( rst_n == 1'b0 ) begin
-      reg2spi_swrst         <= 1'b0;
-      reg2spi_rd            <= 1'b0;
-      reg2spi_wr            <= 1'b0;
-      reg2spi_qrd           <= 1'b0;
-      reg2spi_qwr           <= 1'b0;
-      reg2spi_cmd           <=  'h0;
-      reg2spi_addr          <=  'h0;
-      reg2spi_cmd_len       <=  'h0;
-      reg2spi_addr_len      <=  'h0;
-      reg2spi_data_len      <=  'h0;
-      reg2spi_wdata         <=  'h0;
-      reg2spi_mode_enb      <=  'h0;
-      reg2spi_mode          <=  'h0;
-      reg2spi_dummy_rd_len  <=  'h0;
-      reg2spi_dummy_wr_len  <=  'h0;
-      reg2spi_csreg         <=  'h0;
-      reg2spi_req           <=  'h0;
-      spi_clk_div           <=  'h2;
+      cfg_m0_fsm_reset      <= 'h0;
+      cfg_m0_cs_reg         <= P_CS0;
+      cfg_m0_spi_mode       <= P_QUAD;
+      cfg_m0_spi_switch     <= P_MODE_SWITCH_AT_ADDR;
+      cfg_m0_cmd_reg        <= P_QIOR;
+      cfg_m0_mode_reg       <= 'h0;
+      cfg_m0_spi_seq[3:0]   <= P_FSM_CAMDR;
+      cfg_m0_addr_cnt[1:0]  <= P_24BIT;
+      cfg_m0_dummy_cnt[1:0] <= P_16BIT;
+      cfg_m0_data_cnt[7:0]  <= 4; // 4 Byte
+
+      cfg_m1_fsm_reset      <= 'h0;
+      cfg_m1_cs_reg         <= P_CS0;
+      cfg_m1_spi_mode       <= P_QUAD;
+      cfg_m1_spi_switch     <= P_MODE_SWITCH_AT_DATA;
+      cfg_m1_cmd_reg        <= P_QOR;
+      cfg_m1_mode_reg       <= 'h0;
+      cfg_m1_spi_seq[3:0]   <= P_FSM_CADR;
+      cfg_m1_addr_cnt[1:0]  <= P_24BIT;
+      cfg_m1_dummy_cnt[1:0] <= P_8BIT;
+      cfg_m1_data_cnt[7:0]  <= 0;
+      cfg_m1_req            <= 0; 
+      cfg_m1_wrdy           <= 1'b0;
+      cfg_m1_wdata          <= 'h0; // Not Used
+
+      cfg_cs_early         <= 'h1;
+      cfg_cs_late          <= 'h1;
+      spi_clk_div          <= 'h2;
+
       spi_init_done         <=  'h0;
-      spi_init_state        <=  SPI_INIT_IDLE;
-    end
-    else if (spi_init_done == 0) begin
-       case(spi_init_state)
-	   SPI_INIT_IDLE:
-	   begin
-              reg2spi_rd        <= 'h0;
-              reg2spi_wr        <= 'h1; // SPI Write Req
-              reg2spi_qrd       <= 'h0;
-              reg2spi_qwr       <= 'h0;
-              reg2spi_swrst     <= 'h0;
-              reg2spi_csreg     <= 'h1;
-              reg2spi_cmd[7:0]  <= 'hAB; // POWER UP command
-              reg2spi_mode[7:0] <= 'h0;
-              reg2spi_cmd_len   <= 'h8;
-              reg2spi_addr_len  <= 'h0;
-              reg2spi_data_len  <= 'h0;
-              reg2spi_wdata     <= 'h0;
-	      reg2spi_req       <= 'h1;
-              spi_init_state    <=  SPI_INIT_CMD_WAIT;
-	   end
-	   SPI_INIT_CMD_WAIT:
-	   begin
-	      if(spi_ack)   begin
-	         reg2spi_req      <= 1'b0;
-                 spi_init_state    <=  SPI_INIT_WREN_CMD;
-	      end
-           end
-	   SPI_INIT_WREN_CMD:
-	   begin
-              reg2spi_rd        <= 'h0;
-              reg2spi_wr        <= 'h1; // SPI Write Req
-              reg2spi_qrd       <= 'h0;
-              reg2spi_qwr       <= 'h0;
-              reg2spi_swrst     <= 'h0;
-              reg2spi_csreg     <= 'h1;
-              reg2spi_cmd[7:0]  <= 'h6; // WREN command
-              reg2spi_mode[7:0] <= 'h0;
-              reg2spi_cmd_len   <= 'h8;
-              reg2spi_addr_len  <= 'h0;
-              reg2spi_data_len  <= 'h0;
-              reg2spi_wdata     <= 'h0;
-	      reg2spi_req       <= 'h1;
-              spi_init_state    <=  SPI_INIT_WREN_WAIT;
-	   end
-	   SPI_INIT_WREN_WAIT:
-	   begin
-	      if(spi_ack)   begin
-	         reg2spi_req      <= 1'b0;
-                 spi_init_state    <=  SPI_INIT_WRR_CMD;
-	      end
-	   end
-	   SPI_INIT_WRR_CMD:
-	   begin
-              reg2spi_rd        <= 'h0;
-              reg2spi_wr        <= 'h1; // SPI Write Req
-              reg2spi_qrd       <= 'h0;
-              reg2spi_qwr       <= 'h0;
-              reg2spi_swrst     <= 'h0;
-              reg2spi_csreg     <= 'h1;
-              reg2spi_cmd[7:0]  <= 'h1; // WRR command
-              reg2spi_mode[7:0] <= 'h0;
-              reg2spi_cmd_len   <= 'h8;
-              reg2spi_addr_len  <= 'h0;
-              reg2spi_data_len  <= 'h10;
-              reg2spi_wdata     <= {8'h0,8'h2,16'h0}; // <sr1[7:0]><<cr1[7:0]><16'h0> cr1[1] = 1 indicate quad mode
-	      reg2spi_req       <= 'h1;
-              spi_init_state    <=  SPI_INIT_WRR_WAIT;
-	   end
-	   SPI_INIT_WRR_WAIT:
-	   begin
-	      if(spi_ack)   begin
-	         reg2spi_req      <= 1'b0;
-                 spi_init_done    <=  'h1;
-	      end
-	   end
-       endcase
-    end else if (spim_reg_req & spim_wb_we )
-    begin
-      case(reg_addr)
-        REG_CTRL:
-        begin
-          if ( spim_wb_be[0] == 1 )
-          begin
-            reg2spi_rd    <= spim_wb_wdata[0];
-            reg2spi_wr    <= spim_wb_wdata[1];
-            reg2spi_qrd   <= spim_wb_wdata[2];
-            reg2spi_qwr   <= spim_wb_wdata[3];
-            reg2spi_swrst <= spim_wb_wdata[4];
-	    reg2spi_req   <= 1'b1;
-          end
-          if ( spim_wb_be[1] == 1 )
-          begin
-            reg2spi_csreg <= spim_wb_wdata[11:8];
-          end
-        end
-        REG_CLKDIV:
-          if ( spim_wb_be[0] == 1 )
-          begin
-            spi_clk_div <= spim_wb_wdata[7:0];
-          end
-        REG_SPICMD: begin
-          if ( spim_wb_be[0] == 1 )
-              reg2spi_cmd[7:0] <= spim_wb_wdata[7:0];
-          if ( spim_wb_be[1] == 1 )
-              reg2spi_mode[7:0] <= spim_wb_wdata[15:8];
-          end
-        REG_SPIADR:
-          for (byte_index = 0; byte_index < 4; byte_index = byte_index+1 )
-            if ( spim_wb_be[byte_index] == 1 )
-              reg2spi_addr[byte_index*8 +: 8] <= spim_wb_wdata[(byte_index*8) +: 8];
-        REG_SPILEN:
-        begin
-          if ( spim_wb_be[0] == 1 ) begin
-               reg2spi_mode_enb <= spim_wb_wdata[6];
-               reg2spi_cmd_len  <= spim_wb_wdata[5:0];
-          end
-          if ( spim_wb_be[1] == 1 )
-            reg2spi_addr_len <= spim_wb_wdata[13:8];
-          if ( spim_wb_be[2] == 1 )
-            reg2spi_data_len[7:0] <= spim_wb_wdata[23:16];
-          if ( spim_wb_be[3] == 1 )
-            reg2spi_data_len[15:8] <= spim_wb_wdata[31:24];
-        end
-        REG_SPIDUM:
-        begin
-          if ( spim_wb_be[0] == 1 )
-            reg2spi_dummy_rd_len[7:0]  <= spim_wb_wdata[7:0];
-          if ( spim_wb_be[1] == 1 )
-            reg2spi_dummy_rd_len[15:8] <= spim_wb_wdata[15:8];
-          if ( spim_wb_be[2] == 1 )
-            reg2spi_dummy_wr_len[7:0]  <= spim_wb_wdata[23:16];
-          if ( spim_wb_be[3] == 1 )
-            reg2spi_dummy_wr_len[15:8] <= spim_wb_wdata[31:24];
-        end
-	REG_SPIWDATA: begin
-           reg2spi_wdata     <= spim_wb_wdata;
-	end
-      endcase
-    end
-    else
-    begin
-      if(spi_ack && spim_reg_req)   
-	 reg2spi_req <= 1'b0;
-    end
+      spi_delay_cnt         <= 'h0;
+      spim_reg_req_f        <= 1'b0;
+      spi_init_state        <=  SPI_INIT_PWUP;
+    end else begin 
+        spim_reg_req_f        <= spim_reg_req; // Needed for finding Req Edge
+        if (spi_init_done == 0) begin
+          case(spi_init_state)
+
+              //----------------------------------------------
+              // SPI MEMORY Need minimum 5Us after power up
+              // With 100Mhz, 10ns translated to 500 cycle
+              // We are waiting 1000 cycle
+              // ---------------------------------------------
+              SPI_INIT_PWUP:begin
+                   if(spi_delay_cnt == cfg_exit_cnt) begin
+                       spi_init_state   <=  SPI_INIT_IDLE;
+           	end else begin
+           	    spi_delay_cnt <= spi_delay_cnt+1;
+           	end
+              end
+
+              SPI_INIT_IDLE:
+              begin
+                 cfg_m1_cs_reg        <= P_CS0;
+                 cfg_m1_spi_mode      <= P_SINGLE;
+                 cfg_m1_spi_seq[3:0]  <= P_FSM_C;
+                 cfg_m1_spi_switch    <= '0;
+                 cfg_m1_cmd_reg       <= P_RES;
+                 cfg_m1_mode_reg      <= 'h0; // Not Used
+                 cfg_m1_addr_cnt[1:0] <= 'h0; // Not Used
+                 cfg_m1_dummy_cnt[1:0]<= 'h0; // Not Used
+                 cfg_m1_data_cnt[7:0] <= 'h0; // Not Used
+                 cfg_m1_addr          <= 'h0; // Not Used
+                 cfg_m1_wdata         <= 'h0; // Not Used
+                 cfg_m1_req           <= 'h1;
+                 spi_init_state       <=  SPI_INIT_CMD_WAIT;
+              end
+              SPI_INIT_CMD_WAIT:
+              begin
+                 if(spim_m1_ack)   begin
+                    cfg_m1_req       <= 1'b0;
+                    spi_init_state   <=  SPI_INIT_WREN_CMD;
+                 end
+              end
+              SPI_INIT_WREN_CMD:
+              begin
+                 cfg_m1_cs_reg        <= P_CS0;
+                 cfg_m1_spi_mode      <= P_SINGLE;
+                 cfg_m1_spi_seq[3:0]  <= P_FSM_C;
+                 cfg_m1_spi_switch    <= '0;
+                 cfg_m1_cmd_reg       <= P_WEN;
+                 cfg_m1_mode_reg      <= 'h0; // Not Used
+                 cfg_m1_addr_cnt[1:0] <= 'h0; // Not Used
+                 cfg_m1_dummy_cnt[1:0]<= 'h0; // Not Used
+                 cfg_m1_data_cnt[7:0] <= 'h0; // Not Used
+                 cfg_m1_addr          <= 'h0; // Not Used
+                 cfg_m1_wdata         <= 'h0; // Not Used
+                 cfg_m1_req           <= 'h1;
+                 spi_init_state       <=  SPI_INIT_WREN_WAIT;
+              end
+              SPI_INIT_WREN_WAIT:
+              begin
+                 if(spim_m1_ack)   begin
+                    cfg_m1_req      <= 1'b0;
+                    spi_init_state    <=  SPI_INIT_WRR_CMD;
+                 end
+              end
+              SPI_INIT_WRR_CMD:
+              begin
+                 cfg_m1_cs_reg        <= P_CS0;
+                 cfg_m1_spi_mode      <= P_SINGLE;
+                 cfg_m1_spi_seq[3:0]  <= P_FSM_CW;
+                 cfg_m1_spi_switch    <= '0;
+                 cfg_m1_cmd_reg       <= P_WRR;
+                 cfg_m1_mode_reg      <= 'h0; 
+                 cfg_m1_addr_cnt[1:0] <= 'h0; 
+                 cfg_m1_dummy_cnt[1:0]<= 'h0; 
+                 cfg_m1_data_cnt[7:0] <= 'h2; // 2 Bytes
+                 cfg_m1_addr          <= 'h0; 
+                 cfg_m1_wrdy          <= 1'b1;
+                 cfg_m1_wdata         <= {16'h0,8'h2,8'h0}; // <<cr1[7:0]><sr1[7:0]>> cr1[1] = 1 indicate quad mode
+                 cfg_m1_req           <= 'h1;
+                 spi_init_state       <=  SPI_INIT_WRR_WAIT;
+              end
+              SPI_INIT_WRR_WAIT:
+              begin
+                 if(spim_m1_ack)   begin
+		    spi_delay_cnt    <= 'h0;
+                    cfg_m1_wrdy      <= 1'b0;
+                    cfg_m1_req       <= 1'b0;
+                    spi_init_state   <=  SPI_INIT_WAIT;
+                 end
+              end
+              SPI_INIT_WAIT:
+              begin // SPI MEMORY need 5us after WRR Command
+                   if(spi_delay_cnt == cfg_exit_cnt) begin
+                       spi_init_done    <=  'h1;
+           	end else begin
+           	    spi_delay_cnt <= spi_delay_cnt+1;
+           	end
+              end
+          endcase
+       end else if (spim_reg_req && spim_reg_we && spi_init_done )
+       begin
+         case(spim_reg_addr)
+         GLBL_CTRL: begin
+             if ( spim_reg_be[0] == 1 ) begin
+                cfg_cs_early  <= spim_reg_wdata[1:0];
+                cfg_cs_late   <= spim_reg_wdata[3:2];
+             end
+             if ( spim_reg_be[1] == 1 ) begin
+                spi_clk_div <= spim_reg_wdata[15:8];
+             end
+         end
+        MEM_CTRL1: begin // This register control Direct Memory Access Type
+             if ( spim_reg_be[0] == 1 ) begin
+               cfg_m0_cs_reg    <= spim_reg_wdata[3:0]; // Chip Select for Memory Interface
+               cfg_m0_spi_mode  <= spim_reg_wdata[5:4]; // SPI Mode, 0 - Normal, 1- Double, 2 - Qard
+               cfg_m0_spi_switch<= spim_reg_wdata[7:6]; // Phase where to switch the SPI Mode
+             end
+             if ( spim_reg_be[1] == 1 ) begin
+               cfg_m0_fsm_reset <= spim_reg_wdata[8];
+             end
+         end
+         MEM_CTRL2: begin // This register control Direct Memory Access Type
+             if ( spim_reg_be[0] == 1 ) begin
+                cfg_m0_cmd_reg <= spim_reg_wdata[7:0];
+             end
+             if ( spim_reg_be[1] == 1 ) begin
+                cfg_m0_mode_reg <= spim_reg_wdata[15:8];
+             end
+             if ( spim_reg_be[2] == 1 ) begin
+                cfg_m0_spi_seq[3:0]  <= spim_reg_wdata[19:16];
+                cfg_m0_addr_cnt[1:0] <= spim_reg_wdata[21:20];
+                cfg_m0_dummy_cnt[1:0]<= spim_reg_wdata[23:22];
+             end
+             if ( spim_reg_be[3] == 1 ) begin
+               cfg_m0_data_cnt[7:0]  <= spim_reg_wdata[31:24];
+             end
+         end
+         REG_CTRL1: begin
+             if ( spim_reg_be[0] == 1 ) begin
+               cfg_m1_cs_reg    <= spim_reg_wdata[3:0]; // Chip Select for Memory Interface
+               cfg_m1_spi_mode  <= spim_reg_wdata[5:4]; // SPI Mode, 0 - Normal, 1- Double, 2 - Qard
+               cfg_m1_spi_switch<= spim_reg_wdata[7:6]; // Phase where to switch the SPI Mode
+             end
+             if ( spim_reg_be[0] == 1 ) begin
+               cfg_m1_fsm_reset <= spim_reg_wdata[8];
+             end
+         end
+         REG_CTRL2: begin // This register control Direct Memory Access Type
+             if ( spim_reg_be[0] == 1 ) begin
+                cfg_m1_cmd_reg <= spim_reg_wdata[7:0];
+             end
+             if ( spim_reg_be[1] == 1 ) begin
+                cfg_m1_mode_reg <= spim_reg_wdata[15:8];
+             end
+             if ( spim_reg_be[2] == 1 ) begin
+                cfg_m1_spi_seq[3:0]  <= spim_reg_wdata[19:16];
+                cfg_m1_addr_cnt[1:0] <= spim_reg_wdata[21:20];
+                cfg_m1_dummy_cnt[1:0]<= spim_reg_wdata[23:22];
+             end
+             if ( spim_reg_be[3] == 1 ) begin
+                cfg_m1_data_cnt[7:0]  <= spim_reg_wdata[31:24];
+             end
+         end
+         REG_SPIADR: begin
+           for (byte_index = 0; byte_index < 4; byte_index = byte_index+1 )
+               if ( spim_reg_be[byte_index] == 1 )
+                 cfg_m1_addr[byte_index*8 +: 8] <= spim_reg_wdata[(byte_index*8) +: 8];
+         end
+         endcase
+         end 
+     end 
   end 
 
 
@@ -422,36 +545,254 @@
     begin
       reg_rdata = '0;
       if(spim_reg_req) begin
-          case(reg_addr)
-            REG_CTRL:
-                    reg_rdata[31:0] =  { 20'h0, 
-            	                     reg2spi_csreg,
-            	                     3'b0,
-            	                     reg2spi_swrst,
-            	                     reg2spi_qwr,
-            	                     reg2spi_qrd,
-            	                     reg2spi_wr,
-            	                     reg2spi_rd};
-
-            REG_CLKDIV:
-                    reg_rdata[31:0] = {24'h0,spi_clk_div};
-            REG_SPICMD:
-                    reg_rdata[31:0] = {16'h0,reg2spi_mode,reg2spi_cmd};
-            REG_SPIADR:
-                    reg_rdata[31:0] = reg2spi_addr;
-            REG_SPILEN:
-                    reg_rdata[31:0] = {reg2spi_data_len,2'b00,reg2spi_addr_len,1'b0,reg2spi_mode_enb,reg2spi_cmd_len};
-            REG_SPIDUM:
-                    reg_rdata[31:0] = {reg2spi_dummy_wr_len,reg2spi_dummy_rd_len};
-            REG_SPIWDATA:
-                    reg_rdata[31:0] = reg2spi_wdata;
-            REG_SPIRDATA:
-                    reg_rdata[31:0] = spim_reg_rdata;
-            REG_STATUS:
-                    reg_rdata[31:0] = {23'h0,spi_status};
+          case(spim_reg_addr)
+            GLBL_CTRL:   reg_rdata[31:0] = {16'h0,spi_clk_div,4'h0,cfg_cs_late,cfg_cs_early};
+	    MEM_CTRL1:    reg_rdata[31:0] =  {23'h0,cfg_m0_fsm_reset,cfg_m0_spi_switch,cfg_m0_spi_mode,cfg_m0_cs_reg};
+	    MEM_CTRL2:    reg_rdata[31:0] =  {cfg_m0_data_cnt,cfg_m0_dummy_cnt,cfg_m0_addr_cnt,cfg_m0_spi_seq,cfg_m0_mode_reg,cfg_m0_cmd_reg};
+            REG_CTRL1:    reg_rdata[31:0] =  {23'h0, cfg_m1_fsm_reset,cfg_m1_spi_switch,cfg_m1_spi_mode,cfg_m1_cs_reg};
+	    REG_CTRL2:    reg_rdata[31:0] =  {cfg_m1_data_cnt,cfg_m1_dummy_cnt,cfg_m1_addr_cnt,cfg_m1_spi_seq,cfg_m1_mode_reg,cfg_m1_cmd_reg};
+            REG_SPIADR:   reg_rdata[31:0] = cfg_m1_addr;
+            REG_SPIWDATA: reg_rdata[31:0] = cfg_m1_wdata;
+            REG_SPIRDATA: reg_rdata[31:0] = cfg_m1_rdata;
+            REG_STATUS:   reg_rdata[31:0] = spi_debug;
           endcase
        end
     end 
 
+// FSM
+
+always_ff @(negedge rst_n or posedge mclk) begin
+    if ( rst_n == 1'b0 ) begin
+	cur_cnt <= 'h0;
+	state    <= FSM_IDLE;
+    end else begin
+       if(cfg_m1_fsm_reset) begin
+          cur_cnt <= 'h0;
+	  state    <= FSM_IDLE;
+       end else begin
+           cur_cnt <= next_cnt;
+       	   state <= next_state;
+       end
+    end
+end
+
+/***********************************************************************************
+* This block interface with WishBone Request and Write Command & Read Response FIFO
+* **********************************************************************************/
+
+logic [7:0] cfg_data_cnt;
+logic [31:0] spim_fifo_wdata;
+logic       spim_fifo_req;
+assign cfg_data_cnt = cfg_m1_data_cnt-1;
+
+assign spim_fifo_req = cfg_m1_req || spim_fifo_rdata_req || spim_fifo_wdata_req;
+
+assign spim_fifo_wdata = (cfg_m1_req) ?  cfg_m1_wdata :  spim_reg_wdata;
+
+always_comb
+begin
+   cmd_fifo_wr    = '0;
+   cmd_fifo_wdata = '0;
+
+   res_fifo_rd    = 0;
+   spim_m1_rdata   = '0;
+
+   spim_m1_ack    = 0;
+   spim_m1_rrdy   = 0;
+   next_cnt      = cur_cnt;
+   next_state    = state;
+   spim_m1_rrdy  = 0;
+   spim_m1_wrdy  = 0;
+   cfg_m1_rdata  = 0;
+
+   case(state)
+   FSM_IDLE:  begin
+	if(spim_fifo_req && cmd_fifo_empty) begin
+	   case(cfg_m1_spi_seq)
+	      P_FSM_C: begin
+	              cmd_fifo_wdata = {SOC,EOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+		                        cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+					cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	              next_state = FSM_ACK_PHASE;
+	      end
+	      P_FSM_CW: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_WRITE_PHASE;
+	      end
+	      P_FSM_CA: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	      P_FSM_CAR: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+              P_FSM_CADR: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	      P_FSM_CAMR: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	      P_FSM_CAMDR: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	      P_FSM_CAW: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	      P_FSM_CADW: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_ADR_PHASE;
+	      end
+	       P_FSM_CDR: begin
+	          cmd_fifo_wdata = {SOC,EOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_READ_PHASE;
+	       end
+	       P_FSM_CDW: begin
+	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
+			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
+				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	          next_state = FSM_WRITE_PHASE;
+	       end
+
+
+	   endcase
+	   cmd_fifo_wr    = 1;
+	end
+   end
+   // ADDRESS PHASE
+   FSM_ADR_PHASE: begin
+	  if(!cmd_fifo_full) begin
+	      case(cfg_m1_spi_seq)
+	         P_FSM_CA:   // COMMAND + ADDRESS PHASE
+	         begin
+                       cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	               next_state = FSM_ACK_PHASE;
+	         end
+	         P_FSM_CAR:  // COMMAND + ADDRESS + READ PHASE
+		 begin
+                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_READ_PHASE;
+	         end
+                 P_FSM_CADR: // COMMAND + ADDRESS + DUMMY + READ PHASE
+		 begin
+                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_READ_PHASE;
+	         end
+	         P_FSM_CAMR: // COMMAND + ADDRESS + MODE + READ PHASE
+		 begin
+                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_READ_PHASE;
+	         end
+	         P_FSM_CAMDR: // COMMAND + ADDRESS + MODE + DUMMY + READ PHASE
+		 begin
+                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_READ_PHASE;
+	         end
+
+		 P_FSM_CAW:begin
+                    cmd_fifo_wdata = {NOC,NOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_WRITE_PHASE;
+	         end
+		 P_FSM_CADW: begin
+                    cmd_fifo_wdata = {NOC,NOC,cfg_m1_addr[31:0]};
+	            next_cnt  = 'h0;
+	            next_state = FSM_WRITE_PHASE;
+	         end
+	      endcase
+              cmd_fifo_wr      = 1;
+	  end
+   end
+
+   //----------------------------------------------------------
+   // Check Resonse FIFO is not empty then read the data from response fifo
+   // ---------------------------------------------------------
+   FSM_READ_PHASE: begin
+	if(res_fifo_empty != 1 && spim_fifo_rdata_req) begin
+	   spim_m1_rrdy = 1;
+           cfg_m1_rdata = res_fifo_rdata;
+	   res_fifo_rd  = 1;
+	   if(cfg_data_cnt[7:2] == cur_cnt) begin
+	      next_state = FSM_ACK_PHASE;
+	   end else begin
+	      next_state = FSM_READ_BUSY;
+	      next_cnt  = cur_cnt+1;
+	    end
+	 end
+   end
+   //----------------------------------------------
+   // Wait for Previous Read Data Read
+   // ---------------------------------------------
+   FSM_READ_BUSY: begin
+        spim_m1_rrdy = 0;
+	if(spim_fifo_rdata_req == 0) begin
+           next_state    = FSM_READ_PHASE;
+	end
+   end
+
+   //----------------------------------------------------------
+   // Check command FIFO is not full and Write Data is available
+   // ---------------------------------------------------------
+   FSM_WRITE_PHASE: begin
+        if(cmd_fifo_full != 1 && spim_fifo_req) begin
+	   // If this a single word config cycle or 
+           // in crrent spim_fifo_wr request
+	   spim_m1_wrdy = 1;
+	   if(cfg_data_cnt[7:2] == cur_cnt) begin
+              cmd_fifo_wdata = {NOC,EOC,spim_fifo_wdata[31:0]};
+	      next_state     = FSM_ACK_PHASE;
+	   end else begin
+              cmd_fifo_wdata = {NOC,NOC,spim_fifo_wdata[31:0]};
+	      next_state     = FSM_WRITE_BUSY;
+	      next_cnt      = cur_cnt+1;
+	    end
+	   cmd_fifo_wr  = 1;
+	 end
+   end
+   //----------------------------------------------
+   // Wait for NEXT Data Ready
+   // ---------------------------------------------
+   FSM_WRITE_BUSY: begin
+	spim_m1_wrdy = 0;
+	if(spim_fifo_wdata_req == 0) begin
+           next_state    = FSM_WRITE_PHASE;
+	end
+   end
+
+   FSM_ACK_PHASE: begin
+	   spim_m1_ack = 1;
+	   next_state = FSM_IDLE;
+	end
+
+   endcase
+
+
+end
 
 endmodule
diff --git a/verilog/rtl/spi_master/src/spim_rx.sv b/verilog/rtl/spi_master/src/spim_rx.sv
index 7832a8e..6e183de 100644
--- a/verilog/rtl/spi_master/src/spim_rx.sv
+++ b/verilog/rtl/spi_master/src/spim_rx.sv
@@ -69,6 +69,7 @@
 (
     input  logic        clk,
     input  logic        rstn,
+    input  logic        flush,
     input  logic        en,
     input  logic        rx_edge,
     output logic        rx_done,
@@ -91,20 +92,19 @@
   logic [15:0] counter_trgt;
   logic [15:0] counter_next;
   logic        reg_done;
+  logic        data_valid_i;
   enum logic [1:0] { IDLE, RECEIVE, WAIT_FIFO, WAIT_FIFO_DONE } rx_CS, rx_NS;
 
 
   assign reg_done  = (!en_quad_in && (counter[4:0] == 5'b11111)) || (en_quad_in && (counter[2:0] == 3'b111));
 
-  // RISV is little endian, so data is converted to little endian format
-  assign data = (ENDIEAN) ? data_int_next : {data_int_next[7:0],data_int_next[15:8],data_int_next[23:16],data_int_next[31:24]};
 
 
   always_comb
   begin
     rx_NS         = rx_CS;
     data_int_next = data_int;
-    data_valid    = 1'b0;
+    data_valid_i    = 1'b0;
     counter_next  = counter;
 
     case (rx_CS)
@@ -127,14 +127,14 @@
 
           if (rx_done) begin
             counter_next = 0;
-            data_valid   = 1'b1;
+            data_valid_i   = 1'b1;
 
             if (data_ready)
               rx_NS = IDLE;
             else
               rx_NS = WAIT_FIFO_DONE;
           end else if (reg_done) begin
-            data_valid = 1'b1;
+            data_valid_i = 1'b1;
 
             if (~data_ready) begin
               // no space in the FIFO, wait for free space
@@ -145,13 +145,13 @@
       end
 
       WAIT_FIFO_DONE: begin
-        data_valid = 1'b1;
+        data_valid_i = 1'b1;
         if (data_ready)
           rx_NS = IDLE;
       end
 
       WAIT_FIFO: begin
-        data_valid = 1'b1;
+        data_valid_i = 1'b1;
         if (data_ready)
           rx_NS = RECEIVE;
       end
@@ -168,20 +168,31 @@
       data_int     <= '0;
       rx_done      <= '0;
       clk_en_o     <= '0;
+      data         <= 'b0;
+      data_valid   <= 1'b0;
       rx_CS        <= IDLE;
-    end
-    else
-    begin
-      if (rx_edge) begin
-         counter      <= counter_next;
-         data_int     <= data_int_next;
-         rx_CS        <= rx_NS;
-         rx_done      <= (counter_next == (counter_trgt-1)) && (rx_NS == RECEIVE);
-         clk_en_o     <= (rx_NS == RECEIVE);
-      end
+    end else if(flush) begin
+        counter      <= 0;
+        counter_trgt <= 'h8;
+        data_int     <= '0;
+        rx_done      <= '0;
+        clk_en_o     <= '0;
+        data         <= 'b0;
+        data_valid   <= 1'b0;
+        rx_CS        <= IDLE;
+    end else begin
+       data_valid <= data_valid_i;
+       data <= (ENDIEAN) ? data_int_next : {data_int_next[7:0],data_int_next[15:8],data_int_next[23:16],data_int_next[31:24]};
+       if (rx_edge) begin
+          counter      <= counter_next;
+          data_int     <= data_int_next;
+          rx_CS        <= rx_NS;
+          rx_done      <= (counter_next == (counter_trgt-1)) && (rx_NS == RECEIVE);
+          clk_en_o     <= (rx_NS == RECEIVE);
+       end
        if (en && counter_in_upd) begin
-          counter_trgt <= (en_quad_in) ? {2'b00,counter_in[15:2]} : counter_in;
-	end
+           counter_trgt <= (en_quad_in) ? {2'b00,counter_in[15:2]} : counter_in;
+       end
     end
   end
 
diff --git a/verilog/rtl/spi_master/src/spim_top.sv b/verilog/rtl/spi_master/src/spim_top.sv
index 98c7a66..fbb25b8 100644
--- a/verilog/rtl/spi_master/src/spim_top.sv
+++ b/verilog/rtl/spi_master/src/spim_top.sv
@@ -25,9 +25,23 @@
 ////                                                              ////
 ////  Description                                                 ////
 ////     SPI Master Top module                                    ////
+////     There are two seperate Data path managed here            ////
+////     with seperate command and response memory                ////
+////     Master-0 : This is targetted for CORE IMEM request       ////
+////                and expect only Read access                   ////
+////     Master-1: This is targetted to CORE DMEM or              ////
+////               Indirect Memory access, Both Write and Read    ////
+////               accesss are supported.                         ////
+////               Upto 255 Byte Read/Write Burst supported       ////
+////    Limitation:                                               ////
+////       1.  Write/Read FIFO Abort case not managed, expect     ////
+////               user to clearly close the busrt request        ////
+////       2.  Wishbone Request abort not yet supported.          ////
+////       3.  Write access through M0 Port not supported         ////
 ////                                                              ////
 ////  To Do:                                                      ////
-////    nothing                                                   ////
+////    1. Add support for WishBone request timout                ////
+////    2. Add Pre-fetch feature for M0 Port                      ////
 ////                                                              ////
 ////  Author(s):                                                  ////
 ////      - Dinesh Annayya, dinesha@opencores.org                 ////
@@ -83,54 +97,95 @@
     output logic                         wbd_ack_o, // acknowlegement
     output logic                         wbd_err_o,  // error
 
-    output logic                   [1:0] events_o,
+    output logic                 [31:0]  spi_debug,
 
     // PAD I/f
-    input  [5:0]                         io_in    ,
-    output  [5:0]                        io_out   ,
-    output  [5:0]                        io_oeb
+    input  logic [5:0]                   io_in    ,
+    output logic  [5:0]                  io_out   ,
+    output logic  [5:0]                  io_oeb
 
 );
 
 
+   
+    logic                   [7:0] spi_clk_div      ;
 
-    logic   [5:0] spi_clk_div;
-    logic         spi_req;
-    logic         spi_ack;
-    logic  [31:0] spi_addr;
-    logic   [5:0] spi_addr_len;
-    logic  [7:0]  spi_cmd;
-    logic   [5:0] spi_cmd_len;
-    logic  [7:0]  spi_mode_cmd;
-    logic         spi_mode_cmd_enb;
-    logic  [15:0] spi_data_len;
-    logic  [15:0] spi_dummy_rd_len;
-    logic  [15:0] spi_dummy_wr_len;
-    logic         spi_swrst;
-    logic         spi_rd;
-    logic         spi_wr;
-    logic         spi_qrd;
-    logic         spi_qwr;
-    logic [31:0]  spi_wdata;
-    logic [31:0]  spi_rdata;
-    logic   [3:0] spi_csreg;
-    logic  [31:0] spi_data_tx;
-    logic         spi_data_tx_valid;
-    logic         spi_data_tx_ready;
-    logic  [31:0] spi_data_rx;
-    logic         spi_data_rx_valid;
-    logic         spi_data_rx_ready;
-    logic   [8:0] spi_ctrl_status;
-    logic  [31:0] spi_ctrl_data_tx;
-    logic         spi_ctrl_data_tx_valid;
-    logic         spi_ctrl_data_tx_ready;
-    logic  [31:0] spi_ctrl_data_rx;
-    logic         spi_ctrl_data_rx_valid;
-    logic         spi_ctrl_data_rx_ready;
-    logic  [31:0] reg2spi_wdata;
+    // Master 0 Configuration
+    logic                         cfg_m0_fsm_reset ;
+    logic [3:0]                   cfg_m0_cs_reg    ;  // Chip select
+    logic [1:0]                   cfg_m0_spi_mode  ;  // Final SPI Mode 
+    logic [1:0]                   cfg_m0_spi_switch;  // SPI Mode Switching Place
+    logic [3:0]                   cfg_m0_spi_seq   ;  // SPI SEQUENCE
+    logic [1:0]                   cfg_m0_addr_cnt  ;  // SPI Addr Count
+    logic [1:0]                   cfg_m0_dummy_cnt ;  // SPI Dummy Count
+    logic [7:0]                   cfg_m0_data_cnt  ;  // SPI Read Count
+    logic [7:0]                   cfg_m0_cmd_reg   ;  // SPI MEM COMMAND
+    logic [7:0]                   cfg_m0_mode_reg  ;  // SPI MODE REG
 
-    logic         s_eot;
+    logic [3:0]                   cfg_m1_cs_reg    ;  // Chip select
+    logic [1:0]                   cfg_m1_spi_mode  ;  // Final SPI Mode 
+    logic [1:0]                   cfg_m1_spi_switch;  // SPI Mode Switching Place
 
+    logic [1:0]                   cfg_cs_early     ;  // Amount of cycle early CS asserted
+    logic [1:0]                   cfg_cs_late      ;  // Amount of cycle late CS de-asserted
+
+    // Towards Reg I/F
+    logic                         spim_reg_req     ;   // Reg Request
+    logic [3:0]                   spim_reg_addr    ;   // Reg Address
+    logic                         spim_reg_we      ;   // Reg Write/Read Command
+    logic [3:0]                   spim_reg_be      ;   // Reg Byte Enable
+    logic [31:0]                  spim_reg_wdata   ;   // Reg Write Data
+    logic                         spim_reg_ack     ;   // Read Ack
+    logic [31:0]                  spim_reg_rdata   ;   // Read Read Data
+
+    // Towards m0 Command FIFO
+    logic                         m0_cmd_fifo_full    ;   // Command FIFO full
+    logic                         m0_cmd_fifo_empty   ;   // Command FIFO empty
+    logic                         m0_cmd_fifo_wr      ;   // Command FIFO Write
+    logic                         m0_cmd_fifo_rd      ;   // Command FIFO read
+    logic [33:0]                  m0_cmd_fifo_wdata   ;   // Command FIFO WData
+    logic [33:0]                  m0_cmd_fifo_rdata   ;   // Command FIFO RData
+    
+    // Towards m0 Response FIFO
+    logic                         m0_res_fifo_full    ;   // Response FIFO Empty
+    logic                         m0_res_fifo_empty   ;   // Response FIFO Empty
+    logic                         m0_res_fifo_wr      ;   // Response FIFO Write
+    logic                         m0_res_fifo_rd      ;   // Response FIFO Read
+    logic [31:0]                  m0_res_fifo_wdata   ;   // Response FIFO WData
+    logic [31:0]                  m0_res_fifo_rdata   ;   // Response FIFO RData
+
+    // Towards m1 Command FIFO
+    logic                         m1_cmd_fifo_full    ;   // Command FIFO full
+    logic                         m1_cmd_fifo_empty   ;   // Command FIFO empty
+    logic                         m1_cmd_fifo_wr      ;   // Command FIFO Write
+    logic                         m1_cmd_fifo_rd      ;   // Command FIFO Write
+    logic [33:0]                  m1_cmd_fifo_wdata   ;   // Command FIFO WData
+    logic [33:0]                  m1_cmd_fifo_rdata   ;   // Command FIFO RData
+    
+    // Towards m0 Response FIFO
+    logic                         m1_res_fifo_full    ;   // Response FIFO Empty
+    logic                         m1_res_fifo_empty   ;   // Response FIFO Empty
+    logic                         m1_res_fifo_wr      ;   // Response FIFO Read
+    logic                         m1_res_fifo_rd      ;   // Response FIFO Read
+    logic [31:0]                  m1_res_fifo_wdata   ;   // Response FIFO WData
+    logic [31:0]                  m1_res_fifo_rdata   ;   // Response FIFO RData
+
+    logic                         m0_res_fifo_flush   ;   // m0 response fifo flush
+    logic                         m1_res_fifo_flush   ;   // m0 response fifo flush
+
+//-----------------------------------------------------
+// SPI Debug monitoring
+// ----------------------------------------------------
+    logic [8:0]   spi_ctrl_status       ;
+    logic [3:0]   m0_state         ;
+    logic [3:0]   m1_state         ;
+    logic [3:0]   ctrl_state        ;
+
+
+    assign spi_debug  =   {3'h0,
+		          m0_cmd_fifo_full,m0_cmd_fifo_empty,m0_res_fifo_full,m0_res_fifo_empty,
+		          m1_cmd_fifo_full,m1_cmd_fifo_empty,m1_res_fifo_full,m1_res_fifo_empty,
+		          ctrl_state[3:0], m0_state[3:0],m1_state[3:0],spi_ctrl_status};
 
 //-------------------------------------------------------
 // SPI Interface moved inside to support carvel IO pad 
@@ -151,6 +206,7 @@
 logic                          spi_sdi2;
 logic                          spi_sdi3;
 logic                          spi_en_tx;
+logic                          spi_init_done;
 
 
 assign  spi_sdi0  =  io_in[2];
@@ -169,17 +225,10 @@
 assign  io_oeb[1] =  1'b0;         // spi_csn
 assign  io_oeb[2] =  !spi_en_tx;   // spi_dio0
 assign  io_oeb[3] =  !spi_en_tx;   // spi_dio1
-assign  io_oeb[4] =  !spi_en_tx;   // spi_dio2
-assign  io_oeb[5] =  !spi_en_tx;   // spi_dio3
+assign  io_oeb[4] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio2
+assign  io_oeb[5] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio3
 
-
-
-    spim_regs
-    #(
-        .WB_WIDTH(WB_WIDTH)
-    )
-    u_spim_regs
-    (
+spim_if #( .WB_WIDTH(WB_WIDTH)) u_wb_if(
         .mclk                           (mclk                         ),
         .rst_n                          (rst_n                        ),
 
@@ -192,59 +241,199 @@
         .wbd_ack_o                      (wbd_ack_o                    ), // acknowlegement
         .wbd_err_o                      (wbd_err_o                    ),  // error
 
-        .spi_clk_div                    (spi_clk_div                  ),
-        .spi_status                     (spi_ctrl_status              ),
+    // Configuration
+        .cfg_fsm_reset                  (cfg_m0_fsm_reset             ),
+        .cfg_mem_seq                    (cfg_m0_spi_seq               ), // SPI MEM SEQUENCE
+        .cfg_addr_cnt                   (cfg_m0_addr_cnt              ), // SPI Addr Count
+        .cfg_dummy_cnt                  (cfg_m0_dummy_cnt             ), // SPI Dummy Count
+        .cfg_data_cnt                   (cfg_m0_data_cnt              ), // SPI Read Count
+        .cfg_cmd_reg                    (cfg_m0_cmd_reg               ), // SPI MEM COMMAND
+        .cfg_mode_reg                   (cfg_m0_mode_reg              ), // SPI MODE REG
 
+        .spi_init_done                  (spi_init_done                ), // SPI internal Init completed
 
-        .spi_req                        (spi_req                     ),
-        .spi_addr                       (spi_addr                     ),
-        .spi_addr_len                   (spi_addr_len                 ),
-        .spi_cmd                        (spi_cmd                      ),
-        .spi_cmd_len                    (spi_cmd_len                  ),
-        .spi_mode_cmd                   (spi_mode_cmd                 ),
-        .spi_mode_cmd_enb               (spi_mode_cmd_enb             ),
-        .spi_csreg                      (spi_csreg                    ),
-        .spi_data_len                   (spi_data_len                 ),
-        .spi_dummy_rd_len               (spi_dummy_rd_len             ),
-        .spi_dummy_wr_len               (spi_dummy_wr_len             ),
-        .spi_swrst                      (spi_swrst                    ),
-        .spi_rd                         (spi_rd                       ),
-        .spi_wr                         (spi_wr                       ),
-        .spi_qrd                        (spi_qrd                      ),
-        .spi_qwr                        (spi_qwr                      ),
-        .spi_wdata                      (spi_wdata                    ),
-        .spi_rdata                      (spi_rdata                    ),
-        .spi_ack                        (spi_ack                      )
+    // Towards Reg I/F
+        .spim_reg_req                   (spim_reg_req                 ), // Reg Request
+        .spim_reg_addr                  (spim_reg_addr                ), // Reg Address
+        .spim_reg_we                    (spim_reg_we                  ), // Reg Write/Read Command
+        .spim_reg_be                    (spim_reg_be                  ), // Reg Byte Enable
+        .spim_reg_wdata                 (spim_reg_wdata               ), // Reg Write Data
+        .spim_reg_ack                   (spim_reg_ack                 ), // Read Ack
+        .spim_reg_rdata                 (spim_reg_rdata               ), // Read Read Data
+
+    // Towards Command FIFO
+        .cmd_fifo_empty                 (m0_cmd_fifo_empty            ), // Command FIFO empty
+        .cmd_fifo_wr                    (m0_cmd_fifo_wr               ), // Command FIFO Write
+        .cmd_fifo_wdata                 (m0_cmd_fifo_wdata            ), // Command FIFO WData
+    
+    // Towards Response FIFO
+        .res_fifo_empty                 (m0_res_fifo_empty            ), // Response FIFO Empty
+        .res_fifo_rd                    (m0_res_fifo_rd               ), // Response FIFO Read
+        .res_fifo_rdata                 (m0_res_fifo_rdata            ), // Response FIFO Data
+
+	.state                          (m0_state                     )
+
     );
 
+
+    spim_regs
+    #(
+        .WB_WIDTH(WB_WIDTH)
+    )
+    u_spim_regs
+    (
+        .mclk                           (mclk                         ),
+        .rst_n                          (rst_n                        ),
+	.fast_sim_mode                  (1'b0                         ),
+
+        .spi_clk_div                    (spi_clk_div                  ),
+	.spi_init_done                  (spi_init_done                ),
+
+        .spi_debug                      (spi_debug                    ),
+
+        .cfg_m0_fsm_reset               (cfg_m0_fsm_reset             ),
+        .cfg_m0_cs_reg                  (cfg_m0_cs_reg                ), // Chip select
+        .cfg_m0_spi_mode                (cfg_m0_spi_mode              ), // Final SPI Mode 
+        .cfg_m0_spi_switch              (cfg_m0_spi_switch            ), // SPI Mode Switching Place
+        .cfg_m0_spi_seq                 (cfg_m0_spi_seq               ), // SPI SEQUENCE
+        .cfg_m0_addr_cnt                (cfg_m0_addr_cnt              ), // SPI Addr Count
+        .cfg_m0_dummy_cnt               (cfg_m0_dummy_cnt             ), // SPI Dummy Count
+        .cfg_m0_data_cnt                (cfg_m0_data_cnt              ), // SPI Read Count
+        .cfg_m0_cmd_reg                 (cfg_m0_cmd_reg               ), // SPI MEM COMMAND
+        .cfg_m0_mode_reg                (cfg_m0_mode_reg              ), // SPI MODE REG
+
+        .cfg_m1_cs_reg                  (cfg_m1_cs_reg                ), // Chip select
+        .cfg_m1_spi_mode                (cfg_m1_spi_mode              ), // Final SPI Mode 
+        .cfg_m1_spi_switch              (cfg_m1_spi_switch            ), // SPI Mode Switching Place
+
+	.cfg_cs_early                   (cfg_cs_early                 ),
+	.cfg_cs_late                    (cfg_cs_late                  ),
+
+    // Towards Reg I/F
+        .spim_reg_req                   (spim_reg_req                 ), // Reg Request
+        .spim_reg_addr                  (spim_reg_addr                ), // Reg Address
+        .spim_reg_we                    (spim_reg_we                  ), // Reg Write/Read Command
+        .spim_reg_be                    (spim_reg_be                  ), // Reg Byte Enable
+        .spim_reg_wdata                 (spim_reg_wdata               ), // Reg Write Data
+        .spim_reg_ack                   (spim_reg_ack                 ), // Read Ack
+        .spim_reg_rdata                 (spim_reg_rdata               ), // Read Read Data
+
+    // Towards Command FIFO
+        .cmd_fifo_full                  (m1_cmd_fifo_full             ), // Command FIFO empty
+        .cmd_fifo_empty                 (m1_cmd_fifo_empty            ), // Command FIFO empty
+        .cmd_fifo_wr                    (m1_cmd_fifo_wr               ), // Command FIFO Write
+        .cmd_fifo_wdata                 (m1_cmd_fifo_wdata            ), // Command FIFO WData
+    
+    // Towards Response FIFO
+        .res_fifo_full                  (m1_res_fifo_full             ), // Response FIFO Empty
+        .res_fifo_empty                 (m1_res_fifo_empty            ), // Response FIFO Empty
+        .res_fifo_rd                    (m1_res_fifo_rd               ), // Response FIFO Read
+        .res_fifo_rdata                 (m1_res_fifo_rdata            ),  // Response FIFO Data
+
+	.state                          (m1_state                     )
+
+    );
+
+ // Master 0 Command FIFO
+ spim_fifo #(.W(34), .DP(2)) u_m0_cmd_fifo (
+	 .clk                           (mclk                        ),
+         .reset_n                       (rst_n                       ),
+	 .flush                         (1'b0                        ),
+         .wr_en                         (m0_cmd_fifo_wr              ),
+         .wr_data                       (m0_cmd_fifo_wdata           ),
+         .full                          (m0_cmd_fifo_full            ),                 
+         .afull                         (                            ),                 
+         .rd_en                         (m0_cmd_fifo_rd              ),
+         .empty                         (m0_cmd_fifo_empty           ),                
+         .aempty                        (                            ),                
+         .rd_data                       (m0_cmd_fifo_rdata           )
+   );
+
+ // Master 0 Response FIFO
+ spim_fifo #(.W(32), .DP(4)) u_m0_res_fifo (
+	 .clk                           (mclk                        ),
+         .reset_n                       (rst_n                       ),
+	 .flush                         (m0_res_fifo_flush           ),
+         .wr_en                         (m0_res_fifo_wr              ),
+         .wr_data                       (m0_res_fifo_wdata           ),
+         .full                          (m0_res_fifo_full            ),                 
+         .afull                         (                            ),                 
+         .rd_en                         (m0_res_fifo_rd              ),
+         .empty                         (m0_res_fifo_empty           ),                
+         .aempty                        (                            ),                
+         .rd_data                       (m0_res_fifo_rdata           )
+   );
+
+ // Master 1 Command FIFO
+ spim_fifo #(.W(34), .DP(4)) u_m1_cmd_fifo (
+	 .clk                           (mclk                        ),
+         .reset_n                       (rst_n                       ),
+	 .flush                         (1'b0                        ),
+         .wr_en                         (m1_cmd_fifo_wr              ),
+         .wr_data                       (m1_cmd_fifo_wdata           ),
+         .full                          (m1_cmd_fifo_full            ),                 
+         .afull                         (                            ),                 
+         .rd_en                         (m1_cmd_fifo_rd              ),
+         .empty                         (m1_cmd_fifo_empty           ),                
+         .aempty                        (                            ),                
+         .rd_data                       (m1_cmd_fifo_rdata           )
+   );
+ // Master 1 Response FIFO
+ spim_fifo #(.W(32), .DP(2)) u_m1_res_fifo (
+	 .clk                           (mclk                        ),
+         .reset_n                       (rst_n                       ),
+	 .flush                         (m1_res_fifo_flush           ),
+         .wr_en                         (m1_res_fifo_wr              ),
+         .wr_data                       (m1_res_fifo_wdata           ),
+         .full                          (m1_res_fifo_full            ),                 
+         .afull                         (                            ),                 
+         .rd_en                         (m1_res_fifo_rd              ),
+         .empty                         (m1_res_fifo_empty           ),                
+         .aempty                        (                            ),                
+         .rd_data                       (m1_res_fifo_rdata           )
+   );
+
+
     spim_ctrl u_spictrl
     (
         .clk                            (mclk                         ),
         .rstn                           (rst_n                        ),
-        .eot                            (                             ),
 
         .spi_clk_div                    (spi_clk_div                  ),
         .spi_status                     (spi_ctrl_status              ),
 
-        .spi_req                        (spi_req                      ),
-        .spi_addr                       (spi_addr                     ),
-        .spi_addr_len                   (spi_addr_len                 ),
-        .spi_cmd                        (spi_cmd                      ),
-        .spi_cmd_len                    (spi_cmd_len                  ),
-        .spi_mode_cmd                   (spi_mode_cmd                 ),
-        .spi_mode_cmd_enb               (spi_mode_cmd_enb             ),
-        .spi_csreg                      (spi_csreg                    ),
-        .spi_data_len                   (spi_data_len                 ),
-        .spi_dummy_rd_len               (spi_dummy_rd_len             ),
-        .spi_dummy_wr_len               (spi_dummy_wr_len             ),
-        .spi_swrst                      (spi_swrst                    ),
-        .spi_rd                         (spi_rd                       ),
-        .spi_wr                         (spi_wr                       ),
-        .spi_qrd                        (spi_qrd                      ),
-        .spi_qwr                        (spi_qwr                      ),
-        .spi_wdata                      (spi_wdata                    ),
-        .spi_rdata                      (spi_rdata                    ),
-        .spi_ack                        (spi_ack                      ),
+        .cfg_m0_cs_reg                  (cfg_m0_cs_reg                ), // Chip select
+        .cfg_m0_spi_mode                (cfg_m0_spi_mode              ), // Final SPI Mode 
+        .cfg_m0_spi_switch              (cfg_m0_spi_switch            ), // SPI Mode Switching Place
+
+        .cfg_m1_cs_reg                  (cfg_m1_cs_reg                ), // Chip select
+        .cfg_m1_spi_mode                (cfg_m1_spi_mode              ), // Final SPI Mode 
+        .cfg_m1_spi_switch              (cfg_m1_spi_switch            ), // SPI Mode Switching Place
+
+	.cfg_cs_early                   (cfg_cs_early                 ),
+	.cfg_cs_late                    (cfg_cs_late                  ),
+
+	.m0_cmd_fifo_empty              (m0_cmd_fifo_empty            ),
+        .m0_cmd_fifo_rd                 (m0_cmd_fifo_rd               ),
+	.m0_cmd_fifo_rdata              (m0_cmd_fifo_rdata            ),
+
+	.m0_res_fifo_flush              (m0_res_fifo_flush            ),
+	.m0_res_fifo_empty              (m0_res_fifo_empty            ),
+	.m0_res_fifo_full               (m0_res_fifo_full             ),
+	.m0_res_fifo_wr                 (m0_res_fifo_wr               ),
+	.m0_res_fifo_wdata              (m0_res_fifo_wdata            ),
+
+	.m1_cmd_fifo_empty              (m1_cmd_fifo_empty            ),
+        .m1_cmd_fifo_rd                 (m1_cmd_fifo_rd               ),
+	.m1_cmd_fifo_rdata              (m1_cmd_fifo_rdata            ),
+
+	.m1_res_fifo_flush              (m1_res_fifo_flush            ),
+	.m1_res_fifo_empty              (m1_res_fifo_empty            ),
+	.m1_res_fifo_full               (m1_res_fifo_full             ),
+	.m1_res_fifo_wr                 (m1_res_fifo_wr               ),
+	.m1_res_fifo_wdata              (m1_res_fifo_wdata            ),
+
+	.ctrl_state                     (ctrl_state                   ),
 
         .spi_clk                        (spi_clk                      ),
         .spi_csn0                       (spi_csn0                     ),
diff --git a/verilog/rtl/spi_master/src/spim_tx.sv b/verilog/rtl/spi_master/src/spim_tx.sv
index 7a9284c..b904d33 100644
--- a/verilog/rtl/spi_master/src/spim_tx.sv
+++ b/verilog/rtl/spi_master/src/spim_tx.sv
@@ -73,6 +73,7 @@
     // General Input
     input  logic        clk,            // SPI clock
     input  logic        rstn,           // Active low Reset
+    input  logic        flush,          // init the state
     input  logic        en,             // Transmit Enable
     input  logic        tx_edge,        // Transmiting Edge
     output logic        tx_done,        // Transmission completion
@@ -95,21 +96,26 @@
   logic [15:0]          counter_trgt   ; // counter exit counter
   logic                 tx32b_done     ;  // 32 bit Transmit done
   logic                 en_quad;
+  logic                 en_quad_next;
 
+  logic                 data_ready_i;     // Data in acepted, this for txfifo
   enum logic [0:0] { IDLE, TRANSMIT } tx_CS, tx_NS;
 
 
   // Indicate 32 bit data done, usefull for readining next 32b from txfifo
-  assign tx32b_done  = (!en_quad && (counter[4:0] == 5'b11111)) || (en_quad && (counter[2:0] == 3'b111)) && tx_edge;
+  assign tx32b_done  = (!en_quad && (counter[4:0] == 5'b11111)) || (en_quad && (counter[2:0] == 3'b111));
 
+  assign tx_done    = (counter == (counter_trgt-1)) && (tx_CS == TRANSMIT);
 
+  assign   clk_en_o  = (tx_NS == TRANSMIT);
 
   always_comb
   begin
     tx_NS         = tx_CS;
     data_int_next = data_int;
-    data_ready    = 1'b0;
+    data_ready_i    = 1'b0;
     counter_next  = counter;
+    en_quad_next  =  en_quad;
 
     case (tx_CS)
       IDLE: begin
@@ -117,37 +123,43 @@
         counter_next  = '0;
 
         if (en && data_valid) begin
-          data_ready    = 1'b1;
+	  en_quad_next    = en_quad_in;
+          data_ready_i    = 1'b1;
           tx_NS         = TRANSMIT;
         end
       end
 
       TRANSMIT: begin
-       counter_next = counter + 1;
-       data_int_next = (en_quad) ? {data_int[27:0],4'b0000} : {data_int[30:0],1'b0};
-
-      if (tx_done) begin
-            counter_next = 0;
-            // Check if there is next data
-            if (en && data_valid) begin 
-              data_int_next = txdata;
-              data_ready    = 1'b1;
-              tx_NS         = TRANSMIT;
-            end else begin
-              tx_NS    = IDLE;
-            end
-      end else if (tx32b_done) begin
-            if (data_valid) begin
-              data_int_next = txdata;
-              data_ready    = 1'b1;
-            end else begin
-              tx_NS    = IDLE;
-            end
-        end
+         if ((counter + 1) ==counter_trgt) begin
+               counter_next = 0;
+               // Check if there is next data
+               if (en && data_valid) begin 
+	         en_quad_next    = en_quad_in;
+                 data_int_next = txdata;
+                 data_ready_i    = 1'b1;
+                 tx_NS         = TRANSMIT;
+               end else begin
+                 tx_NS    = IDLE;
+               end
+         end else if (tx32b_done) begin
+               if (en && data_valid) begin
+	         en_quad_next    = en_quad_in;
+                 data_int_next = txdata;
+                 data_ready_i    = 1'b1;
+                 tx_NS         = TRANSMIT;
+               end else begin
+                 tx_NS    = IDLE;
+               end
+           end else begin
+              counter_next = counter + 1;
+              data_int_next = (en_quad) ? {data_int[27:0],4'b0000} : {data_int[30:0],1'b0};
+           end
       end
     endcase
   end
 
+  logic data_ready_f;
+
   always_ff @(posedge clk, negedge rstn)
   begin
     if (~rstn)
@@ -156,32 +168,45 @@
       data_int     <= 'h0;
       tx_CS        <= IDLE;
       en_quad      <= 0;
-      tx_done      <= '0;
-      clk_en_o     <= '0;
       sdo0         <= '0;
       sdo1         <= '0;
-      sdo2         <= '0;
-      sdo3         <= '0;
+      sdo2         <= '1;
+      sdo3         <= '1;
       counter_trgt <= '0;
+      data_ready   <= '0;
+      data_ready_f <= 0;
     end
-    else
-    begin
+    else if(flush) begin
+       counter      <= 0;
+       data_int     <= 'h0;
+       tx_CS        <= IDLE;
+       en_quad      <= 0;
+       sdo0         <= '0;
+       sdo1         <= '0;
+       sdo2         <= '1;
+       sdo3         <= '1;
+       counter_trgt <= '0;
+       data_ready   <= '0;
+       data_ready_f <= 0;
+    end else begin
+       data_ready_f <= data_ready_i;
+       data_ready   <= data_ready_f && !data_ready_i; // Generate Pulse at falling edge
        if(tx_edge) begin
+          tx_CS        <= tx_NS;
           counter      <= counter_next;
           data_int     <= data_int_next;
-          sdo0         <= (en_quad_in) ? data_int_next[28] : data_int_next[31];
-          sdo1         <= (en_quad_in) ? data_int_next[29] : 1'b0;
-          sdo2         <= (en_quad_in) ? data_int_next[30] : 1'b0;
-          sdo3         <= (en_quad_in) ? data_int_next[31] : 1'b0;
-          tx_CS        <= tx_NS;
-	  en_quad      <= en_quad_in;
-          tx_done      <= (counter_next == (counter_trgt -1)) && (tx_NS == TRANSMIT);
-          clk_en_o     <= (tx_NS == TRANSMIT);
        end
        // Counter Exit condition, quad mode div-4 , else actual counter
-       if (en && data_valid) begin
+       if (en && data_ready_i && tx_edge) begin
+	  en_quad      <= en_quad_in;
           counter_trgt <= (en_quad_in) ? {2'b00,counter_in[15:2]} : counter_in;
        end
+       if(tx_edge && tx_NS == TRANSMIT) begin
+          sdo0         <= (en_quad_next) ? data_int_next[28] : data_int_next[31];
+          sdo1         <= (en_quad_next) ? data_int_next[29] : 1'b0;
+          sdo2         <= (en_quad_next) ? data_int_next[30] : 1'b1; // Protect
+          sdo3         <= (en_quad_next) ? data_int_next[31] : 1'b1; // Hold need to '1'
+       end
     end      
   end
 endmodule
diff --git a/verilog/rtl/uprj_netlists.v b/verilog/rtl/uprj_netlists.v
index 8445819..ae6502e 100644
--- a/verilog/rtl/uprj_netlists.v
+++ b/verilog/rtl/uprj_netlists.v
@@ -16,28 +16,50 @@
 // Include caravel global defines for the number of the user project IO pads 
 `include "defines.v"
 `define USE_POWER_PINS
-`define UNIT_DELAY #1
+`define UNIT_DELAY #0.1
 
 `ifdef GL
-    // Assume default net type to be wire because GL netlists don't have the wire definitions
-    `include "gl/user_project_wrapper.v"
-    `include "gl/user_proj_example.v"
-`else
-    `include "user_project_wrapper.v"
-    `include "spi_master/src/spim_top.sv"
-    `include "spi_master/src/spim_regs.sv"
-    `include "spi_master/src/spim_clkgen.sv"
-    `include "spi_master/src/spim_ctrl.sv"
-    `include "spi_master/src/spim_rx.sv"
-    `include "spi_master/src/spim_tx.sv"
 
-    `include "uart/src/uart_core.sv"
-    `include "uart/src/uart_cfg.sv"
-    `include "uart/src/uart_rxfsm.sv"
-    `include "uart/src/uart_txfsm.sv"
-    `include "lib/async_fifo_th.sv"  
-    `include "lib/reset_sync.sv"  
-    `include "lib/double_sync_low.v"  
+       `include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
+       `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
+       `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
+       `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+       `include "libs.ref//sky130_fd_sc_hd/verilog/sky130_ef_sc_hd__fakediode_2.v"
+
+        `include "glbl_cfg.v"
+        `include "sdram.v"
+        `include "spi_master.v"
+        `include "uart.v"
+        `include "wb_interconnect.v"
+        `include "user_project_wrapper.v"
+        `include "syntacore.v"
+        `include "wb_host.v"
+	`include "clk_skew_adjust.v"
+
+`else
+
+     `include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
+     `include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
+     `include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
+     `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+
+
+     `include "spi_master/src/spim_top.sv"
+     `include "spi_master/src/spim_if.sv"
+     `include "spi_master/src/spim_fifo.sv"
+     `include "spi_master/src/spim_regs.sv"
+     `include "spi_master/src/spim_clkgen.sv"
+     `include "spi_master/src/spim_ctrl.sv"
+     `include "spi_master/src/spim_rx.sv"
+     `include "spi_master/src/spim_tx.sv"
+
+     `include "uart/src/uart_core.sv"
+     `include "uart/src/uart_cfg.sv"
+     `include "uart/src/uart_rxfsm.sv"
+     `include "uart/src/uart_txfsm.sv"
+     `include "lib/async_fifo_th.sv"  
+     `include "lib/reset_sync.sv"  
+     `include "lib/double_sync_low.v"  
 
      `include "sdram_ctrl/src/top/sdrc_top.v" 
      `include "sdram_ctrl/src/wb2sdrc/wb2sdrc.v" 
@@ -52,7 +74,6 @@
      `include "lib/registers.v"
      `include "lib/clk_ctl.v"
      `include "digital_core/src/glbl_cfg.sv"
-     `include "digital_core/src/digital_core.sv"
 
      `include "wb_host/src/wb_host.sv"
      `include "lib/async_wb.sv"
@@ -61,6 +82,7 @@
      `include "wb_interconnect/src/wb_arb.sv"
      `include "wb_interconnect/src/wb_interconnect.sv"
 
+
      `include "syntacore/scr1/src/core/pipeline/scr1_pipe_hdu.sv"
      `include "syntacore/scr1/src/core/pipeline/scr1_pipe_tdu.sv"
      `include "syntacore/scr1/src/core/pipeline/scr1_ipic.sv"
@@ -91,8 +113,9 @@
      `include "syntacore/scr1/src/top/scr1_imem_wb.sv"
      `include "syntacore/scr1/src/top/scr1_top_wb.sv"
      `include "lib/sync_fifo.sv"
+
+     `include "user_project_wrapper.v"
      // we are using netlist file for clk_skew_adjust as it has 
      // standard cell + power pin
      `include "gl/clk_skew_adjust.v"
 `endif
-
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 404711c..1b2d6b2 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -1,5 +1,6 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya                          
+// 
 // Licensed under the Apache License, Version 2.0 (the "License");
 // you may not use this file except in compliance with the License.
 // You may obtain a copy of the License at
@@ -12,26 +13,106 @@
 // See the License for the specific language governing permissions and
 // limitations under the License.
 // SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Dinesh Annayya <dinesha@opencores.org>
+//
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  Digital core                                                ////
+////                                                              ////
+////  This file is part of the YIFive cores project               ////
+////  https://github.com/dineshannayya/yifive_r0.git              ////
+////  http://www.opencores.org/cores/yifive/                      ////
+////                                                              ////
+////  Description                                                 ////
+////      This is digital core and integrate all the main block   ////
+////      here.  Following block are integrated here              ////
+////      1. Risc V Core                                          ////
+////      2. SPI Master                                           ////
+////      3. Wishbone Cross Bar                                   ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.1 - 16th Feb 2021, Dinesh A                             ////
+////          Initial integration with Risc-V core +              ////
+////          Wishbone Cross Bar + SPI  Master                    ////
+////    0.2 - 17th June 2021, Dinesh A                            ////
+////        1. In risc core, wishbone and core domain is          ////
+////           created                                            ////
+////        2. cpu and rtc clock are generated in glbl reg block  ////
+////        3. in wishbone interconnect:- Stagging flop are added ////
+////           at interface to break wishbone timing path         ////
+////        4. buswidth warning are fixed inside spi_master       ////
+////        modified rtl files are                                ////
+////           verilog/rtl/digital_core/src/digital_core.sv       ////
+////           verilog/rtl/digital_core/src/glbl_cfg.sv           ////
+////           verilog/rtl/lib/wb_stagging.sv                     ////
+////           verilog/rtl/syntacore/scr1/src/top/scr1_dmem_wb.sv ////
+////           verilog/rtl/syntacore/scr1/src/top/scr1_imem_wb.sv ////
+////           verilog/rtl/syntacore/scr1/src/top/scr1_top_wb.sv  ////
+////           verilog/rtl/user_project_wrapper.v                 ////
+////           verilog/rtl/wb_interconnect/src/wb_interconnect.sv ////
+////           verilog/rtl/spi_master/src/spim_clkgen.sv          ////
+////           verilog/rtl/spi_master/src/spim_ctrl.sv            ////
+////    0.3 - 20th June 2021, Dinesh A                            ////
+////           1. uart core is integrated                         ////
+////           2. 3rd Slave ported added to wishbone interconnect ////
+////    0.4 - 25th June 2021, Dinesh A                            ////
+////          Moved the pad logic inside sdram,spi,uart block to  ////
+////          avoid logic at digital core level                   ////
+////    0.5 - 25th June 2021, Dinesh A                            ////
+////          Since carvel gives only 16MB address space for user ////
+////          space, we have implemented indirect address select  ////
+////          with 8 bit bank select given inside wb_host         ////
+////          core Address = {Bank_Sel[7:0], Wb_Address[23:0]     ////
+////          caravel user address space is                       ////
+////          0x3000_0000 to 0x30FF_FFFF                          ////
+////    0.6 - 27th June 2021, Dinesh A                            ////
+////          Digital core level tie are moved inside IP to avoid ////
+////          power hook up at core level                         ////
+////          u_risc_top - test_mode & test_rst_n                 ////
+////          u_intercon - s*_wbd_err_i                           ////
+////          unused wb_cti_i is removed from u_sdram_ctrl        ////
+////    0.7 - 28th June 2021, Dinesh A                            ////
+////          wb_interconnect master port are interchanged for    ////
+////          better physical placement.                          ////
+////          m0 - External HOST                                  ////
+////          m1 - RISC IMEM                                      ////
+////          m2 - RISC DMEM                                      ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
+////                                                              ////
+//// This source file may be used and distributed without         ////
+//// restriction provided that this copyright statement is not    ////
+//// removed from the file and that any derivative work contains  ////
+//// the original copyright notice and the associated disclaimer. ////
+////                                                              ////
+//// This source file is free software; you can redistribute it   ////
+//// and/or modify it under the terms of the GNU Lesser General   ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any   ////
+//// later version.                                               ////
+////                                                              ////
+//// This source is distributed in the hope that it will be       ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
+//// PURPOSE.  See the GNU Lesser General Public License for more ////
+//// details.                                                     ////
+////                                                              ////
+//// You should have received a copy of the GNU Lesser General    ////
+//// Public License along with this source; if not, download it   ////
+//// from http://www.opencores.org/lgpl.shtml                     ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
 
-`default_nettype none
-/*
- *-------------------------------------------------------------
- *
- * user_project_wrapper
- *
- * This wrapper enumerates all of the pins available to the
- * user for the user project.
- *
- * An example user project is provided in this wrapper.  The
- * example should be removed and replaced with the actual
- * user project.
- *
- *-------------------------------------------------------------
- */
-`default_nettype wire
-module user_project_wrapper #(
-    parameter BITS = 32
-) (
+
+module user_project_wrapper (
 `ifdef USE_POWER_PINS
     inout vdda1,	// User area 1 3.3V supply
     inout vdda2,	// User area 2 3.3V supply
@@ -42,90 +123,678 @@
     inout vssd1,	// User area 1 digital ground
     inout vssd2,	// User area 2 digital ground
 `endif
+    input   wire                       wb_clk_i        ,  // System clock
+    input   wire                       user_clock2     ,  // user Clock
+    input   wire                       wb_rst_i        ,  // Regular Reset signal
 
-    // Wishbone Slave ports (WB MI A)
-    input wb_clk_i,
-    input wb_rst_i,
-    input wbs_stb_i,
-    input wbs_cyc_i,
-    input wbs_we_i,
-    input [3:0] wbs_sel_i,
-    input [31:0] wbs_dat_i,
-    input [31:0] wbs_adr_i,
-    output wbs_ack_o,
-    output [31:0] wbs_dat_o,
-
-    // Logic Analyzer Signals
-    input  [127:0] la_data_in,
-    output [127:0] la_data_out,
-    input  [127:0] la_oenb,
-
-    // IOs
-    input  [`MPRJ_IO_PADS-1:0] io_in,
-    output [`MPRJ_IO_PADS-1:0] io_out,
-    output [`MPRJ_IO_PADS-1:0] io_oeb,
+    input   wire                       wbs_cyc_i       ,  // strobe/request
+    input   wire                       wbs_stb_i       ,  // strobe/request
+    input   wire [WB_WIDTH-1:0]        wbs_adr_i       ,  // address
+    input   wire                       wbs_we_i        ,  // write
+    input   wire [WB_WIDTH-1:0]        wbs_dat_i       ,  // data output
+    input   wire [3:0]                 wbs_sel_i       ,  // byte enable
+    output  wire [WB_WIDTH-1:0]        wbs_dat_o       ,  // data input
+    output  wire                       wbs_ack_o       ,  // acknowlegement
 
     // Analog (direct connection to GPIO pad---use with caution)
     // Note that analog I/O is not available on the 7 lowest-numbered
     // GPIO pads, and so the analog_io indexing is offset from the
     // GPIO indexing by 7 (also upper 2 GPIOs do not have analog_io).
     inout [`MPRJ_IO_PADS-10:0] analog_io,
+ 
+    // Logic Analyzer Signals
+    input  wire [127:0]                la_data_in      ,
+    output wire [127:0]                la_data_out     ,
+    input  wire [127:0]                la_oenb         ,
+ 
 
-    // Independent clock (on independent integer divider)
-    input   user_clock2,
+    // IOs
+    input  wire  [37:0]                io_in           ,
+    output wire  [37:0]                io_out          ,
+    output wire  [37:0]                io_oeb          ,
 
-    // User maskable interrupt signals
-    output [2:0] user_irq
+    output wire  [2:0]                 user_irq             
+
 );
 
+//---------------------------------------------------
+// Local Parameter Declaration
+// --------------------------------------------------
 
-/*--------------------------------------*/
-/* User project is instantiated  here   */
-/*--------------------------------------*/
+parameter      SDR_DW   = 8;  // SDR Data Width 
+parameter      SDR_BW   = 1;  // SDR Byte Width
+parameter      WB_WIDTH = 32; // WB ADDRESS/DARA WIDTH
 
-digital_core u_core (
-    `ifdef USE_POWER_PINS
-        .vdda1(vdda1),	// User area 1 3.3V supply
-        .vdda2(vdda2),	// User area 2 3.3V supply
-        .vssa1(vssa1),	// User area 1 analog ground
-        .vssa2(vssa2),	// User area 2 analog ground
-        .vccd1(vccd1),	// User area 1 1.8V supply
-        .vccd2(vccd2),	// User area 2 1.8v supply
-        .vssd1(vssd1),	// User area 1 digital ground
-        .vssd2(vssd2),	// User area 2 digital ground
-    `endif
+//---------------------------------------------------------------------
+// Wishbone Risc V Instruction Memory Interface
+//---------------------------------------------------------------------
+wire                           wbd_riscv_imem_stb_i; // strobe/request
+wire   [WB_WIDTH-1:0]          wbd_riscv_imem_adr_i; // address
+wire                           wbd_riscv_imem_we_i;  // write
+wire   [WB_WIDTH-1:0]          wbd_riscv_imem_dat_i; // data output
+wire   [3:0]                   wbd_riscv_imem_sel_i; // byte enable
+wire   [WB_WIDTH-1:0]          wbd_riscv_imem_dat_o; // data input
+wire                           wbd_riscv_imem_ack_o; // acknowlegement
+wire                           wbd_riscv_imem_err_o;  // error
 
-    .wb_clk_i(wb_clk_i),
-    .wb_rst_i(wb_rst_i),
-    .user_clock2(user_clock2),
+//---------------------------------------------------------------------
+// RISC V Wishbone Data Memory Interface
+//---------------------------------------------------------------------
+wire                           wbd_riscv_dmem_stb_i; // strobe/request
+wire   [WB_WIDTH-1:0]          wbd_riscv_dmem_adr_i; // address
+wire                           wbd_riscv_dmem_we_i;  // write
+wire   [WB_WIDTH-1:0]          wbd_riscv_dmem_dat_i; // data output
+wire   [3:0]                   wbd_riscv_dmem_sel_i; // byte enable
+wire   [WB_WIDTH-1:0]          wbd_riscv_dmem_dat_o; // data input
+wire                           wbd_riscv_dmem_ack_o; // acknowlegement
+wire                           wbd_riscv_dmem_err_o; // error
 
-    // MGMT SoC Wishbone Slave
+//---------------------------------------------------------------------
+// WB HOST Interface
+//---------------------------------------------------------------------
+wire                           wbd_int_cyc_i; // strobe/request
+wire                           wbd_int_stb_i; // strobe/request
+wire   [WB_WIDTH-1:0]          wbd_int_adr_i; // address
+wire                           wbd_int_we_i;  // write
+wire   [WB_WIDTH-1:0]          wbd_int_dat_i; // data output
+wire   [3:0]                   wbd_int_sel_i; // byte enable
+wire   [WB_WIDTH-1:0]          wbd_int_dat_o; // data input
+wire                           wbd_int_ack_o; // acknowlegement
+wire                           wbd_int_err_o; // error
+//---------------------------------------------------------------------
+//    SPI Master Wishbone Interface
+//---------------------------------------------------------------------
+wire                           wbd_spim_stb_o; // strobe/request
+wire   [WB_WIDTH-1:0]          wbd_spim_adr_o; // address
+wire                           wbd_spim_we_o;  // write
+wire   [WB_WIDTH-1:0]          wbd_spim_dat_o; // data output
+wire   [3:0]                   wbd_spim_sel_o; // byte enable
+wire                           wbd_spim_cyc_o ;
+wire   [WB_WIDTH-1:0]          wbd_spim_dat_i; // data input
+wire                           wbd_spim_ack_i; // acknowlegement
+wire                           wbd_spim_err_i;  // error
 
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_dat_o(wbs_dat_o),
+//---------------------------------------------------------------------
+//    SPI Master Wishbone Interface
+//---------------------------------------------------------------------
+wire                           wbd_sdram_stb_o ;
+wire [WB_WIDTH-1:0]            wbd_sdram_adr_o ;
+wire                           wbd_sdram_we_o  ; // 1 - Write, 0 - Read
+wire [WB_WIDTH-1:0]            wbd_sdram_dat_o ;
+wire [WB_WIDTH/8-1:0]          wbd_sdram_sel_o ; // Byte enable
+wire                           wbd_sdram_cyc_o ;
+wire  [2:0]                    wbd_sdram_cti_o ;
+wire  [WB_WIDTH-1:0]           wbd_sdram_dat_i ;
+wire                           wbd_sdram_ack_i ;
 
-    // Logic Analyzer
+//---------------------------------------------------------------------
+//    Global Register Wishbone Interface
+//---------------------------------------------------------------------
+wire                           wbd_glbl_stb_o; // strobe/request
+wire   [7:0]                   wbd_glbl_adr_o; // address
+wire                           wbd_glbl_we_o;  // write
+wire   [WB_WIDTH-1:0]          wbd_glbl_dat_o; // data output
+wire   [3:0]                   wbd_glbl_sel_o; // byte enable
+wire                           wbd_glbl_cyc_o ;
+wire   [WB_WIDTH-1:0]          wbd_glbl_dat_i; // data input
+wire                           wbd_glbl_ack_i; // acknowlegement
+wire                           wbd_glbl_err_i;  // error
 
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb (la_oenb),
+//---------------------------------------------------------------------
+//    Global Register Wishbone Interface
+//---------------------------------------------------------------------
+wire                           wbd_uart_stb_o; // strobe/request
+wire   [7:0]                   wbd_uart_adr_o; // address
+wire                           wbd_uart_we_o;  // write
+wire   [7:0]                   wbd_uart_dat_o; // data output
+wire                           wbd_uart_sel_o; // byte enable
+wire                           wbd_uart_cyc_o ;
+wire   [7:0]                   wbd_uart_dat_i; // data input
+wire                           wbd_uart_ack_i; // acknowlegement
+wire                           wbd_uart_err_i;  // error
 
-    // IO Pads
+//----------------------------------------------------
+//  CPU Configuration
+//----------------------------------------------------
+wire                              cpu_rst_n     ;
+wire                              spi_rst_n     ;
+wire                              sdram_rst_n   ;
+wire                              sdram_clk           ;
+wire                              cpu_clk       ;
+wire                              rtc_clk       ;
+wire                              wbd_clk_int   ;
+wire                              wbd_int_rst_n ;
 
-    .io_in (io_in),
-    .io_out(io_out),
-    .io_oeb(io_oeb),
+wire [31:0]                       fuse_mhartid  ;
+wire [15:0]                       irq_lines     ;
+wire                              soft_irq      ;
+
+wire [7:0]                        cfg_glb_ctrl  ;
+wire [31:0]                       cfg_clk_ctrl1 ;
+wire [31:0]                       cfg_clk_ctrl2 ;
+wire [3:0]                        cfg_cska_wi   ; // clock skew adjust for wishbone interconnect
+wire [3:0]                        cfg_cska_riscv; // clock skew adjust for riscv
+wire [3:0]                        cfg_cska_uart ; // clock skew adjust for uart
+wire [3:0]                        cfg_cska_spi  ; // clock skew adjust for spi
+wire [3:0]                        cfg_cska_sdram; // clock skew adjust for sdram
+wire [3:0]                        cfg_cska_glbl ; // clock skew adjust for global reg
+wire [3:0]                        cfg_cska_wh   ; // clock skew adjust for web host
+
+
+wire                              wbd_clk_wi    ; // clock for wishbone interconnect
+wire                              wbd_clk_riscv ; // clock for riscv
+wire                              wbd_clk_uart  ; // clock for uart
+wire                              wbd_clk_spi   ; // clock for spi
+wire                              wbd_clk_sdram ; // clock for sdram
+wire                              wbd_clk_glbl  ; // clock for global reg
+wire                              wbd_clk_wh    ; // clock for global reg
+
+wire [3:0]                        cfg_cska_sd_co; // clock skew adjust for sdram clock out
+wire [3:0]                        cfg_cska_sd_ci; // clock skew adjust for sdram clock input
+wire [3:0]                        cfg_cska_sp_co; // clock skew adjust for SPI clock out
+
+wire                              io_out_29_    ; // Internally tapped SDRAM clock
+wire                              io_in_29_     ; // Clock Skewed Pad SDRAM clock
+wire                              io_in_30_     ; // SPI clock out
+
+//------------------------------------------------
+// Configuration Parameter
+//------------------------------------------------
+wire [1:0]                        cfg_sdr_width       ; // 2'b00 - 32 Bit SDR, 2'b01 - 16 Bit SDR, 2'b1x - 8 Bit
+wire [1:0]                        cfg_colbits         ; // 2'b00 - 8 Bit column address, 
+wire                              sdr_init_done       ; // Indicate SDRAM Initialisation Done
+wire [3:0] 		          cfg_sdr_tras_d      ; // Active to precharge delay
+wire [3:0]                        cfg_sdr_trp_d       ; // Precharge to active delay
+wire [3:0]                        cfg_sdr_trcd_d      ; // Active to R/W delay
+wire 			          cfg_sdr_en          ; // Enable SDRAM controller
+wire [1:0] 		          cfg_req_depth       ; // Maximum Request accepted by SDRAM controller
+wire [12:0] 		          cfg_sdr_mode_reg    ;
+wire [2:0] 		          cfg_sdr_cas         ; // SDRAM CAS Latency
+wire [3:0] 		          cfg_sdr_trcar_d     ; // Auto-refresh period
+wire [3:0]                        cfg_sdr_twr_d       ; // Write recovery delay
+wire [11: 0]                      cfg_sdr_rfsh        ;
+wire [2 : 0]                      cfg_sdr_rfmax       ;
+
+
+
+
+
+/////////////////////////////////////////////////////////
+// Generating acive low wishbone reset                 
+// //////////////////////////////////////////////////////
+assign wbd_int_rst_n  = cfg_glb_ctrl[0];
+assign cpu_rst_n      = cfg_glb_ctrl[1];
+assign spi_rst_n      = cfg_glb_ctrl[2];
+assign sdram_rst_n    = cfg_glb_ctrl[3];
+
+assign cfg_cska_wi    = cfg_clk_ctrl1[3:0];
+assign cfg_cska_riscv = cfg_clk_ctrl1[7:4];
+assign cfg_cska_uart  = cfg_clk_ctrl1[11:8];
+assign cfg_cska_spi   = cfg_clk_ctrl1[15:12];
+assign cfg_cska_sdram = cfg_clk_ctrl1[19:16];
+assign cfg_cska_glbl  = cfg_clk_ctrl1[23:20];
+assign cfg_cska_wh    = cfg_clk_ctrl1[27:24];
+
+assign cfg_cska_sd_co = cfg_clk_ctrl2[3:0]; // SDRAM clock out control
+assign cfg_cska_sd_ci = cfg_clk_ctrl2[7:4]; // SDRAM clock in control
+assign cfg_cska_sp_co = cfg_clk_ctrl2[11:8];// SPI clock out control
+
+
+wb_host u_wb_host(
+
+    // Master Port
+       .wbm_rst_i        (wb_rst_i             ),  
+       .wbm_clk_i        (wb_clk_i             ),  
+       .wbm_cyc_i        (wbs_cyc_i            ),  
+       .wbm_stb_i        (wbs_stb_i            ),  
+       .wbm_adr_i        (wbs_adr_i            ),  
+       .wbm_we_i         (wbs_we_i             ),  
+       .wbm_dat_i        (wbs_dat_i            ),  
+       .wbm_sel_i        (wbs_sel_i            ),  
+       .wbm_dat_o        (wbs_dat_o            ),  
+       .wbm_ack_o        (wbs_ack_o            ),  
+       .wbm_err_o        (                     ),  
+
+    // Slave Port
+       .wbs_clk_out      (wbd_clk_int          ),  
+       .wbs_clk_i        (wbd_clk_wh           ),  
+       .wbs_cyc_o        (wbd_int_cyc_i        ),  
+       .wbs_stb_o        (wbd_int_stb_i        ),  
+       .wbs_adr_o        (wbd_int_adr_i        ),  
+       .wbs_we_o         (wbd_int_we_i         ),  
+       .wbs_dat_o        (wbd_int_dat_i        ),  
+       .wbs_sel_o        (wbd_int_sel_i        ),  
+       .wbs_dat_i        (wbd_int_dat_o        ),  
+       .wbs_ack_i        (wbd_int_ack_o        ),  
+       .wbs_err_i        (wbd_int_err_o        ),  
+
+       .cfg_glb_ctrl     (cfg_glb_ctrl         ),
+       .cfg_clk_ctrl1    (cfg_clk_ctrl1        ),
+       .cfg_clk_ctrl2    (cfg_clk_ctrl2        ),
+
+    // Logic Analyzer Signals
+       .la_data_in       (la_data_in           ),
+       .la_data_out      (la_data_out          ),
+       .la_oenb          (la_oenb              )
+    );
+
+
+
+
+//------------------------------------------------------------------------------
+// RISC V Core instance
+//------------------------------------------------------------------------------
+scr1_top_wb u_riscv_top (
+    // Reset
+    .pwrup_rst_n            (wbd_int_rst_n             ),
+    .rst_n                  (wbd_int_rst_n             ),
+    .cpu_rst_n              (cpu_rst_n                 ),
+
+    // Clock
+    .core_clk               (cpu_clk                   ),
+    .rtc_clk                (rtc_clk                   ),
+
+    // Fuses
+    .fuse_mhartid           (fuse_mhartid              ),
 
     // IRQ
-    .user_irq(user_irq)
+    .irq_lines              (irq_lines                 ), 
+    .soft_irq               (soft_irq                  ), // TODO - Interrupts
+
+    // DFT
+    // .test_mode           (1'b0                      ), // Moved inside IP
+    // .test_rst_n          (1'b1                      ), // Moved inside IP
+
+    
+    .wb_rst_n               (wbd_int_rst_n             ),
+    .wb_clk                 (wbd_clk_riscv             ),
+    // Instruction memory interface
+    .wbd_imem_stb_o         (wbd_riscv_imem_stb_i      ),
+    .wbd_imem_adr_o         (wbd_riscv_imem_adr_i      ),
+    .wbd_imem_we_o          (wbd_riscv_imem_we_i       ), 
+    .wbd_imem_dat_o         (wbd_riscv_imem_dat_i      ),
+    .wbd_imem_sel_o         (wbd_riscv_imem_sel_i      ),
+    .wbd_imem_dat_i         (wbd_riscv_imem_dat_o      ),
+    .wbd_imem_ack_i         (wbd_riscv_imem_ack_o      ),
+    .wbd_imem_err_i         (wbd_riscv_imem_err_o      ),
+
+    // Data memory interface
+    .wbd_dmem_stb_o         (wbd_riscv_dmem_stb_i      ),
+    .wbd_dmem_adr_o         (wbd_riscv_dmem_adr_i      ),
+    .wbd_dmem_we_o          (wbd_riscv_dmem_we_i       ), 
+    .wbd_dmem_dat_o         (wbd_riscv_dmem_dat_i      ),
+    .wbd_dmem_sel_o         (wbd_riscv_dmem_sel_i      ),
+    .wbd_dmem_dat_i         (wbd_riscv_dmem_dat_o      ),
+    .wbd_dmem_ack_i         (wbd_riscv_dmem_ack_o      ),
+    .wbd_dmem_err_i         (wbd_riscv_dmem_err_o      ) 
 );
 
-endmodule	// user_project_wrapper
+/*********************************************************
+* SPI Master
+* This is an implementation of an SPI master that is controlled via an AXI bus. 
+* It has FIFOs for transmitting and receiving data. 
+* It supports both the normal SPI mode and QPI mode with 4 data lines.
+* *******************************************************/
 
-`default_nettype wire
+spim_top
+#(
+`ifndef SYNTHESIS
+    .WB_WIDTH  (WB_WIDTH)
+`endif
+) u_spi_master
+(
+    .mclk                   (wbd_clk_spi               ),
+    .rst_n                  (spi_rst_n                 ),
+
+    .wbd_stb_i              (wbd_spim_stb_o            ),
+    .wbd_adr_i              (wbd_spim_adr_o            ),
+    .wbd_we_i               (wbd_spim_we_o             ), 
+    .wbd_dat_i              (wbd_spim_dat_o            ),
+    .wbd_sel_i              (wbd_spim_sel_o            ),
+    .wbd_dat_o              (wbd_spim_dat_i            ),
+    .wbd_ack_o              (wbd_spim_ack_i            ),
+    .wbd_err_o              (wbd_spim_err_i            ),
+
+    .spi_debug              (spi_debug                 ),
+
+    // Pad Interface
+    .io_in                  (io_in[35:30]              ),
+    .io_out                 ({io_out[35:31],io_in_30_} ),
+    .io_oeb                 (io_oeb[35:30]             )
+
+);
+
+
+sdrc_top  
+    `ifndef SYNTHESIS
+    #(.APP_AW(WB_WIDTH), 
+	    .APP_DW(WB_WIDTH), 
+	    .APP_BW(4),
+	    .SDR_DW(8), 
+	    .SDR_BW(1))
+      `endif
+     u_sdram_ctrl (
+    .cfg_sdr_width          (cfg_sdr_width              ),
+    .cfg_colbits            (cfg_colbits                ),
+                    
+    // WB bus
+    .wb_rst_n               (wbd_int_rst_n              ),
+    .wb_clk_i               (wbd_clk_sdram              ),
+    
+    .wb_stb_i               (wbd_sdram_stb_o            ),
+    .wb_addr_i              (wbd_sdram_adr_o            ),
+    .wb_we_i                (wbd_sdram_we_o             ),
+    .wb_dat_i               (wbd_sdram_dat_o            ),
+    .wb_sel_i               (wbd_sdram_sel_o            ),
+    .wb_cyc_i               (wbd_sdram_cyc_o            ),
+    .wb_ack_o               (wbd_sdram_ack_i            ),
+    .wb_dat_o               (wbd_sdram_dat_i            ),
+
+		
+    /* Interface to SDRAMs */
+    .sdram_clk              (sdram_clk                 ),
+    .sdram_resetn           (sdram_rst_n               ),
+
+    /** Pad Interface       **/
+    .io_in                  ({io_in_29_,io_in[28:0]}   ),
+    .io_oeb                 (io_oeb[29:0]              ),
+    .io_out                 ({io_out_29_,io_out[28:0]} ),
+                    
+    /* Parameters */
+    .sdr_init_done          (sdr_init_done             ),
+    .cfg_req_depth          (cfg_req_depth             ), //how many req. buffer should hold
+    .cfg_sdr_en             (cfg_sdr_en                ),
+    .cfg_sdr_mode_reg       (cfg_sdr_mode_reg          ),
+    .cfg_sdr_tras_d         (cfg_sdr_tras_d            ),
+    .cfg_sdr_trp_d          (cfg_sdr_trp_d             ),
+    .cfg_sdr_trcd_d         (cfg_sdr_trcd_d            ),
+    .cfg_sdr_cas            (cfg_sdr_cas               ),
+    .cfg_sdr_trcar_d        (cfg_sdr_trcar_d           ),
+    .cfg_sdr_twr_d          (cfg_sdr_twr_d             ),
+    .cfg_sdr_rfsh           (cfg_sdr_rfsh              ),
+    .cfg_sdr_rfmax          (cfg_sdr_rfmax             )
+   );
+
+
+wb_interconnect  u_intercon (
+         .clk_i         (wbd_clk_wi            ), 
+         .rst_n         (wbd_int_rst_n         ),
+
+         // Master 0 Interface
+         .m0_wbd_dat_i  (wbd_int_dat_i         ),
+         .m0_wbd_adr_i  (wbd_int_adr_i         ),
+         .m0_wbd_sel_i  (wbd_int_sel_i         ),
+         .m0_wbd_we_i   (wbd_int_we_i          ),
+         .m0_wbd_cyc_i  (wbd_int_cyc_i         ),
+         .m0_wbd_stb_i  (wbd_int_stb_i         ),
+         .m0_wbd_dat_o  (wbd_int_dat_o         ),
+         .m0_wbd_ack_o  (wbd_int_ack_o         ),
+         .m0_wbd_err_o  (wbd_int_err_o         ),
+         
+         // Master 0 Interface
+         .m1_wbd_dat_i  (wbd_riscv_imem_dat_i  ),
+         .m1_wbd_adr_i  (wbd_riscv_imem_adr_i  ),
+         .m1_wbd_sel_i  (wbd_riscv_imem_sel_i  ),
+         .m1_wbd_we_i   (wbd_riscv_imem_we_i   ),
+         .m1_wbd_cyc_i  (wbd_riscv_imem_stb_i  ),
+         .m1_wbd_stb_i  (wbd_riscv_imem_stb_i  ),
+         .m1_wbd_dat_o  (wbd_riscv_imem_dat_o  ),
+         .m1_wbd_ack_o  (wbd_riscv_imem_ack_o  ),
+         .m1_wbd_err_o  (wbd_riscv_imem_err_o  ),
+         
+         // Master 1 Interface
+         .m2_wbd_dat_i  (wbd_riscv_dmem_dat_i  ),
+         .m2_wbd_adr_i  (wbd_riscv_dmem_adr_i  ),
+         .m2_wbd_sel_i  (wbd_riscv_dmem_sel_i  ),
+         .m2_wbd_we_i   (wbd_riscv_dmem_we_i   ),
+         .m2_wbd_cyc_i  (wbd_riscv_dmem_stb_i  ),
+         .m2_wbd_stb_i  (wbd_riscv_dmem_stb_i  ),
+         .m2_wbd_dat_o  (wbd_riscv_dmem_dat_o  ),
+         .m2_wbd_ack_o  (wbd_riscv_dmem_ack_o  ),
+         .m2_wbd_err_o  (wbd_riscv_dmem_err_o  ),
+         
+         
+         // Slave 0 Interface
+         // .s0_wbd_err_i  (1'b0           ), - Moved inside IP
+         .s0_wbd_dat_i  (wbd_spim_dat_i ),
+         .s0_wbd_ack_i  (wbd_spim_ack_i ),
+         .s0_wbd_dat_o  (wbd_spim_dat_o ),
+         .s0_wbd_adr_o  (wbd_spim_adr_o ),
+         .s0_wbd_sel_o  (wbd_spim_sel_o ),
+         .s0_wbd_we_o   (wbd_spim_we_o  ),  
+         .s0_wbd_cyc_o  (wbd_spim_cyc_o ),
+         .s0_wbd_stb_o  (wbd_spim_stb_o ),
+         
+         // Slave 1 Interface
+         // .s1_wbd_err_i  (1'b0           ), - Moved inside IP
+         .s1_wbd_dat_i  (wbd_sdram_dat_i ),
+         .s1_wbd_ack_i  (wbd_sdram_ack_i ),
+         .s1_wbd_dat_o  (wbd_sdram_dat_o ),
+         .s1_wbd_adr_o  (wbd_sdram_adr_o ),
+         .s1_wbd_sel_o  (wbd_sdram_sel_o ),
+         .s1_wbd_we_o   (wbd_sdram_we_o  ),  
+         .s1_wbd_cyc_o  (wbd_sdram_cyc_o ),
+         .s1_wbd_stb_o  (wbd_sdram_stb_o ),
+         
+         // Slave 2 Interface
+         // .s2_wbd_err_i  (1'b0           ), - Moved inside IP
+         .s2_wbd_dat_i  (wbd_glbl_dat_i ),
+         .s2_wbd_ack_i  (wbd_glbl_ack_i ),
+         .s2_wbd_dat_o  (wbd_glbl_dat_o ),
+         .s2_wbd_adr_o  (wbd_glbl_adr_o ),
+         .s2_wbd_sel_o  (wbd_glbl_sel_o ),
+         .s2_wbd_we_o   (wbd_glbl_we_o  ),  
+         .s2_wbd_cyc_o  (wbd_glbl_cyc_o ),
+         .s2_wbd_stb_o  (wbd_glbl_stb_o ),
+
+         // Slave 3 Interface
+         // .s3_wbd_err_i  (1'b0           ), - Moved inside IP
+         .s3_wbd_dat_i  (wbd_uart_dat_i ),
+         .s3_wbd_ack_i  (wbd_uart_ack_i ),
+         .s3_wbd_dat_o  (wbd_uart_dat_o ),
+         .s3_wbd_adr_o  (wbd_uart_adr_o ),
+         .s3_wbd_sel_o  (wbd_uart_sel_o ),
+         .s3_wbd_we_o   (wbd_uart_we_o  ),  
+         .s3_wbd_cyc_o  (wbd_uart_cyc_o ),
+         .s3_wbd_stb_o  (wbd_uart_stb_o )
+	);
+
+glbl_cfg   u_glbl_cfg (
+
+       .mclk                   (wbd_clk_glbl              ),
+       .reset_n                (wbd_int_rst_n             ),
+       .user_clock1            (wb_clk_i                  ),
+       .user_clock2            (user_clock2               ),
+       .device_idcode          (                          ),
+
+        // Reg Bus Interface Signal
+       .reg_cs                 (wbd_glbl_stb_o            ),
+       .reg_wr                 (wbd_glbl_we_o             ),
+       .reg_addr               (wbd_glbl_adr_o            ),
+       .reg_wdata              (wbd_glbl_dat_o            ),
+       .reg_be                 (wbd_glbl_sel_o            ),
+
+       // Outputs
+       .reg_rdata              (wbd_glbl_dat_i            ),
+       .reg_ack                (wbd_glbl_ack_i            ),
+
+       // SDRAM Clock
+
+       .sdram_clk              (sdram_clk                 ),
+       .cpu_clk                (cpu_clk                   ),
+       .rtc_clk                (rtc_clk                   ),
+
+       // Risc configuration
+       .fuse_mhartid           (fuse_mhartid              ),
+       .irq_lines              (irq_lines                 ), 
+       .soft_irq               (soft_irq                  ),
+       .user_irq               (user_irq                  ),
+
+       // SDRAM Config
+       .cfg_sdr_width          (cfg_sdr_width             ),
+       .cfg_colbits            (cfg_colbits               ),
+
+	/* Parameters */
+       .sdr_init_done          (sdr_init_done             ),
+       .cfg_req_depth          (cfg_req_depth             ), //how many req. buffer should hold
+       .cfg_sdr_en             (cfg_sdr_en                ),
+       .cfg_sdr_mode_reg       (cfg_sdr_mode_reg          ),
+       .cfg_sdr_tras_d         (cfg_sdr_tras_d            ),
+       .cfg_sdr_trp_d          (cfg_sdr_trp_d             ),
+       .cfg_sdr_trcd_d         (cfg_sdr_trcd_d            ),
+       .cfg_sdr_cas            (cfg_sdr_cas               ),
+       .cfg_sdr_trcar_d        (cfg_sdr_trcar_d           ),
+       .cfg_sdr_twr_d          (cfg_sdr_twr_d             ),
+       .cfg_sdr_rfsh           (cfg_sdr_rfsh              ),
+       .cfg_sdr_rfmax          (cfg_sdr_rfmax             )
+
+
+        );
+
+uart_core   u_uart_core (
+        .arst_n                 (wbd_int_rst_n            ), // async reset
+        .app_clk                (wbd_clk_uart             ),
+
+        // Reg Bus Interface Signal
+       .reg_cs                 (wbd_uart_stb_o            ),
+       .reg_wr                 (wbd_uart_we_o             ),
+       .reg_addr               (wbd_uart_adr_o[5:2]       ),
+       .reg_wdata              (wbd_uart_dat_o[7:0]       ),
+       .reg_be                 (wbd_uart_sel_o            ),
+
+       // Outputs
+       .reg_rdata              (wbd_uart_dat_i[7:0]       ),
+       .reg_ack                (wbd_uart_ack_i            ),
+
+       // Pad interface
+       .io_in                  (io_in [37:36]              ),
+       .io_oeb                 (io_oeb[37:36]              ),
+       .io_out                 (io_out[37:36]              )
+
+     );
+
+////////////////////////////////////////////////////////////////
+// Clock Skew adjust module
+// ///////////////////////////////////////////////////////////
+
+// Wishbone interconnect clock skew control
+clk_skew_adjust u_skew_wi
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int                 ), 
+	       .sel        (cfg_cska_wi                 ), 
+	       .clk_out    (wbd_clk_wi                  ) 
+       );
+
+// riscv clock skew control
+clk_skew_adjust u_skew_riscv
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int                 ), 
+	       .sel        (cfg_cska_riscv              ), 
+	       .clk_out    (wbd_clk_riscv               ) 
+       );
+
+// uart clock skew control
+clk_skew_adjust u_skew_uart
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int                 ), 
+	       .sel        (cfg_cska_uart               ), 
+	       .clk_out    (wbd_clk_uart                ) 
+       );
+
+// spi clock skew control
+clk_skew_adjust u_skew_spi
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int                ), 
+	       .sel        (cfg_cska_spi               ), 
+	       .clk_out    (wbd_clk_spi                ) 
+       );
+
+// sdram clock skew control
+clk_skew_adjust u_skew_sdram
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int                ), 
+	       .sel        (cfg_cska_sdram             ), 
+	       .clk_out    (wbd_clk_sdram              ) 
+       );
+
+// global clock skew control
+clk_skew_adjust u_skew_glbl
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int               ), 
+	       .sel        (cfg_cska_glbl             ), 
+	       .clk_out    (wbd_clk_glbl              ) 
+       );
+
+// wb_host clock skew control
+clk_skew_adjust u_skew_wh
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (wbd_clk_int               ), 
+	       .sel        (cfg_cska_wh               ), 
+	       .clk_out    (wbd_clk_wh                ) 
+       );
+
+// SDRAM clock out clock skew control
+clk_skew_adjust u_skew_sd_co
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (io_out_29_                ), 
+	       .sel        (cfg_cska_sd_co            ), 
+	       .clk_out    (io_out[29]                ) 
+       );
+
+// Clock Skey for PAD SDRAM clock
+clk_skew_adjust u_skew_sd_ci
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (io_in[29]                 ), 
+	       .sel        (cfg_cska_sd_ci            ), 
+	       .clk_out    (io_in_29_                 ) 
+       );
+
+// Clock Skey for SPI clock out
+clk_skew_adjust u_skew_sp_co
+       (
+`ifdef USE_POWER_PINS
+               .vccd1      (vccd1                      ),// User area 1 1.8V supply
+               .vssd1      (vssd1                      ),// User area 1 digital ground
+`endif
+	       .clk_in     (io_in_30_                 ), 
+	       .sel        (cfg_cska_sp_co            ), 
+	       .clk_out    (io_out[30]                ) 
+       );
+
+endmodule : user_project_wrapper
diff --git a/verilog/rtl/wb_interconnect/src/wb_interconnect.sv b/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
index e710cfb..def88d3 100644
--- a/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
+++ b/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
@@ -308,7 +308,7 @@
  assign  s0_wbd_stb_o =  s0_wb_wr.wbd_stb ;
                       
  assign  s1_wbd_dat_o =  s1_wb_wr.wbd_dat ;
- assign  s1_wbd_adr_o =  s1_wb_wr.wbd_adr ;
+ assign  s1_wbd_adr_o =  {4'b0,s1_wb_wr.wbd_adr[27:0]} ;
  assign  s1_wbd_sel_o =  s1_wb_wr.wbd_sel ;
  assign  s1_wbd_we_o  =  s1_wb_wr.wbd_we  ;
  assign  s1_wbd_cyc_o =  s1_wb_wr.wbd_cyc ;