blob: f9d19678c217053920908473e138467bfff5f889 [file] [log] [blame]
module clk_skew_adjust (clk_in,
clk_out,
vccd1,
vssd1,
sel);
input clk_in;
output clk_out;
input vccd1;
input vssd1;
input [3:0] sel;
sky130_fd_sc_hd__clkbuf_1 clkbuf_1 (.A(clk_in),
.X(clk_d1),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_10 (.A(clk_d9),
.X(clk_d10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_11 (.A(clk_d10),
.X(clk_d11),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_12 (.A(clk_d11),
.X(clk_d12),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_13 (.A(clk_d12),
.X(clk_d13),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_14 (.A(clk_d13),
.X(clk_d14),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_15 (.A(clk_d14),
.X(clk_d15),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_2 (.A(clk_d1),
.X(clk_d2),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_3 (.A(clk_d2),
.X(clk_d3),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_4 (.A(clk_d3),
.X(clk_d4),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_5 (.A(clk_d4),
.X(clk_d5),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_6 (.A(clk_d5),
.X(clk_d6),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_7 (.A(clk_d6),
.X(clk_d7),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_8 (.A(clk_d7),
.X(clk_d8),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 clkbuf_9 (.A(clk_d8),
.X(clk_d9),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_00 (.A0(clk_in),
.A1(clk_d1),
.S(sel[0]),
.X(d00),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_01 (.A0(clk_d2),
.A1(clk_d3),
.S(sel[0]),
.X(d01),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_02 (.A0(clk_d4),
.A1(clk_d5),
.S(sel[0]),
.X(d02),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_03 (.A0(clk_d6),
.A1(clk_d7),
.S(sel[0]),
.X(d03),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_04 (.A0(clk_d8),
.A1(clk_d9),
.S(sel[0]),
.X(d04),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_05 (.A0(clk_d10),
.A1(clk_d11),
.S(sel[0]),
.X(d05),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_06 (.A0(clk_d12),
.A1(clk_d13),
.S(sel[0]),
.X(d06),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_07 (.A0(clk_d14),
.A1(clk_d15),
.S(sel[0]),
.X(d07),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_10 (.A0(d00),
.A1(d01),
.S(sel[1]),
.X(d10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_11 (.A0(d02),
.A1(d03),
.S(sel[1]),
.X(d11),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_12 (.A0(d04),
.A1(d05),
.S(sel[1]),
.X(d12),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_13 (.A0(d06),
.A1(d07),
.S(sel[1]),
.X(d13),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_20 (.A0(d10),
.A1(d11),
.S(sel[2]),
.X(d20),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_21 (.A0(d12),
.A1(d13),
.S(sel[2]),
.X(d21),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 u_mux_level_30 (.A0(d20),
.A1(d21),
.S(sel[3]),
.X(clk_out),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_20 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_21 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_22 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_23 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_24 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_25 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_26 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_27 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_28 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_29 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_30 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_31 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_00_A0 (.DIODE(clk_in),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_A (.DIODE(clk_in),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_07_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_06_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_05_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_04_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_03_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_02_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_01_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_00_S (.DIODE(sel[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_13_S (.DIODE(sel[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_12_S (.DIODE(sel[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_11_S (.DIODE(sel[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_10_S (.DIODE(sel[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_21_S (.DIODE(sel[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_20_S (.DIODE(sel[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_mux_level_30_S (.DIODE(sel[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_1_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_2_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_2_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_2_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_3_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_3_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_3_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_4_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_4_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_4_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_4_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_5_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_5_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_5_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_5_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_5_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_6_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_6_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_6_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_6_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_6_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_6_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_6_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_6_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_7_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_7_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_7_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_8_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_8_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_8_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_9_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_9_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_9_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_9_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_9_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_9_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_9_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
endmodule