1. 6736708 Updating the shuttle_url value in `info.yaml` file. by Tim 'mithro' Ansell · 1 year, 4 months ago main
  2. f99b5e7 final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  3. 966762b final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  4. 10022da final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  5. 036be14 final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  6. 21eaa9a final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  7. 10a4d85 tapeout.log by Jeff DiCorpo · 2 years, 4 months ago
  8. d95c2ae final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  9. 594c1cb final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  10. 2d1db29 final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  11. b141930 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  12. afc82b6 final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  13. 38d6f77 tapeout.log by Jeff DiCorpo · 2 years, 5 months ago
  14. 800fbc5 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  15. 6c72540 final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  16. 2efc654 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  17. c6f4a9e final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  18. fd0cacd final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  19. 73e437f final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  20. 5223a79 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  21. ae4d5f4 final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  22. 618d388 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  23. 9be0cba final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  24. 247f82b final gds & signoff results by Jeff DiCorpo · 2 years, 8 months ago
  25. d75d5dd final gds oasis by Jeff DiCorpo · 2 years, 8 months ago
  26. 26ebde7 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  27. 09ef6d0 tapeout.log by Tapeout User · 2 years, 9 months ago
  28. 42968c9 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  29. 4828651 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  30. 3d835e0 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  31. 241920f final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  32. a2991ef tapeout.log by Jeff DiCorpo · 2 years, 9 months ago
  33. 35bf35a updating ./signoff by Jeff DiCorpo · 2 years, 9 months ago
  34. f54e9c4 adding ./signoff/tapeout.log by Jeff DiCorpo · 2 years, 9 months ago
  35. ca2d017 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  36. 7b63311 final gds oasis by Jeff DiCorpo · 2 years, 9 months ago
  37. 04b63ca final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  38. adeed9f final gds oasis by Jeff DiCorpo · 2 years, 9 months ago
  39. d6343f0 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  40. 699be43 final gds oasis by Jeff DiCorpo · 2 years, 9 months ago
  41. beb4477 update info.yaml by zaellis · 2 years, 10 months ago
  42. b4ec6de compress by zaellis · 2 years, 10 months ago
  43. c1749e9 update documentation and update macro size/placement by zaellis · 2 years, 10 months ago
  44. cb03026 better config file by zaellis · 2 years, 10 months ago
  45. 99288a4 Documentation by zaellis · 2 years, 10 months ago
  46. 5b2c965 precheck passed by zaellis · 2 years, 10 months ago
  47. b64799c shrink large files by zaellis · 2 years, 11 months ago
  48. 51fc996 can't run wrapper through flow but otherwise successful by zaellis · 2 years, 11 months ago
  49. 1eb2fdb cannot get through entire flow. added project files by zaellis · 2 years, 11 months ago
  50. d3e0505 Auto updated submodule references by Git bot · 3 years ago
  51. ffaf984 Update index.rst by Manar · 3 years ago
  52. f2a5671 [CI] silence gl sim for now till caravel's gl is ready by manarabdelaty · 3 years ago
  53. 98fdcd8 Merge branch 'main' of https://github.com/efabless/caravel_user_project into main by manarabdelaty · 3 years ago
  54. d8dd010 Makefile updates by manarabdelaty · 3 years ago
  55. a2ff3b4 [DATA] Update views by manarabdelaty · 3 years ago
  56. a71cd9f Auto updated submodule references by Git bot · 3 years ago
  57. 9fdf8d2 Update README.md by Mohamed Kassem · 3 years ago
  58. 0218c0f Update index.rst by manarabdelaty · 3 years ago
  59. dd6386e Merge pull request #11 from russellfriesenhahn/fixup_makefile_merge by Manar · 3 years ago
  60. 42af8dc Fixup for Makefile merge 9466e57ce8 by Russell L Friesenhahn · 3 years ago
  61. f2f80db Merge pull request #10 from mattvenn/patch-1 by Manar · 3 years ago
  62. 4acd8b7 typo by matt venn · 3 years ago
  63. 9466e57 Merge pull request #8 from Manarabdelaty/makefile_fixes by Manar · 3 years ago
  64. 7c040f5 Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  65. 4e4ba19 Merge pull request #9 from russellfriesenhahn/update_submodule_management by Manar · 3 years ago
  66. 771a1fb Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  67. 9fc8f48 Auto updated submodule references by Git bot · 3 years ago
  68. 3c8cb85 Fixup for commit 2b4bd6b564 by Russell L Friesenhahn · 3 years ago
  69. ef957a6 Updated the documentation to reflect the changes made to the source by Tim Edwards · 3 years ago
  70. 9ae1f07 Update index.rst by Mohamed Kassem · 3 years ago
  71. c89cfac Update to coincide with the most recent commit to the caravel by Tim Edwards · 3 years ago
  72. 9a624e3 Changed the URL to point to the index.rst by Mohamed Kassem · 3 years ago
  73. 470e5e6 Add pre-check dir to .gitignore by manarabdelaty · 3 years ago
  74. d059260 Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  75. 38f0301 Merge pull request #6 from Manarabdelaty/auto_update_submodules by Manar · 3 years ago
  76. 401a14d Fix syntax error by manarabdelaty · 3 years ago
  77. 78c1b01 Update Makefile by manarabdelaty · 3 years ago
  78. 694bfd3 Added the 3 user IRQ lines to the project wrapper and zeroed them by Tim Edwards · 3 years ago
  79. e3270c8 Add workflow for auto-updating submodules by manarabdelaty · 3 years ago
  80. 599c0a7 Merge branch pull request #5 into main by manarabdelaty · 3 years ago
  81. e7fe97d Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  82. 5088e75 Update submodule reference by manarabdelaty · 3 years ago
  83. 01020b3 Update run-precheck.sh by Manar · 3 years ago
  84. 2f3eac9 Update README.md by Manar · 3 years ago
  85. 8b3c516 Update README.md by Manar · 3 years ago
  86. b3d8491 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  87. 7e9c958 [DATA] Update views by manarabdelaty · 3 years ago
  88. a4fd728 Update README.md by Manar · 3 years ago
  89. 5fc3f75 Update Readme by manarabdelaty · 3 years ago
  90. 68ad170 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  91. d0e7afb Update docs by manarabdelaty · 3 years ago
  92. 5ac961e Remove submodule from .gitmodules on uninstall by Russell L Friesenhahn · 3 years ago
  93. 54d8215 Repo caravel-lite uses main and not master by Russell L Friesenhahn · 3 years ago
  94. 2b4bd6b Enable checking out caravel or caravel-lite to specific commit by Russell L Friesenhahn · 3 years ago
  95. f4b5ac6 Update README.rst by Manar · 3 years ago
  96. 0d1a706 Remove caravel-lite submodule by Russell L Friesenhahn · 3 years ago
  97. 7177a7c Update CARAVEL_USER.md by Jeff DiCorpo · 3 years ago
  98. f7e47a6 Update CARAVEL_USER.md by Jeff DiCorpo · 3 years ago
  99. 609ec98 [DATA] Update views by manarabdelaty · 3 years ago
  100. 65049f1 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago