blob: 217f5bc87e16494fa55e411f5bcf9a823ad41a91 [file] [log] [blame]
OpenROAD 0.9.0 e582f2522b
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Notice 0: Reading LEF file: /openLANE_flow/designs/caravel/openlane/digital_pll/runs/digital_pll/tmp/merged_unpadded.lef
Notice 0: Created 11 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 437 library cells
Notice 0: Finished LEF file: /openLANE_flow/designs/caravel/openlane/digital_pll/runs/digital_pll/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /openLANE_flow/designs/caravel/openlane/digital_pll/runs/digital_pll/tmp/floorplan/ioPlacer.def
Notice 0: Design: digital_pll
Notice 0: Created 37 pins.
Notice 0: Created 391 components and 2103 component-terminals.
Notice 0: Created 399 nets and 1320 connections.
Notice 0: Finished DEF file: /openLANE_flow/designs/caravel/openlane/digital_pll/runs/digital_pll/tmp/floorplan/ioPlacer.def
Running tapcell...
Step 1: Cut rows...
[INFO] Macro blocks found: 0
[INFO] #Original rows: 43
[INFO] #Cut rows: 0
Step 2: Insert endcaps...
[INFO] #Endcaps inserted: 86
Step 3: Insert tapcells...
[INFO] #Tapcells inserted: 180
Running tapcell... Done!