blob: 2ee50bc3ccee8c6632fae5c20bb232fd22476873 [file] [log] [blame]
Tim Edwards581068f2020-11-19 12:45:25 -05001// `default_nettype none
Tim Edwardsef8312e2020-09-22 17:20:06 -04002/*--------------------------------------------------------------*/
3/* caravel, a project harness for the Google/SkyWater sky130 */
4/* fabrication process and open source PDK */
5/* */
6/* Copyright 2020 efabless, Inc. */
7/* Written by Tim Edwards, December 2019 */
8/* and Mohamed Shalan, August 2020 */
9/* This file is open source hardware released under the */
10/* Apache 2.0 license. See file LICENSE. */
11/* */
12/*--------------------------------------------------------------*/
13
14`timescale 1 ns / 1 ps
15
Tim Edwardsc5265b82020-09-25 17:08:59 -040016`define UNIT_DELAY #1
Tim Edwardsef8312e2020-09-22 17:20:06 -040017
Ahmed Ghazy31c34652020-12-01 19:59:44 +020018`ifdef SIM
19
20`define USE_POWER_PINS
21
Ahmed Ghazy22d29d62020-10-28 03:42:02 +020022`include "defines.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040023`include "pads.v"
24
Tim Edwards4286ae12020-10-11 14:52:01 -040025/* NOTE: Need to pass the PDK root directory to iverilog with option -I */
Tim Edwardsef8312e2020-09-22 17:20:06 -040026
Tim Edwards4286ae12020-10-11 14:52:01 -040027`include "libs.ref/sky130_fd_io/verilog/sky130_fd_io.v"
Tim Edwards4c733352020-10-12 16:32:36 -040028`include "libs.ref/sky130_fd_io/verilog/sky130_ef_io.v"
Ahmed Ghazy65065c62020-12-01 17:06:16 +020029`include "libs.ref/sky130_fd_io/verilog/sky130_ef_io__gpiov2_pad_wrapped.v"
Tim Edwards4286ae12020-10-11 14:52:01 -040030
31`include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
32`include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
33`include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
34`include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040035
manarabdelatya115bdd2020-12-01 11:19:12 +020036`ifdef GL
37 `include "gl/mgmt_core.v"
manarabdelatyc7524312020-12-07 18:13:54 +020038 `include "gl/digital_pll.v"
39 `include "gl/DFFRAM.v"
40 `include "gl/storage.v"
41 `include "gl/user_id_programming.v"
42 `include "gl/chip_io.v"
manarabdelatya115bdd2020-12-01 11:19:12 +020043`else
44 `include "mgmt_soc.v"
45 `include "housekeeping_spi.v"
46 `include "caravel_clocking.v"
47 `include "mgmt_core.v"
manarabdelatyc7524312020-12-07 18:13:54 +020048 `include "digital_pll.v"
49 `include "DFFRAM.v"
50 `include "DFFRAMBB.v"
51 `include "storage.v"
52 `include "user_id_programming.v"
53 `include "clock_div.v"
54 `include "storage_bridge_wb.v"
55 `include "mprj_io.v"
56 `include "chip_io.v"
manarabdelatya115bdd2020-12-01 11:19:12 +020057`endif
58
manarabdelatyc7524312020-12-07 18:13:54 +020059`include "sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v"
Tim Edwards53d92182020-10-11 21:47:40 -040060`include "mgmt_protect.v"
Tim Edwardsbc035512020-11-23 11:16:08 -050061`include "mgmt_protect_hv.v"
Tim Edwardsb86fc842020-10-13 17:11:54 -040062`include "user_project_wrapper.v"
Tim Edwards04ba17f2020-10-02 22:27:50 -040063`include "gpio_control_block.v"
Tim Edwardsf51dd082020-10-05 16:30:24 -040064`include "simple_por.v"
Manar55ec3692020-10-30 16:32:18 +020065`include "sram_1rw1r_32_256_8_sky130.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040066
Tim Edwards05537512020-10-06 14:59:26 -040067/*------------------------------*/
68/* Include user project here */
69/*------------------------------*/
70`include "user_proj_example.v"
71
Manar55ec3692020-10-30 16:32:18 +020072// `ifdef USE_OPENRAM
73// `include "sram_1rw1r_32_256_8_sky130.v"
74// `endif
Ahmed Ghazy31c34652020-12-01 19:59:44 +020075`endif
Tim Edwardsef8312e2020-09-22 17:20:06 -040076
77module caravel (
Tim Edwards9eda80d2020-10-08 21:36:44 -040078 inout vddio, // Common 3.3V padframe/ESD power
79 inout vssio, // Common padframe/ESD ground
80 inout vdda, // Management 3.3V power
81 inout vssa, // Common analog ground
82 inout vccd, // Management/Common 1.8V power
83 inout vssd, // Common digital ground
84 inout vdda1, // User area 1 3.3V power
85 inout vdda2, // User area 2 3.3V power
86 inout vssa1, // User area 1 analog ground
87 inout vssa2, // User area 2 analog ground
88 inout vccd1, // User area 1 1.8V power
89 inout vccd2, // User area 2 1.8V power
90 inout vssd1, // User area 1 digital ground
91 inout vssd2, // User area 2 digital ground
92
Tim Edwards04ba17f2020-10-02 22:27:50 -040093 inout gpio, // Used for external LDO control
Tim Edwardsef8312e2020-09-22 17:20:06 -040094 inout [`MPRJ_IO_PADS-1:0] mprj_io,
Tim Edwardsba328902020-10-27 15:03:22 -040095 output [`MPRJ_PWR_PADS-1:0] pwr_ctrl_out,
Tim Edwardsef8312e2020-09-22 17:20:06 -040096 input clock, // CMOS core clock input, not a crystal
Tim Edwards04ba17f2020-10-02 22:27:50 -040097 input resetb,
98
99 // Note that only two pins are available on the flash so dual and
100 // quad flash modes are not available.
101
Tim Edwardsef8312e2020-09-22 17:20:06 -0400102 output flash_csb,
103 output flash_clk,
104 output flash_io0,
Tim Edwards04ba17f2020-10-02 22:27:50 -0400105 output flash_io1
Tim Edwardsef8312e2020-09-22 17:20:06 -0400106);
107
Tim Edwards04ba17f2020-10-02 22:27:50 -0400108 //------------------------------------------------------------
109 // This value is uniquely defined for each user project.
110 //------------------------------------------------------------
111 parameter USER_PROJECT_ID = 32'h0;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400112
Tim Edwards04ba17f2020-10-02 22:27:50 -0400113 // These pins are overlaid on mprj_io space. They have the function
114 // below when the management processor is in reset, or in the default
115 // configuration. They are assigned to uses in the user space by the
116 // configuration program running off of the SPI flash. Note that even
117 // when the user has taken control of these pins, they can be restored
118 // to the original use by setting the resetb pin low. The SPI pins and
119 // UART pins can be connected directly to an FTDI chip as long as the
120 // FTDI chip sets these lines to high impedence (input function) at
121 // all times except when holding the chip in reset.
122
123 // JTAG = mprj_io[0] (inout)
124 // SDO = mprj_io[1] (output)
125 // SDI = mprj_io[2] (input)
126 // CSB = mprj_io[3] (input)
127 // SCK = mprj_io[4] (input)
128 // ser_rx = mprj_io[5] (input)
129 // ser_tx = mprj_io[6] (output)
130 // irq = mprj_io[7] (input)
131
132 // These pins are reserved for any project that wants to incorporate
133 // its own processor and flash controller. While a user project can
134 // technically use any available I/O pins for the purpose, these
135 // four pins connect to a pass-through mode from the SPI slave (pins
136 // 1-4 above) so that any SPI flash connected to these specific pins
137 // can be accessed through the SPI slave even when the processor is in
138 // reset.
139
Tim Edwards44bab472020-10-04 22:09:54 -0400140 // user_flash_csb = mprj_io[8]
141 // user_flash_sck = mprj_io[9]
142 // user_flash_io0 = mprj_io[10]
143 // user_flash_io1 = mprj_io[11]
Tim Edwards04ba17f2020-10-02 22:27:50 -0400144
145 // One-bit GPIO dedicated to management SoC (outside of user control)
146 wire gpio_out_core;
147 wire gpio_in_core;
148 wire gpio_mode0_core;
149 wire gpio_mode1_core;
150 wire gpio_outenb_core;
151 wire gpio_inenb_core;
152
Tim Edwards6d9739d2020-10-19 11:00:49 -0400153 // User Project Control (pad-facing)
Tim Edwards04ba17f2020-10-02 22:27:50 -0400154 wire mprj_io_loader_resetn;
155 wire mprj_io_loader_clock;
156 wire mprj_io_loader_data;
157
Tim Edwardsef8312e2020-09-22 17:20:06 -0400158 wire [`MPRJ_IO_PADS-1:0] mprj_io_hldh_n;
159 wire [`MPRJ_IO_PADS-1:0] mprj_io_enh;
160 wire [`MPRJ_IO_PADS-1:0] mprj_io_inp_dis;
Tim Edwards44bab472020-10-04 22:09:54 -0400161 wire [`MPRJ_IO_PADS-1:0] mprj_io_oeb;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400162 wire [`MPRJ_IO_PADS-1:0] mprj_io_ib_mode_sel;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400163 wire [`MPRJ_IO_PADS-1:0] mprj_io_vtrip_sel;
164 wire [`MPRJ_IO_PADS-1:0] mprj_io_slow_sel;
165 wire [`MPRJ_IO_PADS-1:0] mprj_io_holdover;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400166 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_en;
167 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_sel;
168 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_pol;
169 wire [`MPRJ_IO_PADS*3-1:0] mprj_io_dm;
170 wire [`MPRJ_IO_PADS-1:0] mprj_io_in;
171 wire [`MPRJ_IO_PADS-1:0] mprj_io_out;
172
Tim Edwards6d9739d2020-10-19 11:00:49 -0400173 // User Project Control (user-facing)
Tim Edwards44bab472020-10-04 22:09:54 -0400174 wire [`MPRJ_IO_PADS-1:0] user_io_oeb;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400175 wire [`MPRJ_IO_PADS-1:0] user_io_in;
176 wire [`MPRJ_IO_PADS-1:0] user_io_out;
Tim Edwards581068f2020-11-19 12:45:25 -0500177 wire [`MPRJ_IO_PADS-8:0] user_analog_io;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400178
179 /* Padframe control signals */
180 wire [`MPRJ_IO_PADS-1:0] gpio_serial_link;
181 wire mgmt_serial_clock;
182 wire mgmt_serial_resetn;
183
Tim Edwards6d9739d2020-10-19 11:00:49 -0400184 // User Project Control management I/O
Tim Edwards44bab472020-10-04 22:09:54 -0400185 // There are two types of GPIO connections:
186 // (1) Full Bidirectional: Management connects to in, out, and oeb
187 // Uses: JTAG and SDO
188 // (2) Selectable bidirectional: Management connects to in and out,
189 // which are tied together. oeb is grounded (oeb from the
190 // configuration is used)
191
192 // SDI = mprj_io[2] (input)
193 // CSB = mprj_io[3] (input)
194 // SCK = mprj_io[4] (input)
195 // ser_rx = mprj_io[5] (input)
196 // ser_tx = mprj_io[6] (output)
197 // irq = mprj_io[7] (input)
198
199 wire [`MPRJ_IO_PADS-1:0] mgmt_io_in;
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200200 wire jtag_out, sdo_out;
201 wire jtag_outenb, sdo_outenb;
Tim Edwards44bab472020-10-04 22:09:54 -0400202
203 wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc1; /* no-connects */
204 wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc3; /* no-connects */
205 wire [1:0] mgmt_io_nc2; /* no-connects */
206
Tim Edwards581068f2020-11-19 12:45:25 -0500207 wire clock_core;
208
Tim Edwards04ba17f2020-10-02 22:27:50 -0400209 // Power-on-reset signal. The reset pad generates the sense-inverted
210 // reset at 3.3V. The 1.8V signal and the inverted 1.8V signal are
211 // derived.
212
Tim Edwardsef8312e2020-09-22 17:20:06 -0400213 wire porb_h;
214 wire porb_l;
Tim Edwards581068f2020-11-19 12:45:25 -0500215 wire por_l;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400216
Tim Edwardsf51dd082020-10-05 16:30:24 -0400217 wire rstb_h;
218 wire rstb_l;
219
Tim Edwards581068f2020-11-19 12:45:25 -0500220 wire flash_clk_core, flash_csb_core;
221 wire flash_clk_oeb_core, flash_csb_oeb_core;
222 wire flash_clk_ieb_core, flash_csb_ieb_core;
223 wire flash_io0_oeb_core, flash_io1_oeb_core;
224 wire flash_io2_oeb_core, flash_io3_oeb_core;
225 wire flash_io0_ieb_core, flash_io1_ieb_core;
226 wire flash_io2_ieb_core, flash_io3_ieb_core;
227 wire flash_io0_do_core, flash_io1_do_core;
228 wire flash_io2_do_core, flash_io3_do_core;
229 wire flash_io0_di_core, flash_io1_di_core;
230 wire flash_io2_di_core, flash_io3_di_core;
231
Tim Edwards44bab472020-10-04 22:09:54 -0400232 // To be considered: Master hold signal on all user pads (?)
233 // For now, set holdh_n to 1 (NOTE: This is in the 3.3V domain)
234 // and setting enh to porb_h.
Tim Edwards9eda80d2020-10-08 21:36:44 -0400235 assign mprj_io_hldh_n = {`MPRJ_IO_PADS{vddio}};
Tim Edwards44bab472020-10-04 22:09:54 -0400236 assign mprj_io_enh = {`MPRJ_IO_PADS{porb_h}};
237
Tim Edwardsef8312e2020-09-22 17:20:06 -0400238 chip_io padframe(
239 // Package Pins
Tim Edwards9eda80d2020-10-08 21:36:44 -0400240 .vddio(vddio),
241 .vssio(vssio),
242 .vdda(vdda),
243 .vssa(vssa),
244 .vccd(vccd),
245 .vssd(vssd),
246 .vdda1(vdda1),
247 .vdda2(vdda2),
248 .vssa1(vssa1),
249 .vssa2(vssa2),
250 .vccd1(vccd1),
251 .vccd2(vccd2),
252 .vssd1(vssd1),
253 .vssd2(vssd2),
254
Tim Edwardsef8312e2020-09-22 17:20:06 -0400255 .gpio(gpio),
256 .mprj_io(mprj_io),
257 .clock(clock),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400258 .resetb(resetb),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400259 .flash_csb(flash_csb),
260 .flash_clk(flash_clk),
261 .flash_io0(flash_io0),
262 .flash_io1(flash_io1),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400263 // SoC Core Interface
Tim Edwardsef8312e2020-09-22 17:20:06 -0400264 .porb_h(porb_h),
Tim Edwards581068f2020-11-19 12:45:25 -0500265 .por(por_l),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400266 .resetb_core_h(rstb_h),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400267 .clock_core(clock_core),
268 .gpio_out_core(gpio_out_core),
269 .gpio_in_core(gpio_in_core),
270 .gpio_mode0_core(gpio_mode0_core),
271 .gpio_mode1_core(gpio_mode1_core),
272 .gpio_outenb_core(gpio_outenb_core),
273 .gpio_inenb_core(gpio_inenb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400274 .flash_csb_core(flash_csb_core),
275 .flash_clk_core(flash_clk_core),
276 .flash_csb_oeb_core(flash_csb_oeb_core),
277 .flash_clk_oeb_core(flash_clk_oeb_core),
278 .flash_io0_oeb_core(flash_io0_oeb_core),
279 .flash_io1_oeb_core(flash_io1_oeb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400280 .flash_csb_ieb_core(flash_csb_ieb_core),
281 .flash_clk_ieb_core(flash_clk_ieb_core),
282 .flash_io0_ieb_core(flash_io0_ieb_core),
283 .flash_io1_ieb_core(flash_io1_ieb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400284 .flash_io0_do_core(flash_io0_do_core),
285 .flash_io1_do_core(flash_io1_do_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400286 .flash_io0_di_core(flash_io0_di_core),
287 .flash_io1_di_core(flash_io1_di_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400288 .mprj_io_in(mprj_io_in),
289 .mprj_io_out(mprj_io_out),
Tim Edwards44bab472020-10-04 22:09:54 -0400290 .mprj_io_oeb(mprj_io_oeb),
Manar14d35ac2020-10-21 22:47:15 +0200291 .mprj_io_hldh_n(mprj_io_hldh_n),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400292 .mprj_io_enh(mprj_io_enh),
Manar14d35ac2020-10-21 22:47:15 +0200293 .mprj_io_inp_dis(mprj_io_inp_dis),
294 .mprj_io_ib_mode_sel(mprj_io_ib_mode_sel),
295 .mprj_io_vtrip_sel(mprj_io_vtrip_sel),
296 .mprj_io_slow_sel(mprj_io_slow_sel),
297 .mprj_io_holdover(mprj_io_holdover),
298 .mprj_io_analog_en(mprj_io_analog_en),
299 .mprj_io_analog_sel(mprj_io_analog_sel),
300 .mprj_io_analog_pol(mprj_io_analog_pol),
Tim Edwards581068f2020-11-19 12:45:25 -0500301 .mprj_io_dm(mprj_io_dm),
302 .mprj_analog_io(user_analog_io)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400303 );
304
305 // SoC core
Tim Edwards04ba17f2020-10-02 22:27:50 -0400306 wire caravel_clk;
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400307 wire caravel_clk2;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400308 wire caravel_rstn;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400309
310 wire [7:0] spi_ro_config_core;
311
312 // LA signals
Tim Edwards43e5c602020-11-19 15:59:50 -0500313 wire [127:0] la_data_in_user; // From CPU to MPRJ
314 wire [127:0] la_data_in_mprj; // From MPRJ to CPU
Tim Edwardsef8312e2020-09-22 17:20:06 -0400315 wire [127:0] la_data_out_mprj; // From CPU to MPRJ
Tim Edwards43e5c602020-11-19 15:59:50 -0500316 wire [127:0] la_data_out_user; // From MPRJ to CPU
317 wire [127:0] la_oen_user; // From CPU to MPRJ
318 wire [127:0] la_oen_mprj; // From CPU to MPRJ
319
Tim Edwards6d9739d2020-10-19 11:00:49 -0400320 // WB MI A (User Project)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400321 wire mprj_cyc_o_core;
322 wire mprj_stb_o_core;
323 wire mprj_we_o_core;
324 wire [3:0] mprj_sel_o_core;
325 wire [31:0] mprj_adr_o_core;
326 wire [31:0] mprj_dat_o_core;
327 wire mprj_ack_i_core;
328 wire [31:0] mprj_dat_i_core;
329
330 // WB MI B (xbar)
331 wire xbar_cyc_o_core;
332 wire xbar_stb_o_core;
333 wire xbar_we_o_core;
334 wire [3:0] xbar_sel_o_core;
335 wire [31:0] xbar_adr_o_core;
336 wire [31:0] xbar_dat_o_core;
337 wire xbar_ack_i_core;
338 wire [31:0] xbar_dat_i_core;
339
Tim Edwards04ba17f2020-10-02 22:27:50 -0400340 // Mask revision
341 wire [31:0] mask_rev;
342
Manar14d35ac2020-10-21 22:47:15 +0200343 wire mprj_clock;
344 wire mprj_clock2;
345 wire mprj_resetn;
Ahmed Ghazy69663c72020-11-18 20:15:53 +0200346 wire mprj_reset;
Manar14d35ac2020-10-21 22:47:15 +0200347 wire mprj_cyc_o_user;
348 wire mprj_stb_o_user;
349 wire mprj_we_o_user;
350 wire [3:0] mprj_sel_o_user;
351 wire [31:0] mprj_adr_o_user;
352 wire [31:0] mprj_dat_o_user;
353 wire mprj_vcc_pwrgood;
354 wire mprj2_vcc_pwrgood;
355 wire mprj_vdd_pwrgood;
356 wire mprj2_vdd_pwrgood;
357
Manar55ec3692020-10-30 16:32:18 +0200358 // Storage area
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200359 // Management R/W interface
360 wire [`RAM_BLOCKS-1:0] mgmt_ena;
Manarffe6cad2020-11-09 19:09:04 +0200361 wire [`RAM_BLOCKS-1:0] mgmt_wen;
362 wire [(`RAM_BLOCKS*4)-1:0] mgmt_wen_mask;
Manar55ec3692020-10-30 16:32:18 +0200363 wire [7:0] mgmt_addr;
364 wire [31:0] mgmt_wdata;
Manarffe6cad2020-11-09 19:09:04 +0200365 wire [(`RAM_BLOCKS*32)-1:0] mgmt_rdata;
Manar55ec3692020-10-30 16:32:18 +0200366 // Management RO interface
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200367 wire mgmt_ena_ro;
Manarffe6cad2020-11-09 19:09:04 +0200368 wire [7:0] mgmt_addr_ro;
369 wire [31:0] mgmt_rdata_ro;
Manar55ec3692020-10-30 16:32:18 +0200370
Ahmed Ghazy22d29d62020-10-28 03:42:02 +0200371 mgmt_core soc (
Manar61dce922020-11-10 19:26:28 +0200372 `ifdef USE_POWER_PINS
manarabdelatya115bdd2020-12-01 11:19:12 +0200373 .VPWR(vccd),
374 .VGND(vssa),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400375 `endif
Tim Edwards04ba17f2020-10-02 22:27:50 -0400376 // GPIO (1 pin)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400377 .gpio_out_pad(gpio_out_core),
378 .gpio_in_pad(gpio_in_core),
379 .gpio_mode0_pad(gpio_mode0_core),
380 .gpio_mode1_pad(gpio_mode1_core),
381 .gpio_outenb_pad(gpio_outenb_core),
382 .gpio_inenb_pad(gpio_inenb_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400383 // Primary SPI flash controller
Tim Edwardsef8312e2020-09-22 17:20:06 -0400384 .flash_csb(flash_csb_core),
385 .flash_clk(flash_clk_core),
386 .flash_csb_oeb(flash_csb_oeb_core),
387 .flash_clk_oeb(flash_clk_oeb_core),
388 .flash_io0_oeb(flash_io0_oeb_core),
389 .flash_io1_oeb(flash_io1_oeb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400390 .flash_csb_ieb(flash_csb_ieb_core),
391 .flash_clk_ieb(flash_clk_ieb_core),
392 .flash_io0_ieb(flash_io0_ieb_core),
393 .flash_io1_ieb(flash_io1_ieb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400394 .flash_io0_do(flash_io0_do_core),
395 .flash_io1_do(flash_io1_do_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400396 .flash_io0_di(flash_io0_di_core),
397 .flash_io1_di(flash_io1_di_core),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400398 // Master Reset
399 .resetb(rstb_l),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400400 .porb(porb_l),
401 // Clocks and reset
Tim Edwardsef8312e2020-09-22 17:20:06 -0400402 .clock(clock_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400403 .core_clk(caravel_clk),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400404 .user_clk(caravel_clk2),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400405 .core_rstn(caravel_rstn),
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200406 // Logic Analyzer
Tim Edwards43e5c602020-11-19 15:59:50 -0500407 .la_input(la_data_in_mprj),
408 .la_output(la_data_out_mprj),
409 .la_oen(la_oen_mprj),
Tim Edwards6d9739d2020-10-19 11:00:49 -0400410 // User Project IO Control
Tim Edwards05ad4fc2020-10-19 22:12:33 -0400411 .mprj_vcc_pwrgood(mprj_vcc_pwrgood),
412 .mprj2_vcc_pwrgood(mprj2_vcc_pwrgood),
413 .mprj_vdd_pwrgood(mprj_vdd_pwrgood),
414 .mprj2_vdd_pwrgood(mprj2_vdd_pwrgood),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400415 .mprj_io_loader_resetn(mprj_io_loader_resetn),
416 .mprj_io_loader_clock(mprj_io_loader_clock),
417 .mprj_io_loader_data(mprj_io_loader_data),
Tim Edwards44bab472020-10-04 22:09:54 -0400418 .mgmt_in_data(mgmt_io_in),
Tim Edwardsca2f3182020-10-06 10:05:11 -0400419 .mgmt_out_data({mgmt_io_in[(`MPRJ_IO_PADS-1):2], mgmt_io_nc2}),
Tim Edwardsba328902020-10-27 15:03:22 -0400420 .pwr_ctrl_out(pwr_ctrl_out),
Tim Edwardsca2f3182020-10-06 10:05:11 -0400421 .sdo_out(sdo_out),
422 .sdo_outenb(sdo_outenb),
423 .jtag_out(jtag_out),
424 .jtag_outenb(jtag_outenb),
Tim Edwards6d9739d2020-10-19 11:00:49 -0400425 // User Project Slave ports (WB MI A)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400426 .mprj_cyc_o(mprj_cyc_o_core),
427 .mprj_stb_o(mprj_stb_o_core),
428 .mprj_we_o(mprj_we_o_core),
429 .mprj_sel_o(mprj_sel_o_core),
430 .mprj_adr_o(mprj_adr_o_core),
431 .mprj_dat_o(mprj_dat_o_core),
432 .mprj_ack_i(mprj_ack_i_core),
433 .mprj_dat_i(mprj_dat_i_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400434 // mask data
Manar55ec3692020-10-30 16:32:18 +0200435 .mask_rev(mask_rev),
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200436 // MGMT area R/W interface
437 .mgmt_ena(mgmt_ena),
Manar55ec3692020-10-30 16:32:18 +0200438 .mgmt_wen_mask(mgmt_wen_mask),
439 .mgmt_wen(mgmt_wen),
440 .mgmt_addr(mgmt_addr),
441 .mgmt_wdata(mgmt_wdata),
442 .mgmt_rdata(mgmt_rdata),
Manarffe6cad2020-11-09 19:09:04 +0200443 // MGMT area RO interface
444 .mgmt_ena_ro(mgmt_ena_ro),
445 .mgmt_addr_ro(mgmt_addr_ro),
446 .mgmt_rdata_ro(mgmt_rdata_ro)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400447 );
448
Tim Edwards53d92182020-10-11 21:47:40 -0400449 /* Clock and reset to user space are passed through a tristate */
450 /* buffer like the above, but since they are intended to be */
451 /* always active, connect the enable to the logic-1 output from */
452 /* the vccd1 domain. */
453
Tim Edwards53d92182020-10-11 21:47:40 -0400454 mgmt_protect mgmt_buffers (
Ahmed Ghazyfe9c3bb2020-11-26 15:29:48 +0200455 `ifdef USE_POWER_PINS
Tim Edwards53d92182020-10-11 21:47:40 -0400456 .vccd(vccd),
457 .vssd(vssd),
458 .vccd1(vccd1),
459 .vssd1(vssd1),
Tim Edwards05ad4fc2020-10-19 22:12:33 -0400460 .vdda1(vdda1),
461 .vssa1(vssa1),
462 .vdda2(vdda2),
463 .vssa2(vssa2),
Ahmed Ghazyfe9c3bb2020-11-26 15:29:48 +0200464 `endif
Tim Edwards21a9aac2020-10-12 22:05:18 -0400465
Tim Edwards53d92182020-10-11 21:47:40 -0400466 .caravel_clk(caravel_clk),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400467 .caravel_clk2(caravel_clk2),
Tim Edwards53d92182020-10-11 21:47:40 -0400468 .caravel_rstn(caravel_rstn),
469 .mprj_cyc_o_core(mprj_cyc_o_core),
470 .mprj_stb_o_core(mprj_stb_o_core),
471 .mprj_we_o_core(mprj_we_o_core),
472 .mprj_sel_o_core(mprj_sel_o_core),
473 .mprj_adr_o_core(mprj_adr_o_core),
474 .mprj_dat_o_core(mprj_dat_o_core),
Tim Edwards43e5c602020-11-19 15:59:50 -0500475 .la_data_out_core(la_data_out_user),
476 .la_data_out_mprj(la_data_out_mprj),
477 .la_data_in_core(la_data_in_user),
478 .la_data_in_mprj(la_data_in_mprj),
479 .la_oen_mprj(la_oen_mprj),
480 .la_oen_core(la_oen_user),
Tim Edwards53d92182020-10-11 21:47:40 -0400481
482 .user_clock(mprj_clock),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400483 .user_clock2(mprj_clock2),
Tim Edwards53d92182020-10-11 21:47:40 -0400484 .user_resetn(mprj_resetn),
Ahmed Ghazy69663c72020-11-18 20:15:53 +0200485 .user_reset(mprj_reset),
Tim Edwards53d92182020-10-11 21:47:40 -0400486 .mprj_cyc_o_user(mprj_cyc_o_user),
487 .mprj_stb_o_user(mprj_stb_o_user),
488 .mprj_we_o_user(mprj_we_o_user),
489 .mprj_sel_o_user(mprj_sel_o_user),
490 .mprj_adr_o_user(mprj_adr_o_user),
491 .mprj_dat_o_user(mprj_dat_o_user),
Tim Edwards05ad4fc2020-10-19 22:12:33 -0400492 .user1_vcc_powergood(mprj_vcc_pwrgood),
493 .user2_vcc_powergood(mprj2_vcc_pwrgood),
494 .user1_vdd_powergood(mprj_vdd_pwrgood),
495 .user2_vdd_powergood(mprj2_vdd_pwrgood)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400496 );
Tim Edwards53d92182020-10-11 21:47:40 -0400497
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200498
Tim Edwardsb86fc842020-10-13 17:11:54 -0400499 /*----------------------------------------------*/
500 /* Wrapper module around the user project */
501 /*----------------------------------------------*/
Tim Edwards05537512020-10-06 14:59:26 -0400502
Ahmed Ghazy22d29d62020-10-28 03:42:02 +0200503 user_project_wrapper mprj (
Ahmed Ghazyfe9c3bb2020-11-26 15:29:48 +0200504 `ifdef USE_POWER_PINS
Tim Edwards21a9aac2020-10-12 22:05:18 -0400505 .vdda1(vdda1), // User area 1 3.3V power
506 .vdda2(vdda2), // User area 2 3.3V power
507 .vssa1(vssa1), // User area 1 analog ground
508 .vssa2(vssa2), // User area 2 analog ground
509 .vccd1(vccd1), // User area 1 1.8V power
510 .vccd2(vccd2), // User area 2 1.8V power
511 .vssd1(vssd1), // User area 1 digital ground
512 .vssd2(vssd2), // User area 2 digital ground
Ahmed Ghazyfe9c3bb2020-11-26 15:29:48 +0200513 `endif
Tim Edwards21a9aac2020-10-12 22:05:18 -0400514
Tim Edwards53d92182020-10-11 21:47:40 -0400515 .wb_clk_i(mprj_clock),
Ahmed Ghazy69663c72020-11-18 20:15:53 +0200516 .wb_rst_i(mprj_reset),
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200517 // MGMT SoC Wishbone Slave
Tim Edwards53d92182020-10-11 21:47:40 -0400518 .wbs_cyc_i(mprj_cyc_o_user),
519 .wbs_stb_i(mprj_stb_o_user),
520 .wbs_we_i(mprj_we_o_user),
521 .wbs_sel_i(mprj_sel_o_user),
522 .wbs_adr_i(mprj_adr_o_user),
523 .wbs_dat_i(mprj_dat_o_user),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400524 .wbs_ack_o(mprj_ack_i_core),
525 .wbs_dat_o(mprj_dat_i_core),
526 // Logic Analyzer
Tim Edwards43e5c602020-11-19 15:59:50 -0500527 .la_data_in(la_data_in_user),
528 .la_data_out(la_data_out_user),
529 .la_oen(la_oen_user),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400530 // IO Pads
Tim Edwards05537512020-10-06 14:59:26 -0400531 .io_in (user_io_in),
Tim Edwardsef2b68d2020-10-11 17:00:44 -0400532 .io_out(user_io_out),
Tim Edwardsb86fc842020-10-13 17:11:54 -0400533 .io_oeb(user_io_oeb),
Tim Edwards581068f2020-11-19 12:45:25 -0500534 .analog_io(user_analog_io),
Tim Edwardsb86fc842020-10-13 17:11:54 -0400535 // Independent clock
536 .user_clock2(mprj_clock2)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400537 );
538
Tim Edwards05537512020-10-06 14:59:26 -0400539 /*--------------------------------------*/
540 /* End user project instantiation */
541 /*--------------------------------------*/
542
Tim Edwards04ba17f2020-10-02 22:27:50 -0400543 wire [`MPRJ_IO_PADS-1:0] gpio_serial_link_shifted;
544
Tim Edwards251e0df2020-10-05 11:02:12 -0400545 assign gpio_serial_link_shifted = {gpio_serial_link[`MPRJ_IO_PADS-2:0], mprj_io_loader_data};
Tim Edwards04ba17f2020-10-02 22:27:50 -0400546
Tim Edwards251e0df2020-10-05 11:02:12 -0400547 // Each control block sits next to an I/O pad in the user area.
548 // It gets input through a serial chain from the previous control
549 // block and passes it to the next control block. Due to the nature
550 // of the shift register, bits are presented in reverse, as the first
551 // bit in ends up as the last bit of the last I/O pad control block.
Tim Edwards44bab472020-10-04 22:09:54 -0400552
Tim Edwards89f09242020-10-05 15:17:34 -0400553 // There are two types of block; the first two are configured to be
554 // full bidirectional under control of the management Soc (JTAG and
555 // SDO). The rest are configured to be default (input).
556
Tim Edwards251e0df2020-10-05 11:02:12 -0400557 gpio_control_block #(
manarabdelaty589a5282020-12-05 01:06:48 +0200558 .DM_INIT(`DM_INIT), // Mode = output, strong up/down
559 .OENB_INIT(`OENB_INIT) // Enable output signaling from wire
Tim Edwards89f09242020-10-05 15:17:34 -0400560 ) gpio_control_bidir [1:0] (
Manar61dce922020-11-10 19:26:28 +0200561 `ifdef USE_POWER_PINS
Manar68e03632020-11-09 13:25:13 +0200562 .vccd(vccd),
563 .vssd(vssd),
564 .vccd1(vccd1),
565 .vssd1(vssd1),
Tim Edwards53d92182020-10-11 21:47:40 -0400566 `endif
Tim Edwards44bab472020-10-04 22:09:54 -0400567
Tim Edwards04ba17f2020-10-02 22:27:50 -0400568 // Management Soc-facing signals
569
Tim Edwardsc18c4742020-10-03 11:26:39 -0400570 .resetn(mprj_io_loader_resetn),
571 .serial_clock(mprj_io_loader_clock),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400572
Tim Edwards89f09242020-10-05 15:17:34 -0400573 .mgmt_gpio_in(mgmt_io_in[1:0]),
574 .mgmt_gpio_out({sdo_out, jtag_out}),
575 .mgmt_gpio_oeb({sdo_outenb, jtag_outenb}),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400576
577 // Serial data chain for pad configuration
Tim Edwards89f09242020-10-05 15:17:34 -0400578 .serial_data_in(gpio_serial_link_shifted[1:0]),
579 .serial_data_out(gpio_serial_link[1:0]),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400580
581 // User-facing signals
Tim Edwards89f09242020-10-05 15:17:34 -0400582 .user_gpio_out(user_io_out[1:0]),
583 .user_gpio_oeb(user_io_oeb[1:0]),
584 .user_gpio_in(user_io_in[1:0]),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400585
586 // Pad-facing signals (Pad GPIOv2)
Tim Edwards89f09242020-10-05 15:17:34 -0400587 .pad_gpio_inenb(mprj_io_inp_dis[1:0]),
588 .pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[1:0]),
589 .pad_gpio_vtrip_sel(mprj_io_vtrip_sel[1:0]),
590 .pad_gpio_slow_sel(mprj_io_slow_sel[1:0]),
591 .pad_gpio_holdover(mprj_io_holdover[1:0]),
592 .pad_gpio_ana_en(mprj_io_analog_en[1:0]),
593 .pad_gpio_ana_sel(mprj_io_analog_sel[1:0]),
594 .pad_gpio_ana_pol(mprj_io_analog_pol[1:0]),
595 .pad_gpio_dm(mprj_io_dm[5:0]),
596 .pad_gpio_outenb(mprj_io_oeb[1:0]),
597 .pad_gpio_out(mprj_io_out[1:0]),
598 .pad_gpio_in(mprj_io_in[1:0])
599 );
600
601 gpio_control_block gpio_control_in [`MPRJ_IO_PADS-1:2] (
Manar61dce922020-11-10 19:26:28 +0200602 `ifdef USE_POWER_PINS
Manar68e03632020-11-09 13:25:13 +0200603 .vccd(vccd),
604 .vssd(vssd),
605 .vccd1(vccd1),
606 .vssd1(vssd1),
Tim Edwards53d92182020-10-11 21:47:40 -0400607 `endif
Tim Edwards89f09242020-10-05 15:17:34 -0400608
609 // Management Soc-facing signals
610
611 .resetn(mprj_io_loader_resetn),
612 .serial_clock(mprj_io_loader_clock),
613
614 .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
615 .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
616 .mgmt_gpio_oeb(1'b1),
617
618 // Serial data chain for pad configuration
619 .serial_data_in(gpio_serial_link_shifted[(`MPRJ_IO_PADS-1):2]),
620 .serial_data_out(gpio_serial_link[(`MPRJ_IO_PADS-1):2]),
621
622 // User-facing signals
623 .user_gpio_out(user_io_out[(`MPRJ_IO_PADS-1):2]),
624 .user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS-1):2]),
625 .user_gpio_in(user_io_in[(`MPRJ_IO_PADS-1):2]),
626
627 // Pad-facing signals (Pad GPIOv2)
628 .pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS-1):2]),
629 .pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS-1):2]),
630 .pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS-1):2]),
631 .pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS-1):2]),
632 .pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS-1):2]),
633 .pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS-1):2]),
634 .pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS-1):2]),
635 .pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS-1):2]),
636 .pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS*3-1):6]),
637 .pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS-1):2]),
638 .pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS-1):2]),
639 .pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS-1):2])
Tim Edwards04ba17f2020-10-02 22:27:50 -0400640 );
641
Tim Edwards04ba17f2020-10-02 22:27:50 -0400642 user_id_programming #(
643 .USER_PROJECT_ID(USER_PROJECT_ID)
644 ) user_id_value (
Ahmed Ghazy27200e92020-11-25 22:07:02 +0200645`ifdef USE_POWER_PINS
manarabdelatyc7524312020-12-07 18:13:54 +0200646 .VPWR(vccd),
647 .VGND(vssd),
Ahmed Ghazy27200e92020-11-25 22:07:02 +0200648`endif
Tim Edwards04ba17f2020-10-02 22:27:50 -0400649 .mask_rev(mask_rev)
650 );
651
Tim Edwardsf51dd082020-10-05 16:30:24 -0400652 // Power-on-reset circuit
653 simple_por por (
Ahmed Ghazy27200e92020-11-25 22:07:02 +0200654`ifdef USE_POWER_PINS
Tim Edwards9eda80d2020-10-08 21:36:44 -0400655 .vdd3v3(vddio),
Tim Edwards581068f2020-11-19 12:45:25 -0500656 .vdd1v8(vccd),
Tim Edwards9eda80d2020-10-08 21:36:44 -0400657 .vss(vssio),
Ahmed Ghazy27200e92020-11-25 22:07:02 +0200658`endif
Tim Edwards581068f2020-11-19 12:45:25 -0500659 .porb_h(porb_h),
660 .porb_l(porb_l),
661 .por_l(por_l)
Tim Edwardsf51dd082020-10-05 16:30:24 -0400662 );
663
664 // XRES (chip input pin reset) reset level converter
Ahmed Ghazy1d1679d2020-11-30 17:44:45 +0200665 sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped rstb_level (
Ahmed Ghazy69663c72020-11-18 20:15:53 +0200666`ifdef USE_POWER_PINS
Tim Edwards21a9aac2020-10-12 22:05:18 -0400667 .VPWR(vddio),
668 .VPB(vddio),
669 .LVPWR(vccd),
670 .VNB(vssio),
671 .VGND(vssio),
Ahmed Ghazy69663c72020-11-18 20:15:53 +0200672`endif
Tim Edwardsf51dd082020-10-05 16:30:24 -0400673 .A(rstb_h),
674 .X(rstb_l)
675 );
676
Manar55ec3692020-10-30 16:32:18 +0200677 // Storage area
Manarffe6cad2020-11-09 19:09:04 +0200678 storage storage(
Manar55ec3692020-10-30 16:32:18 +0200679 .mgmt_clk(caravel_clk),
680 .mgmt_ena(mgmt_ena),
681 .mgmt_wen(mgmt_wen),
682 .mgmt_wen_mask(mgmt_wen_mask),
683 .mgmt_addr(mgmt_addr),
684 .mgmt_wdata(mgmt_wdata),
685 .mgmt_rdata(mgmt_rdata),
Ahmed Ghazydf4dd882020-11-25 18:38:42 +0200686 // Management RO interface
Manarffe6cad2020-11-09 19:09:04 +0200687 .mgmt_ena_ro(mgmt_ena_ro),
688 .mgmt_addr_ro(mgmt_addr_ro),
689 .mgmt_rdata_ro(mgmt_rdata_ro)
Manar55ec3692020-10-30 16:32:18 +0200690 );
691
Tim Edwardsef8312e2020-09-22 17:20:06 -0400692endmodule
Tim Edwards581068f2020-11-19 12:45:25 -0500693// `default_nettype wire