blob: dad5471f6e1c68ff717df0793bf0b341924110c8 [file] [log] [blame]
Tim Edwards04ba17f2020-10-02 22:27:50 -04001//----------------------------------------------------------------------------
2// Module: simple_spi_master
3//
4//----------------------------------------------------------------------------
5// Copyright (C) 2019 efabless, inc.
6//
7// This source file may be used and distributed without
8// restriction provided that this copyright statement is not
9// removed from the file and that any derivative work contains
10// the original copyright notice and the associated disclaimer.
11//
12// This source file is free software; you can redistribute it
13// and/or modify it under the terms of the GNU Lesser General
14// Public License as published by the Free Software Foundation;
15// either version 2.1 of the License, or (at your option) any
16// later version.
17//
18// This source is distributed in the hope that it will be
19// useful, but WITHOUT ANY WARRANTY; without even the implied
20// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
21// PURPOSE. See the GNU Lesser General Public License for more
22// details.
23//
24//--------------------------------------------------------------------
25//
26// resetn: active low async reset
27// clk: master clock (before prescaler)
28// stream:
29// 0 = apply/release CSB separately for each byte
30// 1 = apply CSB until stream bit is cleared
31// mlb:
32// 0 = msb 1st
33// 1 = lsb 1st
34// invsck:
35// 0 = normal SCK
36// 1 = inverted SCK
37// invcsb:
38// 0 = normal CSB (active low)
39// 1 = inverted CSB (active high)
40// mode:
41// 0 = read and change data on opposite SCK edges
42// 1 = read and change data on the same SCK edge
43// enable:
44// 0 = disable the SPI master
45// 1 = enable the SPI master
46// irqena:
47// 0 = disable interrupt
48// 1 = enable interrupt
49// prescaler: count (in master clock cycles) of 1/2 SCK cycle.
50//
51// reg_dat_we:
52// 1 = data write enable
53// reg_dat_re:
54// 1 = data read enable
55// reg_cfg_*: Signaling for read/write of configuration register
56// reg_dat_*: Signaling for read/write of data register
57//
58// err_out: Indicates attempt to read/write before data ready
59// (failure to wait for reg_dat_wait to clear)
60//
61// Between "mode" and "invsck", all four standard SPI modes are supported
62//
63//--------------------------------------------------------------------
64
65module simple_spi_master_wb #(
66 parameter BASE_ADR = 32'h2100_0000,
67 parameter CONFIG = 8'h00,
68 parameter DATA = 8'h04
69) (
70 input wb_clk_i,
71 input wb_rst_i,
72 input [31:0] wb_adr_i,
73 input [31:0] wb_dat_i,
74 input [3:0] wb_sel_i,
75 input wb_we_i,
76 input wb_cyc_i,
77 input wb_stb_i,
78 output wb_ack_o,
79 output [31:0] wb_dat_o,
80
81 input sdi, // SPI input
82 output csb, // SPI chip select
83 output sck, // SPI clock
84 output sdo, // SPI output
Tim Edwards44bab472020-10-04 22:09:54 -040085 output sdoenb, // SPI output enable
Tim Edwards04ba17f2020-10-02 22:27:50 -040086 output irq // interrupt output
87);
88
89 wire [31:0] simple_spi_master_reg_cfg_do;
90 wire [31:0] simple_spi_master_reg_dat_do;
91
92 wire resetn = ~wb_rst_i;
93 wire valid = wb_stb_i && wb_cyc_i;
94 wire simple_spi_master_reg_cfg_sel = valid && (wb_adr_i == (BASE_ADR | CONFIG));
95 wire simple_spi_master_reg_dat_sel = valid && (wb_adr_i == (BASE_ADR | DATA));
96
97 wire [1:0] reg_cfg_we = (simple_spi_master_reg_cfg_sel) ?
98 (wb_sel_i[1:0] & {2{wb_we_i}}): 2'b00;
99 wire reg_dat_we = (simple_spi_master_reg_dat_sel) ? (wb_sel_i[0] & wb_we_i): 1'b0;
100
101 wire [31:0] mem_wdata = wb_dat_i;
102 wire reg_dat_re = simple_spi_master_reg_dat_sel && !wb_sel_i && ~wb_we_i;
103
104 assign wb_dat_o = (simple_spi_master_reg_cfg_sel) ? simple_spi_master_reg_cfg_do :
105 simple_spi_master_reg_dat_do;
106 assign wb_ack_o = (simple_spi_master_reg_cfg_sel || simple_spi_master_reg_dat_sel)
107 && (!reg_dat_wait);
108
109 simple_spi_master spi_master (
110 .resetn(resetn),
111 .clk(wb_clk_i),
112 .reg_cfg_we(reg_cfg_we),
113 .reg_cfg_di(mem_wdata),
114 .reg_cfg_do(simple_spi_master_reg_cfg_do),
115 .reg_dat_we(reg_dat_we),
116 .reg_dat_re(reg_dat_re),
117 .reg_dat_di(mem_wdata),
118 .reg_dat_do(simple_spi_master_reg_dat_do),
119 .reg_dat_wait(reg_dat_wait),
120
121 .sdi(sdi), // SPI input
122 .csb(csb), // SPI chip select
123 .sck(sck), // SPI clock
124 .sdo(sdo), // SPI output
125 .irq_out(irq) // interrupt
126 );
127endmodule
128
129module simple_spi_master (
130 input resetn,
131 input clk, // master clock (assume 100MHz)
132
133 input [1:0] reg_cfg_we,
134 input [31:0] reg_cfg_di,
135 output [31:0] reg_cfg_do,
136
137 input reg_dat_we,
138 input reg_dat_re,
139 input [31:0] reg_dat_di,
140 output [31:0] reg_dat_do,
141 output reg_dat_wait,
142 output irq_out,
143 output err_out,
144
145 input sdi, // SPI input
146 output csb, // SPI chip select
147 output sck, // SPI clock
148 output sdo // SPI output
149);
150
151 parameter IDLE = 2'b00;
152 parameter SENDL = 2'b01;
153 parameter SENDH = 2'b10;
154 parameter FINISH = 2'b11;
155
156 reg done;
157 reg isdo, hsck, icsb;
158 reg [1:0] state;
159 reg isck;
160 reg err_out;
161
162 reg [7:0] treg, rreg, d_latched;
163 reg [2:0] nbit;
164
165 reg [7:0] prescaler;
166 reg [7:0] count;
167 reg invsck;
168 reg invcsb;
169 reg mlb;
170 reg irqena;
171 reg stream;
172 reg mode;
173 reg enable;
174
175 wire csb;
176 wire irq_out;
177 wire sck;
178 wire sdo;
Tim Edwards44bab472020-10-04 22:09:54 -0400179 wire sdoenb;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400180
181 // Define behavior for inverted SCK and inverted CSB
Tim Edwards44bab472020-10-04 22:09:54 -0400182 assign csb = (enable == 1'b0) ? 1'bz : (invcsb) ? ~icsb : icsb;
183 assign sck = (enable == 1'b0) ? 1'bz : (invsck) ? ~isck : isck;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400184
185 // No bidirectional 3-pin mode defined, so SDO is enabled whenever CSB is low.
Tim Edwards44bab472020-10-04 22:09:54 -0400186 assign sdoenb = icsb;
187 // assign sdo = (enable == 1'b0) ? 1'bz : icsb ? 1'bz : isdo;
188 assign sdo = isdo;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400189
190 assign irq_out = irqena & done;
191
192 // Read configuration and data registers
193 assign reg_cfg_do = {17'd0, irqena, enable, stream, mode, invsck, invcsb, mlb, prescaler};
194 assign reg_dat_wait = ~done;
195 assign reg_dat_do = done ? rreg : ~0;
196
197 // Write configuration register
198 always @(posedge clk or negedge resetn) begin
199 if (resetn == 1'b0) begin
200 prescaler <= 8'd2;
201 invcsb <= 1'b0;
202 invsck <= 1'b0;
203 mlb <= 1'b0;
204 enable <= 1'b0;
205 irqena <= 1'b0;
206 stream <= 1'b0;
207 mode <= 1'b0;
208 end else begin
209 if (reg_cfg_we[0]) prescaler <= reg_cfg_di[7:0];
210 if (reg_cfg_we[1]) begin
211 mlb <= reg_cfg_di[8];
212 invcsb <= reg_cfg_di[9];
213 invsck <= reg_cfg_di[10];
214 mode <= reg_cfg_di[11];
215 stream <= reg_cfg_di[12];
216 enable <= reg_cfg_di[13];
217 irqena <= reg_cfg_di[14];
218 end //reg_cfg_we[1]
219 end //resetn
220 end //always
221
222 // Watch for read and write enables on clk, not hsck, so as not to
223 // miss them.
224
225 reg w_latched, r_latched;
226
227 always @(posedge clk or negedge resetn) begin
228 if (resetn == 1'b0) begin
229 err_out <= 1'b0;
230 w_latched <= 1'b0;
231 r_latched <= 1'b0;
232 d_latched <= 8'd0;
233 end else begin
234 // Clear latches on SEND, otherwise latch when seen
235 if (state == SENDL || state == SENDH) begin
236 if (reg_dat_we == 1'b0) begin
237 w_latched <= 1'b0;
238 end
239 end else begin
240 if (reg_dat_we == 1'b1) begin
241 if (done == 1'b0 && w_latched == 1'b1) begin
242 err_out <= 1'b1;
243 end else begin
244 w_latched <= 1'b1;
245 d_latched <= reg_dat_di[7:0];
246 err_out <= 1'b0;
247 end
248 end
249 end
250
251 if (reg_dat_re == 1'b1) begin
252 if (r_latched == 1'b1) begin
253 r_latched <= 1'b0;
254 end else begin
255 err_out <= 1'b1; // byte not available
256 end
257 end else if (state == FINISH) begin
258 r_latched <= 1'b1;
259 end if (state == SENDL || state == SENDH) begin
260 if (r_latched == 1'b1) begin
261 err_out <= 1'b1; // last byte was never read
262 end else begin
263 r_latched <= 1'b0;
264 end
265 end
266 end
267 end
268
269 // State transition.
270
271 always @(posedge hsck or negedge resetn) begin
272 if (resetn == 1'b0) begin
273 state <= IDLE;
274 nbit <= 3'd0;
275 icsb <= 1'b1;
276 done <= 1'b1;
277 end else begin
278 if (state == IDLE) begin
279 if (w_latched == 1'b1) begin
280 state <= SENDL;
281 nbit <= 3'd0;
282 icsb <= 1'b0;
283 done <= 1'b0;
284 end else begin
285 icsb <= ~stream;
286 end
287 end else if (state == SENDL) begin
288 state <= SENDH;
289 end else if (state == SENDH) begin
290 nbit <= nbit + 1;
291 if (nbit == 3'd7) begin
292 state <= FINISH;
293 end else begin
294 state <= SENDL;
295 end
296 end else if (state == FINISH) begin
297 icsb <= ~stream;
298 done <= 1'b1;
299 state <= IDLE;
300 end
301 end
302 end
303
304 // Set up internal clock. The enable bit gates the internal clock
305 // to shut down the master SPI when disabled.
306
307 always @(posedge clk or negedge resetn) begin
308 if (resetn == 1'b0) begin
309 count <= 8'd0;
310 hsck <= 1'b0;
311 end else begin
312 if (enable == 1'b0) begin
313 count <= 8'd0;
314 end else begin
315 count <= count + 1;
316 if (count == prescaler) begin
317 hsck <= ~hsck;
318 count <= 8'd0;
319 end // count
320 end // enable
321 end // resetn
322 end // always
323
324 // sck is half the rate of hsck
325
326 always @(posedge hsck or negedge resetn) begin
327 if (resetn == 1'b0) begin
328 isck <= 1'b0;
329 end else begin
330 if (state == IDLE || state == FINISH)
331 isck <= 1'b0;
332 else
333 isck <= ~isck;
334 end // resetn
335 end // always
336
337 // Main procedure: read, write, shift data
338
339 always @(posedge hsck or negedge resetn) begin
340 if (resetn == 1'b0) begin
341 rreg <= 8'hff;
342 treg <= 8'hff;
343 isdo <= 1'b0;
344 end else begin
345 if (isck == 1'b0 && (state == SENDL || state == SENDH)) begin
346 if (mlb == 1'b1) begin
347 // LSB first, sdi@msb -> right shift
348 rreg <= {sdi, rreg[7:1]};
349 end else begin
350 // MSB first, sdi@lsb -> left shift
351 rreg <= {rreg[6:0], sdi};
352 end
353 end // read on ~isck
354
355 if (w_latched == 1'b1) begin
356 if (mlb == 1'b1) begin
357 treg <= {1'b1, d_latched[7:1]};
358 isdo <= d_latched[0];
359 end else begin
360 treg <= {d_latched[6:0], 1'b1};
361 isdo <= d_latched[7];
362 end // mlb
363 end else if ((mode ^ isck) == 1'b1) begin
364 if (mlb == 1'b1) begin
365 // LSB first, shift right
366 treg <= {1'b1, treg[7:1]};
367 isdo <= treg[0];
368 end else begin
369 // MSB first shift LEFT
370 treg <= {treg[6:0], 1'b1};
371 isdo <= treg[7];
372 end // mlb
373 end // write on mode ^ isck
374 end // resetn
375 end // always
376
377endmodule