blob: 9a8bce865f6ae1adba07ed37788bd4f8e858bdf7 [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/system_csrc.elf