blob: 84d2f337ebbc75ddcf6fa79d1cb8f8d58386b0bf [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/arith_srli.elf