Original format of the SkW SDK
diff --git a/cells/ADDFX1/ADDFX1.spice b/cdl/ADDFX1.spice
similarity index 100%
rename from cells/ADDFX1/ADDFX1.spice
rename to cdl/ADDFX1.spice
diff --git a/cells/ADDFXL/ADDFXL.spice b/cdl/ADDFXL.spice
similarity index 100%
rename from cells/ADDFXL/ADDFXL.spice
rename to cdl/ADDFXL.spice
diff --git a/cells/ADDHX1/ADDHX1.spice b/cdl/ADDHX1.spice
similarity index 100%
rename from cells/ADDHX1/ADDHX1.spice
rename to cdl/ADDHX1.spice
diff --git a/cells/ADDHXL/ADDHXL.spice b/cdl/ADDHXL.spice
similarity index 100%
rename from cells/ADDHXL/ADDHXL.spice
rename to cdl/ADDHXL.spice
diff --git a/cells/AND2X1/AND2X1.spice b/cdl/AND2X1.spice
similarity index 100%
rename from cells/AND2X1/AND2X1.spice
rename to cdl/AND2X1.spice
diff --git a/cells/AND2X2/AND2X2.spice b/cdl/AND2X2.spice
similarity index 100%
rename from cells/AND2X2/AND2X2.spice
rename to cdl/AND2X2.spice
diff --git a/cells/AND2X4/AND2X4.spice b/cdl/AND2X4.spice
similarity index 100%
rename from cells/AND2X4/AND2X4.spice
rename to cdl/AND2X4.spice
diff --git a/cells/AND2X8/AND2X8.spice b/cdl/AND2X8.spice
similarity index 100%
rename from cells/AND2X8/AND2X8.spice
rename to cdl/AND2X8.spice
diff --git a/cells/AND2XL/AND2XL.spice b/cdl/AND2XL.spice
similarity index 100%
rename from cells/AND2XL/AND2XL.spice
rename to cdl/AND2XL.spice
diff --git a/cells/AND3XL/AND3XL.spice b/cdl/AND3XL.spice
similarity index 100%
rename from cells/AND3XL/AND3XL.spice
rename to cdl/AND3XL.spice
diff --git a/cells/ANT/ANT.spice b/cdl/ANT.spice
similarity index 100%
rename from cells/ANT/ANT.spice
rename to cdl/ANT.spice
diff --git a/cells/AOI21XL/AOI21XL.spice b/cdl/AOI21XL.spice
similarity index 100%
rename from cells/AOI21XL/AOI21XL.spice
rename to cdl/AOI21XL.spice
diff --git a/cells/BUFX1/BUFX1.spice b/cdl/BUFX1.spice
similarity index 100%
rename from cells/BUFX1/BUFX1.spice
rename to cdl/BUFX1.spice
diff --git a/cells/BUFX2/BUFX2.spice b/cdl/BUFX2.spice
similarity index 100%
rename from cells/BUFX2/BUFX2.spice
rename to cdl/BUFX2.spice
diff --git a/cells/BUFX4/BUFX4.spice b/cdl/BUFX4.spice
similarity index 100%
rename from cells/BUFX4/BUFX4.spice
rename to cdl/BUFX4.spice
diff --git a/cells/BUFX6/BUFX6.spice b/cdl/BUFX6.spice
similarity index 100%
rename from cells/BUFX6/BUFX6.spice
rename to cdl/BUFX6.spice
diff --git a/cells/BUFX8/BUFX8.spice b/cdl/BUFX8.spice
similarity index 100%
rename from cells/BUFX8/BUFX8.spice
rename to cdl/BUFX8.spice
diff --git a/cells/BUFXL/BUFXL.spice b/cdl/BUFXL.spice
similarity index 100%
rename from cells/BUFXL/BUFXL.spice
rename to cdl/BUFXL.spice
diff --git a/cells/CLKBUFX1/CLKBUFX1.spice b/cdl/CLKBUFX1.spice
similarity index 100%
rename from cells/CLKBUFX1/CLKBUFX1.spice
rename to cdl/CLKBUFX1.spice
diff --git a/cells/CLKINVX1/CLKINVX1.spice b/cdl/CLKINVX1.spice
similarity index 100%
rename from cells/CLKINVX1/CLKINVX1.spice
rename to cdl/CLKINVX1.spice
diff --git a/cells/CLKINVX2/CLKINVX2.spice b/cdl/CLKINVX2.spice
similarity index 100%
rename from cells/CLKINVX2/CLKINVX2.spice
rename to cdl/CLKINVX2.spice
diff --git a/cells/CLKINVX4/CLKINVX4.spice b/cdl/CLKINVX4.spice
similarity index 100%
rename from cells/CLKINVX4/CLKINVX4.spice
rename to cdl/CLKINVX4.spice
diff --git a/cells/DECAPX1/DECAPX1.spice b/cdl/DECAPX1.spice
similarity index 100%
rename from cells/DECAPX1/DECAPX1.spice
rename to cdl/DECAPX1.spice
diff --git a/cells/DECAPXL/DECAPXL.spice b/cdl/DECAPXL.spice
similarity index 100%
rename from cells/DECAPXL/DECAPXL.spice
rename to cdl/DECAPXL.spice
diff --git a/cells/DFFNX1/DFFNX1.spice b/cdl/DFFNX1.spice
similarity index 100%
rename from cells/DFFNX1/DFFNX1.spice
rename to cdl/DFFNX1.spice
diff --git a/cells/DFFNXL/DFFNXL.spice b/cdl/DFFNXL.spice
similarity index 100%
rename from cells/DFFNXL/DFFNXL.spice
rename to cdl/DFFNXL.spice
diff --git a/cells/DFFRX1/DFFRX1.spice b/cdl/DFFRX1.spice
similarity index 100%
rename from cells/DFFRX1/DFFRX1.spice
rename to cdl/DFFRX1.spice
diff --git a/cells/DFFRXL/DFFRXL.spice b/cdl/DFFRXL.spice
similarity index 100%
rename from cells/DFFRXL/DFFRXL.spice
rename to cdl/DFFRXL.spice
diff --git a/cells/DFFSX1/DFFSX1.spice b/cdl/DFFSX1.spice
similarity index 100%
rename from cells/DFFSX1/DFFSX1.spice
rename to cdl/DFFSX1.spice
diff --git a/cells/DFFSXL/DFFSXL.spice b/cdl/DFFSXL.spice
similarity index 100%
rename from cells/DFFSXL/DFFSXL.spice
rename to cdl/DFFSXL.spice
diff --git a/cells/DFFX1/DFFX1.spice b/cdl/DFFX1.spice
similarity index 100%
rename from cells/DFFX1/DFFX1.spice
rename to cdl/DFFX1.spice
diff --git a/cells/DFFXL/DFFXL.spice b/cdl/DFFXL.spice
similarity index 100%
rename from cells/DFFXL/DFFXL.spice
rename to cdl/DFFXL.spice
diff --git a/cells/DLY1/DLY1.spice b/cdl/DLY1.spice
similarity index 100%
rename from cells/DLY1/DLY1.spice
rename to cdl/DLY1.spice
diff --git a/cells/DLY2/DLY2.spice b/cdl/DLY2.spice
similarity index 100%
rename from cells/DLY2/DLY2.spice
rename to cdl/DLY2.spice
diff --git a/cells/DLY3/DLY3.spice b/cdl/DLY3.spice
similarity index 100%
rename from cells/DLY3/DLY3.spice
rename to cdl/DLY3.spice
diff --git a/cells/DLY4/DLY4.spice b/cdl/DLY4.spice
similarity index 100%
rename from cells/DLY4/DLY4.spice
rename to cdl/DLY4.spice
diff --git a/cells/FILLX1/FILLX1.spice b/cdl/FILLX1.spice
similarity index 100%
rename from cells/FILLX1/FILLX1.spice
rename to cdl/FILLX1.spice
diff --git a/cells/FILLX16/FILLX16.spice b/cdl/FILLX16.spice
similarity index 100%
rename from cells/FILLX16/FILLX16.spice
rename to cdl/FILLX16.spice
diff --git a/cells/FILLX2/FILLX2.spice b/cdl/FILLX2.spice
similarity index 100%
rename from cells/FILLX2/FILLX2.spice
rename to cdl/FILLX2.spice
diff --git a/cells/FILLX32/FILLX32.spice b/cdl/FILLX32.spice
similarity index 100%
rename from cells/FILLX32/FILLX32.spice
rename to cdl/FILLX32.spice
diff --git a/cells/FILLX4/FILLX4.spice b/cdl/FILLX4.spice
similarity index 100%
rename from cells/FILLX4/FILLX4.spice
rename to cdl/FILLX4.spice
diff --git a/cells/FILLX8/FILLX8.spice b/cdl/FILLX8.spice
similarity index 100%
rename from cells/FILLX8/FILLX8.spice
rename to cdl/FILLX8.spice
diff --git a/cells/INVX1/INVX1.spice b/cdl/INVX1.spice
similarity index 100%
rename from cells/INVX1/INVX1.spice
rename to cdl/INVX1.spice
diff --git a/cells/INVX10/INVX10.spice b/cdl/INVX10.spice
similarity index 100%
rename from cells/INVX10/INVX10.spice
rename to cdl/INVX10.spice
diff --git a/cells/INVX2/INVX2.spice b/cdl/INVX2.spice
similarity index 100%
rename from cells/INVX2/INVX2.spice
rename to cdl/INVX2.spice
diff --git a/cells/INVX3/INVX3.spice b/cdl/INVX3.spice
similarity index 100%
rename from cells/INVX3/INVX3.spice
rename to cdl/INVX3.spice
diff --git a/cells/INVX4/INVX4.spice b/cdl/INVX4.spice
similarity index 100%
rename from cells/INVX4/INVX4.spice
rename to cdl/INVX4.spice
diff --git a/cells/INVX6/INVX6.spice b/cdl/INVX6.spice
similarity index 100%
rename from cells/INVX6/INVX6.spice
rename to cdl/INVX6.spice
diff --git a/cells/INVX8/INVX8.spice b/cdl/INVX8.spice
similarity index 100%
rename from cells/INVX8/INVX8.spice
rename to cdl/INVX8.spice
diff --git a/cells/INVXL/INVXL.spice b/cdl/INVXL.spice
similarity index 100%
rename from cells/INVXL/INVXL.spice
rename to cdl/INVXL.spice
diff --git a/cells/NAND2X1/NAND2X1.spice b/cdl/NAND2X1.spice
similarity index 100%
rename from cells/NAND2X1/NAND2X1.spice
rename to cdl/NAND2X1.spice
diff --git a/cells/NAND2XL/NAND2XL.spice b/cdl/NAND2XL.spice
similarity index 100%
rename from cells/NAND2XL/NAND2XL.spice
rename to cdl/NAND2XL.spice
diff --git a/cells/NAND3X1/NAND3X1.spice b/cdl/NAND3X1.spice
similarity index 100%
rename from cells/NAND3X1/NAND3X1.spice
rename to cdl/NAND3X1.spice
diff --git a/cells/NAND3XL/NAND3XL.spice b/cdl/NAND3XL.spice
similarity index 100%
rename from cells/NAND3XL/NAND3XL.spice
rename to cdl/NAND3XL.spice
diff --git a/cells/NAND4XL/NAND4XL.spice b/cdl/NAND4XL.spice
similarity index 100%
rename from cells/NAND4XL/NAND4XL.spice
rename to cdl/NAND4XL.spice
diff --git a/cells/NOR2X1/NOR2X1.spice b/cdl/NOR2X1.spice
similarity index 100%
rename from cells/NOR2X1/NOR2X1.spice
rename to cdl/NOR2X1.spice
diff --git a/cells/NOR2XL/NOR2XL.spice b/cdl/NOR2XL.spice
similarity index 100%
rename from cells/NOR2XL/NOR2XL.spice
rename to cdl/NOR2XL.spice
diff --git a/cells/OAI21XL/OAI21XL.spice b/cdl/OAI21XL.spice
similarity index 100%
rename from cells/OAI21XL/OAI21XL.spice
rename to cdl/OAI21XL.spice
diff --git a/cells/OR2X1/OR2X1.spice b/cdl/OR2X1.spice
similarity index 100%
rename from cells/OR2X1/OR2X1.spice
rename to cdl/OR2X1.spice
diff --git a/cells/OR2X2/OR2X2.spice b/cdl/OR2X2.spice
similarity index 100%
rename from cells/OR2X2/OR2X2.spice
rename to cdl/OR2X2.spice
diff --git a/cells/OR2X4/OR2X4.spice b/cdl/OR2X4.spice
similarity index 100%
rename from cells/OR2X4/OR2X4.spice
rename to cdl/OR2X4.spice
diff --git a/cells/OR2XL/OR2XL.spice b/cdl/OR2XL.spice
similarity index 100%
rename from cells/OR2XL/OR2XL.spice
rename to cdl/OR2XL.spice
diff --git a/cells/TBUFXL/TBUFXL.spice b/cdl/TBUFXL.spice
similarity index 100%
rename from cells/TBUFXL/TBUFXL.spice
rename to cdl/TBUFXL.spice
diff --git a/cells/TIEHI/TIEHI.spice b/cdl/TIEHI.spice
similarity index 100%
rename from cells/TIEHI/TIEHI.spice
rename to cdl/TIEHI.spice
diff --git a/cells/TIELO/TIELO.spice b/cdl/TIELO.spice
similarity index 100%
rename from cells/TIELO/TIELO.spice
rename to cdl/TIELO.spice
diff --git a/cells/TNBUFXL/TNBUFXL.spice b/cdl/TNBUFXL.spice
similarity index 100%
rename from cells/TNBUFXL/TNBUFXL.spice
rename to cdl/TNBUFXL.spice
diff --git a/cells/XNOR2XL/XNOR2XL.spice b/cdl/XNOR2XL.spice
similarity index 100%
rename from cells/XNOR2XL/XNOR2XL.spice
rename to cdl/XNOR2XL.spice
diff --git a/cells/XOR2XL/XOR2XL.spice b/cdl/XOR2XL.spice
similarity index 100%
rename from cells/XOR2XL/XOR2XL.spice
rename to cdl/XOR2XL.spice
diff --git a/cells/ADDFX1/ADDFX1.gds b/cells/ADDFX1/ADDFX1.gds
deleted file mode 100644
index b22a11b..0000000
--- a/cells/ADDFX1/ADDFX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ADDFX1/ADDFX1.mag b/cells/ADDFX1/ADDFX1.mag
deleted file mode 100644
index e28feb1..0000000
--- a/cells/ADDFX1/ADDFX1.mag
+++ /dev/null
@@ -1,594 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906007
-<< checkpaint >>
-rect -1304 -1260 2695 2709
-<< psdm >>
-rect 0 0 1408 86
-<< nsdm >>
-rect 0 1354 1408 1440
-<< npc >>
-rect 60 363 1353 678
-<< nwell >>
-rect -9 689 1435 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 410 115 440 315
-rect 496 115 526 315
-rect 582 115 612 315
-rect 668 115 698 315
-rect 754 115 784 315
-rect 840 115 870 315
-rect 922 115 952 315
-rect 1004 115 1034 315
-rect 1102 115 1132 315
-rect 1292 115 1322 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 410 725 440 1325
-rect 496 725 526 1325
-rect 582 725 612 1325
-rect 668 725 698 1325
-rect 754 725 784 1325
-rect 840 725 870 1325
-rect 922 725 952 1325
-rect 1004 725 1034 1325
-rect 1102 725 1132 1325
-rect 1292 725 1322 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 115 410 315
-rect 440 267 496 315
-rect 440 131 451 267
-rect 485 131 496 267
-rect 440 115 496 131
-rect 526 267 582 315
-rect 526 131 537 267
-rect 571 131 582 267
-rect 526 115 582 131
-rect 612 199 668 315
-rect 612 131 623 199
-rect 657 131 668 199
-rect 612 115 668 131
-rect 698 267 754 315
-rect 698 131 709 267
-rect 743 131 754 267
-rect 698 115 754 131
-rect 784 267 840 315
-rect 784 131 795 267
-rect 829 131 840 267
-rect 784 115 840 131
-rect 870 115 922 315
-rect 952 115 1004 315
-rect 1034 199 1102 315
-rect 1034 131 1045 199
-rect 1079 131 1102 199
-rect 1034 115 1102 131
-rect 1132 199 1185 315
-rect 1132 131 1143 199
-rect 1177 131 1185 199
-rect 1132 115 1185 131
-rect 1239 199 1292 315
-rect 1239 131 1247 199
-rect 1281 131 1292 199
-rect 1239 115 1292 131
-rect 1322 199 1375 315
-rect 1322 131 1333 199
-rect 1367 131 1375 199
-rect 1322 115 1375 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 901 121 1309
-rect 155 901 166 1309
-rect 110 725 166 901
-rect 196 1309 252 1325
-rect 196 833 207 1309
-rect 241 833 252 1309
-rect 196 725 252 833
-rect 282 1309 338 1325
-rect 282 833 293 1309
-rect 327 833 338 1309
-rect 282 725 338 833
-rect 368 725 410 1325
-rect 440 1309 496 1325
-rect 440 833 451 1309
-rect 485 833 496 1309
-rect 440 725 496 833
-rect 526 1309 582 1325
-rect 526 833 537 1309
-rect 571 833 582 1309
-rect 526 725 582 833
-rect 612 1309 668 1325
-rect 612 901 623 1309
-rect 657 901 668 1309
-rect 612 725 668 901
-rect 698 1309 754 1325
-rect 698 833 709 1309
-rect 743 833 754 1309
-rect 698 725 754 833
-rect 784 1309 840 1325
-rect 784 833 795 1309
-rect 829 833 840 1309
-rect 784 725 840 833
-rect 870 725 922 1325
-rect 952 725 1004 1325
-rect 1034 1309 1102 1325
-rect 1034 833 1045 1309
-rect 1079 833 1102 1309
-rect 1034 725 1102 833
-rect 1132 1309 1185 1325
-rect 1132 1037 1143 1309
-rect 1177 1037 1185 1309
-rect 1132 725 1185 1037
-rect 1239 1309 1292 1325
-rect 1239 1037 1247 1309
-rect 1281 1037 1292 1309
-rect 1239 725 1292 1037
-rect 1322 1309 1375 1325
-rect 1322 1037 1333 1309
-rect 1367 1037 1375 1309
-rect 1322 725 1375 1037
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 207 131 241 267
-rect 293 131 327 267
-rect 451 131 485 267
-rect 537 131 571 267
-rect 623 131 657 199
-rect 709 131 743 267
-rect 795 131 829 267
-rect 1045 131 1079 199
-rect 1143 131 1177 199
-rect 1247 131 1281 199
-rect 1333 131 1367 199
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 901 155 1309
-rect 207 833 241 1309
-rect 293 833 327 1309
-rect 451 833 485 1309
-rect 537 833 571 1309
-rect 623 901 657 1309
-rect 709 833 743 1309
-rect 795 833 829 1309
-rect 1045 833 1079 1309
-rect 1143 1037 1177 1309
-rect 1247 1037 1281 1309
-rect 1333 1037 1367 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1353
-rect 338 1325 368 1353
-rect 410 1340 526 1370
-rect 410 1325 440 1340
-rect 496 1325 526 1340
-rect 582 1325 612 1353
-rect 668 1325 698 1353
-rect 754 1325 784 1353
-rect 840 1325 870 1353
-rect 922 1325 952 1353
-rect 1004 1325 1034 1353
-rect 1102 1325 1132 1353
-rect 1292 1325 1322 1353
-rect 80 513 110 725
-rect 166 673 196 725
-rect 152 657 206 673
-rect 152 623 162 657
-rect 196 623 206 657
-rect 152 607 206 623
-rect 70 497 124 513
-rect 70 463 80 497
-rect 114 463 124 497
-rect 70 447 124 463
-rect 80 315 110 447
-rect 166 315 196 607
-rect 252 513 282 725
-rect 338 593 368 725
-rect 410 682 440 725
-rect 496 653 526 725
-rect 582 673 612 725
-rect 472 623 526 653
-rect 568 657 622 673
-rect 568 623 578 657
-rect 612 623 622 657
-rect 338 577 392 593
-rect 338 543 348 577
-rect 382 543 392 577
-rect 338 527 392 543
-rect 238 497 292 513
-rect 238 463 248 497
-rect 282 463 292 497
-rect 238 447 292 463
-rect 252 315 282 447
-rect 338 315 368 527
-rect 472 513 502 623
-rect 568 607 622 623
-rect 472 497 526 513
-rect 472 477 482 497
-rect 410 463 482 477
-rect 516 463 526 497
-rect 410 447 526 463
-rect 410 315 440 447
-rect 496 315 526 447
-rect 582 315 612 607
-rect 668 513 698 725
-rect 754 673 784 725
-rect 742 657 796 673
-rect 742 623 752 657
-rect 786 623 796 657
-rect 742 607 796 623
-rect 656 497 710 513
-rect 656 463 666 497
-rect 700 463 710 497
-rect 656 447 710 463
-rect 668 315 698 447
-rect 754 315 784 607
-rect 840 513 870 725
-rect 922 593 952 725
-rect 1004 665 1034 725
-rect 1102 673 1132 725
-rect 1292 673 1322 725
-rect 1004 635 1048 665
-rect 1102 657 1241 673
-rect 1102 643 1197 657
-rect 922 577 976 593
-rect 922 543 932 577
-rect 966 543 976 577
-rect 922 527 976 543
-rect 826 497 880 513
-rect 826 463 836 497
-rect 870 463 880 497
-rect 826 447 880 463
-rect 840 315 870 447
-rect 922 315 952 527
-rect 1018 433 1048 635
-rect 1181 623 1197 643
-rect 1231 623 1241 657
-rect 1181 607 1241 623
-rect 1289 657 1343 673
-rect 1289 623 1299 657
-rect 1333 623 1343 657
-rect 1289 607 1343 623
-rect 994 417 1048 433
-rect 994 383 1004 417
-rect 1038 383 1048 417
-rect 1211 414 1241 607
-rect 994 367 1048 383
-rect 1102 383 1241 414
-rect 1313 413 1343 607
-rect 1292 383 1343 413
-rect 1004 315 1034 367
-rect 1102 315 1132 383
-rect 1292 315 1322 383
-rect 80 81 110 115
-rect 166 82 196 115
-rect 252 82 282 115
-rect 338 82 368 115
-rect 410 82 440 115
-rect 496 82 526 115
-rect 582 82 612 115
-rect 668 82 698 115
-rect 754 82 784 115
-rect 840 82 870 115
-rect 922 82 952 115
-rect 1004 82 1034 115
-rect 1102 80 1132 115
-rect 1292 80 1322 115
-<< polycont >>
-rect 162 623 196 657
-rect 80 463 114 497
-rect 578 623 612 657
-rect 348 543 382 577
-rect 248 463 282 497
-rect 482 463 516 497
-rect 752 623 786 657
-rect 666 463 700 497
-rect 932 543 966 577
-rect 836 463 870 497
-rect 1197 623 1231 657
-rect 1299 623 1333 657
-rect 1004 383 1038 417
-<< locali >>
-rect 0 1413 1408 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1408 1413
-rect 35 1309 69 1325
-rect 121 1309 155 1379
-rect 121 885 155 901
-rect 207 1309 241 1325
-rect 35 817 69 833
-rect 207 817 241 833
-rect 35 783 241 817
-rect 293 1309 327 1325
-rect 293 817 327 833
-rect 451 1309 485 1379
-rect 451 817 485 833
-rect 537 1309 571 1325
-rect 623 1309 657 1379
-rect 623 885 657 901
-rect 709 1309 743 1325
-rect 293 737 327 783
-rect 537 816 571 833
-rect 709 816 743 833
-rect 537 782 743 816
-rect 795 1309 829 1325
-rect 795 816 829 833
-rect 1045 1309 1079 1379
-rect 1045 817 1079 833
-rect 1143 1309 1177 1325
-rect 1143 817 1177 1037
-rect 1247 1309 1281 1379
-rect 1247 1021 1281 1037
-rect 1333 1309 1367 1325
-rect 1333 817 1367 1037
-rect 293 703 1333 737
-rect 643 657 678 658
-rect 752 657 786 703
-rect 1299 657 1333 703
-rect 64 623 80 657
-rect 114 623 162 657
-rect 196 623 578 657
-rect 612 623 678 657
-rect 736 623 752 657
-rect 786 623 803 657
-rect 1181 623 1197 657
-rect 1283 623 1299 657
-rect 1333 623 1349 657
-rect 348 577 382 623
-rect 643 577 678 623
-rect 64 543 80 577
-rect 114 543 198 577
-rect 332 543 348 577
-rect 382 543 399 577
-rect 643 543 932 577
-rect 966 543 1367 577
-rect 164 497 198 543
-rect 64 463 80 497
-rect 114 463 130 497
-rect 164 463 248 497
-rect 282 463 398 497
-rect 466 463 482 497
-rect 516 463 532 497
-rect 600 463 666 497
-rect 700 463 836 497
-rect 870 463 1367 497
-rect 80 417 114 463
-rect 482 417 516 463
-rect 80 383 1004 417
-rect 1038 383 1367 417
-rect 35 267 241 286
-rect 69 252 207 267
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 114 241 131
-rect 293 267 327 303
-rect 829 303 1212 337
-rect 293 114 327 131
-rect 451 267 485 283
-rect 451 61 485 131
-rect 537 267 743 286
-rect 571 252 709 267
-rect 537 114 571 131
-rect 623 199 657 215
-rect 623 61 657 131
-rect 709 114 743 131
-rect 795 267 829 303
-rect 795 114 829 131
-rect 1045 199 1079 215
-rect 1045 61 1079 131
-rect 1143 199 1177 223
-rect 1143 115 1177 131
-rect 1247 199 1281 215
-rect 1247 61 1281 131
-rect 1333 199 1367 223
-rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
-<< viali >>
-rect 293 783 327 817
-rect 795 782 829 816
-rect 1143 783 1177 817
-rect 1333 783 1367 817
-rect 80 623 114 657
-rect 1213 623 1231 657
-rect 1231 623 1247 657
-rect 80 543 114 577
-rect 80 463 114 497
-rect 398 463 432 497
-rect 566 463 600 497
-rect 293 303 327 337
-rect 795 303 829 337
-rect 1212 303 1246 337
-rect 1143 223 1177 257
-rect 1333 223 1367 257
-<< metal1 >>
-rect 0 1379 1408 1440
-rect 281 817 339 823
-rect 281 783 293 817
-rect 327 783 339 817
-rect 281 777 339 783
-rect 783 816 841 822
-rect 783 782 795 816
-rect 829 782 841 816
-rect 64 657 142 663
-rect 64 623 80 657
-rect 114 623 142 657
-rect 64 617 142 623
-rect 64 577 142 583
-rect 64 543 80 577
-rect 114 543 142 577
-rect 64 537 142 543
-rect 64 497 142 503
-rect 64 463 80 497
-rect 114 463 142 497
-rect 64 457 142 463
-rect 293 343 327 777
-rect 783 776 841 782
-rect 1131 817 1189 823
-rect 1131 783 1143 817
-rect 1177 783 1189 817
-rect 1131 777 1189 783
-rect 1321 817 1379 823
-rect 1321 783 1333 817
-rect 1367 783 1379 817
-rect 1321 777 1379 783
-rect 386 497 444 503
-rect 554 497 612 503
-rect 386 463 398 497
-rect 432 463 566 497
-rect 600 463 612 497
-rect 386 457 444 463
-rect 554 457 612 463
-rect 795 343 829 776
-rect 281 337 339 343
-rect 281 303 293 337
-rect 327 303 339 337
-rect 281 297 339 303
-rect 783 337 841 343
-rect 783 303 795 337
-rect 829 303 841 337
-rect 783 297 841 303
-rect 1131 263 1165 777
-rect 1201 657 1259 663
-rect 1201 623 1213 657
-rect 1247 623 1259 657
-rect 1201 617 1259 623
-rect 1212 343 1247 617
-rect 1200 337 1258 343
-rect 1200 303 1212 337
-rect 1246 303 1258 337
-rect 1200 297 1258 303
-rect 1333 263 1367 777
-rect 1131 257 1189 263
-rect 1131 223 1143 257
-rect 1177 223 1189 257
-rect 1131 217 1189 223
-rect 1321 257 1379 263
-rect 1321 223 1333 257
-rect 1367 223 1379 257
-rect 1321 217 1379 223
-rect 0 0 1408 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 1346 588 1346 588 1 CO
-port 1 n
-rlabel metal1 1147 632 1147 632 1 S
-port 2 n
-rlabel locali 97 480 97 480 1 A
-port 3 n
-rlabel locali 97 560 97 560 1 CI
-port 4 n
-rlabel locali 97 640 97 640 1 B
-port 5 n
-<< end >>
diff --git a/cells/ADDFX1/definition.json b/cells/ADDFX1/definition.json
deleted file mode 100644
index a5f50e9..0000000
--- a/cells/ADDFX1/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "3-2 counter (full-adder) cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ADDFX1",
- "library": "sky130_osu_sc",
- "name": "ADDFX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CI",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "S",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "CO",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ADDFX1"
-}
diff --git a/cells/ADDFXL/ADDFXL.gds b/cells/ADDFXL/ADDFXL.gds
deleted file mode 100644
index 5d46fb4..0000000
--- a/cells/ADDFXL/ADDFXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ADDFXL/ADDFXL.mag b/cells/ADDFXL/ADDFXL.mag
deleted file mode 100644
index dbb7bab..0000000
--- a/cells/ADDFXL/ADDFXL.mag
+++ /dev/null
@@ -1,598 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906007
-<< checkpaint >>
-rect -1304 -1260 2695 2709
-<< psdm >>
-rect 0 0 1408 86
-<< nsdm >>
-rect 0 1354 1408 1440
-<< npc >>
-rect 1277 734 1353 757
-rect 1241 680 1353 734
-rect 58 361 1297 680
-<< nwell >>
-rect -9 689 1435 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 410 115 440 315
-rect 496 115 526 315
-rect 582 115 612 315
-rect 668 115 698 315
-rect 754 115 784 315
-rect 840 115 870 315
-rect 922 115 952 315
-rect 1004 115 1034 315
-rect 1102 115 1132 243
-rect 1292 115 1322 243
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 410 725 440 1325
-rect 496 725 526 1325
-rect 582 725 612 1325
-rect 668 725 698 1325
-rect 754 725 784 1325
-rect 840 725 870 1325
-rect 922 725 952 1325
-rect 1004 725 1034 1325
-rect 1102 995 1132 1325
-rect 1292 995 1322 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 115 410 315
-rect 440 267 496 315
-rect 440 131 451 267
-rect 485 131 496 267
-rect 440 115 496 131
-rect 526 267 582 315
-rect 526 131 537 267
-rect 571 131 582 267
-rect 526 115 582 131
-rect 612 199 668 315
-rect 612 131 623 199
-rect 657 131 668 199
-rect 612 115 668 131
-rect 698 267 754 315
-rect 698 131 709 267
-rect 743 131 754 267
-rect 698 115 754 131
-rect 784 267 840 315
-rect 784 131 795 267
-rect 829 131 840 267
-rect 784 115 840 131
-rect 870 115 922 315
-rect 952 115 1004 315
-rect 1034 243 1087 315
-rect 1034 199 1102 243
-rect 1034 131 1045 199
-rect 1079 131 1102 199
-rect 1034 115 1102 131
-rect 1132 199 1185 243
-rect 1132 131 1143 199
-rect 1177 131 1185 199
-rect 1132 115 1185 131
-rect 1239 199 1292 243
-rect 1239 131 1247 199
-rect 1281 131 1292 199
-rect 1239 115 1292 131
-rect 1322 199 1375 243
-rect 1322 131 1333 199
-rect 1367 131 1375 199
-rect 1322 115 1375 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 901 121 1309
-rect 155 901 166 1309
-rect 110 725 166 901
-rect 196 1309 252 1325
-rect 196 833 207 1309
-rect 241 833 252 1309
-rect 196 725 252 833
-rect 282 1309 338 1325
-rect 282 833 293 1309
-rect 327 833 338 1309
-rect 282 725 338 833
-rect 368 725 410 1325
-rect 440 1309 496 1325
-rect 440 833 451 1309
-rect 485 833 496 1309
-rect 440 725 496 833
-rect 526 1309 582 1325
-rect 526 833 537 1309
-rect 571 833 582 1309
-rect 526 725 582 833
-rect 612 1309 668 1325
-rect 612 901 623 1309
-rect 657 901 668 1309
-rect 612 725 668 901
-rect 698 1309 754 1325
-rect 698 833 709 1309
-rect 743 833 754 1309
-rect 698 725 754 833
-rect 784 1309 840 1325
-rect 784 833 795 1309
-rect 829 833 840 1309
-rect 784 725 840 833
-rect 870 725 922 1325
-rect 952 725 1004 1325
-rect 1034 1309 1102 1325
-rect 1034 833 1045 1309
-rect 1079 995 1102 1309
-rect 1132 1309 1185 1325
-rect 1132 1037 1143 1309
-rect 1177 1037 1185 1309
-rect 1132 995 1185 1037
-rect 1239 1309 1292 1325
-rect 1239 1037 1247 1309
-rect 1281 1037 1292 1309
-rect 1239 995 1292 1037
-rect 1322 1309 1375 1325
-rect 1322 1037 1333 1309
-rect 1367 1037 1375 1309
-rect 1322 995 1375 1037
-rect 1079 833 1087 995
-rect 1034 725 1087 833
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 207 131 241 267
-rect 293 131 327 267
-rect 451 131 485 267
-rect 537 131 571 267
-rect 623 131 657 199
-rect 709 131 743 267
-rect 795 131 829 267
-rect 1045 131 1079 199
-rect 1143 131 1177 199
-rect 1247 131 1281 199
-rect 1333 131 1367 199
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 901 155 1309
-rect 207 833 241 1309
-rect 293 833 327 1309
-rect 451 833 485 1309
-rect 537 833 571 1309
-rect 623 901 657 1309
-rect 709 833 743 1309
-rect 795 833 829 1309
-rect 1045 833 1079 1309
-rect 1143 1037 1177 1309
-rect 1247 1037 1281 1309
-rect 1333 1037 1367 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1353
-rect 338 1325 368 1353
-rect 410 1340 526 1370
-rect 410 1325 440 1340
-rect 496 1325 526 1340
-rect 582 1325 612 1353
-rect 668 1325 698 1353
-rect 754 1325 784 1353
-rect 840 1325 870 1353
-rect 922 1325 952 1353
-rect 1004 1325 1034 1353
-rect 1102 1325 1132 1353
-rect 1292 1325 1322 1353
-rect 1102 791 1132 995
-rect 1102 761 1241 791
-rect 80 513 110 725
-rect 166 673 196 725
-rect 152 657 206 673
-rect 152 623 162 657
-rect 196 623 206 657
-rect 152 607 206 623
-rect 70 497 124 513
-rect 70 463 80 497
-rect 114 463 124 497
-rect 70 447 124 463
-rect 80 315 110 447
-rect 166 315 196 607
-rect 252 513 282 725
-rect 338 593 368 725
-rect 410 682 440 725
-rect 496 653 526 725
-rect 582 673 612 725
-rect 472 623 526 653
-rect 568 657 622 673
-rect 568 623 578 657
-rect 612 623 622 657
-rect 338 577 392 593
-rect 338 543 348 577
-rect 382 543 392 577
-rect 338 527 392 543
-rect 238 497 292 513
-rect 238 463 248 497
-rect 282 463 292 497
-rect 238 447 292 463
-rect 252 315 282 447
-rect 338 315 368 527
-rect 472 513 502 623
-rect 568 607 622 623
-rect 472 497 526 513
-rect 472 477 482 497
-rect 410 463 482 477
-rect 516 463 526 497
-rect 410 447 526 463
-rect 410 315 440 447
-rect 496 315 526 447
-rect 582 315 612 607
-rect 668 513 698 725
-rect 754 673 784 725
-rect 742 657 796 673
-rect 742 623 752 657
-rect 786 623 796 657
-rect 742 607 796 623
-rect 656 497 710 513
-rect 656 463 666 497
-rect 700 463 710 497
-rect 656 447 710 463
-rect 668 315 698 447
-rect 754 315 784 607
-rect 840 513 870 725
-rect 922 593 952 725
-rect 1004 691 1034 725
-rect 1004 661 1118 691
-rect 1211 673 1241 761
-rect 1292 753 1322 995
-rect 1289 737 1343 753
-rect 1289 703 1299 737
-rect 1333 703 1343 737
-rect 1289 687 1343 703
-rect 922 577 976 593
-rect 922 543 932 577
-rect 966 543 976 577
-rect 922 527 976 543
-rect 826 497 880 513
-rect 826 463 836 497
-rect 870 463 880 497
-rect 826 447 880 463
-rect 840 315 870 447
-rect 922 315 952 527
-rect 1088 443 1118 661
-rect 1181 657 1241 673
-rect 1181 623 1197 657
-rect 1231 623 1241 657
-rect 1181 607 1241 623
-rect 1004 417 1169 443
-rect 1004 413 1125 417
-rect 1004 315 1034 413
-rect 1115 383 1125 413
-rect 1159 383 1169 417
-rect 1115 367 1169 383
-rect 1211 325 1241 607
-rect 1102 294 1241 325
-rect 1313 322 1343 687
-rect 1102 243 1132 294
-rect 1292 292 1343 322
-rect 1292 243 1322 292
-rect 80 81 110 115
-rect 166 82 196 115
-rect 252 82 282 115
-rect 338 82 368 115
-rect 410 82 440 115
-rect 496 82 526 115
-rect 582 82 612 115
-rect 668 82 698 115
-rect 754 82 784 115
-rect 840 82 870 115
-rect 922 82 952 115
-rect 1004 82 1034 115
-rect 1102 80 1132 115
-rect 1292 80 1322 115
-<< polycont >>
-rect 162 623 196 657
-rect 80 463 114 497
-rect 578 623 612 657
-rect 348 543 382 577
-rect 248 463 282 497
-rect 482 463 516 497
-rect 752 623 786 657
-rect 666 463 700 497
-rect 1299 703 1333 737
-rect 932 543 966 577
-rect 836 463 870 497
-rect 1197 623 1231 657
-rect 1125 383 1159 417
-<< locali >>
-rect 0 1413 1408 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1408 1413
-rect 35 1309 69 1325
-rect 121 1309 155 1379
-rect 121 885 155 901
-rect 207 1309 241 1325
-rect 35 817 69 833
-rect 207 817 241 833
-rect 35 783 241 817
-rect 293 1309 327 1325
-rect 293 817 327 833
-rect 451 1309 485 1379
-rect 451 817 485 833
-rect 537 1309 571 1325
-rect 623 1309 657 1379
-rect 623 885 657 901
-rect 709 1309 743 1325
-rect 293 737 327 783
-rect 537 816 571 833
-rect 709 816 743 833
-rect 537 782 743 816
-rect 795 1309 829 1325
-rect 795 816 829 833
-rect 1045 1309 1079 1379
-rect 1045 817 1079 833
-rect 1143 1309 1177 1325
-rect 1143 817 1177 1037
-rect 1247 1309 1281 1379
-rect 1247 1021 1281 1037
-rect 1333 1309 1367 1325
-rect 1333 817 1367 1037
-rect 293 703 1299 737
-rect 1333 703 1349 737
-rect 643 657 678 658
-rect 752 657 786 703
-rect 64 623 80 657
-rect 114 623 162 657
-rect 196 623 578 657
-rect 612 623 678 657
-rect 736 623 752 657
-rect 786 623 803 657
-rect 1181 623 1197 657
-rect 348 577 382 623
-rect 643 577 678 623
-rect 64 543 80 577
-rect 114 543 198 577
-rect 332 543 348 577
-rect 382 543 399 577
-rect 643 543 932 577
-rect 966 543 1177 577
-rect 164 497 198 543
-rect 64 463 80 497
-rect 114 463 130 497
-rect 164 463 248 497
-rect 282 463 398 497
-rect 466 463 482 497
-rect 516 463 532 497
-rect 600 463 666 497
-rect 700 463 836 497
-rect 870 463 1177 497
-rect 80 417 114 463
-rect 482 417 516 463
-rect 80 383 1125 417
-rect 1159 383 1177 417
-rect 35 267 241 286
-rect 69 252 207 267
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 114 241 131
-rect 293 267 327 303
-rect 829 303 1217 337
-rect 293 114 327 131
-rect 451 267 485 283
-rect 451 61 485 131
-rect 537 267 743 286
-rect 571 252 709 267
-rect 537 114 571 131
-rect 623 199 657 215
-rect 623 61 657 131
-rect 709 114 743 131
-rect 795 267 829 303
-rect 795 114 829 131
-rect 1045 199 1079 215
-rect 1045 61 1079 131
-rect 1143 199 1177 223
-rect 1143 115 1177 131
-rect 1247 199 1281 215
-rect 1247 61 1281 131
-rect 1333 199 1367 223
-rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
-<< viali >>
-rect 293 783 327 817
-rect 795 782 829 816
-rect 1143 783 1177 817
-rect 1333 783 1367 817
-rect 80 623 114 657
-rect 1218 623 1231 657
-rect 1231 623 1252 657
-rect 80 543 114 577
-rect 80 463 114 497
-rect 398 463 432 497
-rect 566 463 600 497
-rect 293 303 327 337
-rect 795 303 829 337
-rect 1217 303 1251 337
-rect 1143 223 1177 257
-rect 1333 223 1367 257
-<< metal1 >>
-rect 0 1379 1408 1440
-rect 281 817 339 823
-rect 281 783 293 817
-rect 327 783 339 817
-rect 281 777 339 783
-rect 783 816 841 822
-rect 783 782 795 816
-rect 829 782 841 816
-rect 64 657 142 663
-rect 64 623 80 657
-rect 114 623 142 657
-rect 64 617 142 623
-rect 64 577 142 583
-rect 64 543 80 577
-rect 114 543 142 577
-rect 64 537 142 543
-rect 64 497 142 503
-rect 64 463 80 497
-rect 114 463 142 497
-rect 64 457 142 463
-rect 293 343 327 777
-rect 783 776 841 782
-rect 1131 817 1189 823
-rect 1131 783 1143 817
-rect 1177 783 1189 817
-rect 1131 777 1189 783
-rect 1321 817 1379 823
-rect 1321 783 1333 817
-rect 1367 783 1379 817
-rect 1321 777 1379 783
-rect 386 497 444 503
-rect 554 497 612 503
-rect 386 463 398 497
-rect 432 463 566 497
-rect 600 463 612 497
-rect 386 457 444 463
-rect 554 457 612 463
-rect 795 343 829 776
-rect 281 337 339 343
-rect 281 303 293 337
-rect 327 303 339 337
-rect 281 297 339 303
-rect 783 337 841 343
-rect 783 303 795 337
-rect 829 303 841 337
-rect 783 297 841 303
-rect 1143 263 1177 777
-rect 1206 657 1264 663
-rect 1206 623 1218 657
-rect 1252 623 1264 657
-rect 1206 617 1264 623
-rect 1217 343 1252 617
-rect 1205 337 1263 343
-rect 1205 303 1217 337
-rect 1251 303 1263 337
-rect 1205 297 1263 303
-rect 1333 263 1367 777
-rect 1131 257 1189 263
-rect 1131 223 1143 257
-rect 1177 223 1189 257
-rect 1131 217 1189 223
-rect 1321 257 1379 263
-rect 1321 223 1333 257
-rect 1367 223 1379 257
-rect 1321 217 1379 223
-rect 0 0 1408 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 1159 632 1159 632 1 S
-port 1 n
-rlabel metal1 1346 588 1346 588 1 CO
-port 2 n
-rlabel locali 97 480 97 480 1 A
-port 3 n
-rlabel locali 97 560 97 560 1 CI
-port 4 n
-rlabel locali 97 640 97 640 1 B
-port 5 n
-<< end >>
diff --git a/cells/ADDFXL/definition.json b/cells/ADDFXL/definition.json
deleted file mode 100644
index 1219267..0000000
--- a/cells/ADDFXL/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "3-2 counter (full-adder) cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ADDFXL",
- "library": "sky130_osu_sc",
- "name": "ADDFXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CI",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "S",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "CO",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ADDFXL"
-}
diff --git a/cells/ADDHX1/ADDHX1.gds b/cells/ADDHX1/ADDHX1.gds
deleted file mode 100644
index 4aeddab..0000000
--- a/cells/ADDHX1/ADDHX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ADDHX1/ADDHX1.mag b/cells/ADDHX1/ADDHX1.mag
deleted file mode 100644
index 9b914d1..0000000
--- a/cells/ADDHX1/ADDHX1.mag
+++ /dev/null
@@ -1,379 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 2097 2709
-<< psdm >>
-rect 0 0 836 86
-<< nsdm >>
-rect 0 1354 836 1440
-<< npc >>
-rect 32 676 106 677
-rect 340 676 414 677
-rect 700 676 774 677
-rect 32 443 774 676
-<< nwell >>
-rect -9 689 837 1449
-<< nmos >>
-rect 80 115 110 315
-rect 178 115 208 315
-rect 250 115 280 315
-rect 442 115 472 315
-rect 540 115 570 315
-rect 626 115 656 315
-rect 712 115 742 315
-<< pmos >>
-rect 80 725 110 1325
-rect 178 725 208 1325
-rect 264 725 294 1325
-rect 362 725 392 1325
-rect 552 725 582 1325
-rect 638 725 668 1325
-rect 710 725 740 1325
-<< ndiff >>
-rect 27 266 80 315
-rect 27 131 35 266
-rect 69 131 80 266
-rect 27 115 80 131
-rect 110 267 178 315
-rect 110 131 133 267
-rect 167 131 178 267
-rect 110 115 178 131
-rect 208 115 250 315
-rect 280 267 333 315
-rect 280 131 291 267
-rect 325 131 333 267
-rect 280 115 333 131
-rect 389 267 442 315
-rect 389 131 397 267
-rect 431 131 442 267
-rect 389 115 442 131
-rect 472 267 540 315
-rect 472 131 495 267
-rect 529 131 540 267
-rect 472 115 540 131
-rect 570 267 626 315
-rect 570 131 581 267
-rect 615 131 626 267
-rect 570 115 626 131
-rect 656 267 712 315
-rect 656 131 667 267
-rect 701 131 712 267
-rect 656 115 712 131
-rect 742 267 795 315
-rect 742 131 753 267
-rect 787 131 795 267
-rect 742 115 795 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 178 1325
-rect 110 833 133 1309
-rect 167 833 178 1309
-rect 110 725 178 833
-rect 208 1309 264 1325
-rect 208 833 219 1309
-rect 253 833 264 1309
-rect 208 725 264 833
-rect 294 1309 362 1325
-rect 294 833 305 1309
-rect 339 833 362 1309
-rect 294 725 362 833
-rect 392 1309 445 1325
-rect 392 833 403 1309
-rect 437 833 445 1309
-rect 392 725 445 833
-rect 499 1309 552 1325
-rect 499 833 507 1309
-rect 541 833 552 1309
-rect 499 725 552 833
-rect 582 1309 638 1325
-rect 582 833 593 1309
-rect 627 833 638 1309
-rect 582 725 638 833
-rect 668 725 710 1325
-rect 740 1309 796 1325
-rect 740 833 751 1309
-rect 785 833 796 1309
-rect 740 725 796 833
-<< ndiffc >>
-rect 35 131 69 266
-rect 133 131 167 267
-rect 291 131 325 267
-rect 397 131 431 267
-rect 495 131 529 267
-rect 581 131 615 267
-rect 667 131 701 267
-rect 753 131 787 267
-<< pdiffc >>
-rect 35 833 69 1309
-rect 133 833 167 1309
-rect 219 833 253 1309
-rect 305 833 339 1309
-rect 403 833 437 1309
-rect 507 833 541 1309
-rect 593 833 627 1309
-rect 751 833 785 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 178 1325 208 1351
-rect 264 1325 294 1351
-rect 362 1325 392 1351
-rect 552 1325 582 1351
-rect 638 1325 668 1351
-rect 710 1325 740 1351
-rect 80 673 110 725
-rect 43 657 110 673
-rect 43 623 53 657
-rect 87 623 110 657
-rect 43 607 110 623
-rect 80 315 110 607
-rect 178 513 208 725
-rect 264 593 294 725
-rect 362 673 392 725
-rect 350 657 404 673
-rect 350 623 360 657
-rect 394 653 404 657
-rect 552 653 582 725
-rect 394 623 582 653
-rect 350 607 404 623
-rect 154 497 208 513
-rect 154 463 164 497
-rect 198 463 208 497
-rect 154 447 208 463
-rect 178 315 208 447
-rect 250 577 304 593
-rect 250 543 260 577
-rect 294 543 304 577
-rect 250 527 304 543
-rect 250 315 280 527
-rect 350 443 380 607
-rect 638 593 668 725
-rect 710 673 740 725
-rect 710 657 764 673
-rect 710 623 720 657
-rect 754 623 764 657
-rect 710 607 764 623
-rect 614 577 668 593
-rect 614 543 624 577
-rect 658 543 668 577
-rect 614 527 668 543
-rect 350 413 570 443
-rect 442 315 472 413
-rect 540 315 570 413
-rect 626 315 656 527
-rect 712 315 742 607
-rect 80 89 110 115
-rect 178 89 208 115
-rect 250 89 280 115
-rect 442 89 472 115
-rect 540 89 570 115
-rect 626 89 656 115
-rect 712 89 742 115
-<< polycont >>
-rect 53 623 87 657
-rect 360 623 394 657
-rect 164 463 198 497
-rect 260 543 294 577
-rect 720 623 754 657
-rect 624 543 658 577
-<< locali >>
-rect 0 1413 836 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 836 1413
-rect 35 1309 69 1325
-rect 35 817 69 833
-rect 133 1309 167 1379
-rect 133 817 167 833
-rect 219 1309 253 1325
-rect 219 817 253 833
-rect 305 1309 339 1379
-rect 305 817 339 833
-rect 403 1309 437 1325
-rect 403 817 437 833
-rect 507 1309 541 1325
-rect 507 817 541 833
-rect 593 1309 627 1379
-rect 593 817 627 833
-rect 751 1309 785 1325
-rect 751 817 785 833
-rect 507 737 541 783
-rect 103 703 541 737
-rect 103 657 137 703
-rect 37 623 53 657
-rect 87 623 137 657
-rect 253 623 360 657
-rect 394 623 410 657
-rect 453 623 720 657
-rect 453 577 487 623
-rect 244 543 260 577
-rect 294 543 487 577
-rect 574 543 624 577
-rect 658 543 754 577
-rect 574 497 608 543
-rect 148 463 164 497
-rect 198 463 608 497
-rect 35 266 69 383
-rect 35 115 69 131
-rect 133 267 167 283
-rect 133 61 167 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 397 267 431 383
-rect 397 115 431 131
-rect 495 267 529 283
-rect 495 61 529 131
-rect 581 267 615 383
-rect 581 115 615 131
-rect 667 267 701 463
-rect 667 115 701 131
-rect 753 267 787 383
-rect 753 115 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
-<< viali >>
-rect 35 783 69 817
-rect 219 783 253 817
-rect 403 783 437 817
-rect 507 783 541 817
-rect 751 783 785 817
-rect 219 623 253 657
-rect 754 623 788 657
-rect 754 543 788 577
-rect 667 463 701 497
-rect 35 383 69 417
-rect 291 383 325 417
-rect 397 383 431 417
-rect 581 383 615 417
-rect 753 383 787 417
-<< metal1 >>
-rect 0 1379 836 1440
-rect 23 817 81 823
-rect 23 783 35 817
-rect 69 783 81 817
-rect 23 777 81 783
-rect 207 817 265 823
-rect 207 783 219 817
-rect 253 783 265 817
-rect 207 777 265 783
-rect 391 817 449 823
-rect 391 783 403 817
-rect 437 783 449 817
-rect 391 777 449 783
-rect 495 817 553 823
-rect 739 817 797 823
-rect 495 783 507 817
-rect 541 783 751 817
-rect 785 783 797 817
-rect 495 777 553 783
-rect 35 423 69 777
-rect 219 663 253 777
-rect 207 657 325 663
-rect 207 623 219 657
-rect 253 623 325 657
-rect 207 617 325 623
-rect 291 423 325 617
-rect 397 423 431 777
-rect 667 503 701 783
-rect 739 777 797 783
-rect 729 657 802 663
-rect 729 623 754 657
-rect 788 623 802 657
-rect 729 617 802 623
-rect 729 577 802 583
-rect 729 543 754 577
-rect 788 543 802 577
-rect 729 537 802 543
-rect 655 497 713 503
-rect 655 463 667 497
-rect 701 463 713 497
-rect 655 457 713 463
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 383 81 417
-rect 23 377 81 383
-rect 279 417 337 423
-rect 279 383 291 417
-rect 325 383 337 417
-rect 279 377 337 383
-rect 385 417 443 423
-rect 385 383 397 417
-rect 431 383 443 417
-rect 385 377 443 383
-rect 569 417 627 423
-rect 741 417 799 423
-rect 569 383 581 417
-rect 615 383 753 417
-rect 787 383 799 417
-rect 569 382 799 383
-rect 569 377 627 382
-rect 741 377 799 382
-rect 0 0 836 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 423 650 423 650 1 CO
-port 1 n
-rlabel metal1 50 569 50 569 1 S
-port 2 n
-rlabel locali 772 640 772 640 1 A
-port 3 n
-rlabel locali 772 560 772 560 1 B
-port 4 n
-<< end >>
diff --git a/cells/ADDHX1/definition.json b/cells/ADDHX1/definition.json
deleted file mode 100644
index a86f1e6..0000000
--- a/cells/ADDHX1/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "2-2 counter (half-adder) cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ADDHX1",
- "library": "sky130_osu_sc",
- "name": "ADDHX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "S",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "CO",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ADDHX1"
-}
diff --git a/cells/ADDHXL/ADDHXL.gds b/cells/ADDHXL/ADDHXL.gds
deleted file mode 100644
index 0c6716d..0000000
--- a/cells/ADDHXL/ADDHXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ADDHXL/ADDHXL.mag b/cells/ADDHXL/ADDHXL.mag
deleted file mode 100644
index 41bdc90..0000000
--- a/cells/ADDHXL/ADDHXL.mag
+++ /dev/null
@@ -1,380 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 2097 2709
-<< psdm >>
-rect 0 0 836 86
-<< nsdm >>
-rect 0 1354 836 1440
-<< npc >>
-rect 33 695 107 757
-rect 32 677 107 695
-rect 32 442 774 677
-<< nwell >>
-rect -9 689 837 1449
-<< nmos >>
-rect 80 115 110 243
-rect 178 115 208 315
-rect 250 115 280 315
-rect 442 115 472 243
-rect 540 115 570 315
-rect 626 115 656 315
-rect 712 115 742 315
-<< pmos >>
-rect 80 995 110 1325
-rect 178 725 208 1325
-rect 264 725 294 1325
-rect 362 995 392 1325
-rect 552 725 582 1325
-rect 638 725 668 1325
-rect 710 725 740 1325
-<< ndiff >>
-rect 125 267 178 315
-rect 125 243 133 267
-rect 27 198 80 243
-rect 27 131 35 198
-rect 69 131 80 198
-rect 27 115 80 131
-rect 110 131 133 243
-rect 167 131 178 267
-rect 110 115 178 131
-rect 208 115 250 315
-rect 280 267 333 315
-rect 280 131 291 267
-rect 325 131 333 267
-rect 487 267 540 315
-rect 487 243 495 267
-rect 280 115 333 131
-rect 389 199 442 243
-rect 389 131 397 199
-rect 431 131 442 199
-rect 389 115 442 131
-rect 472 131 495 243
-rect 529 131 540 267
-rect 472 115 540 131
-rect 570 267 626 315
-rect 570 131 581 267
-rect 615 131 626 267
-rect 570 115 626 131
-rect 656 267 712 315
-rect 656 131 667 267
-rect 701 131 712 267
-rect 656 115 712 131
-rect 742 267 795 315
-rect 742 131 753 267
-rect 787 131 795 267
-rect 742 115 795 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 178 1325
-rect 110 995 133 1309
-rect 125 833 133 995
-rect 167 833 178 1309
-rect 125 725 178 833
-rect 208 1309 264 1325
-rect 208 833 219 1309
-rect 253 833 264 1309
-rect 208 725 264 833
-rect 294 1309 362 1325
-rect 294 833 305 1309
-rect 339 995 362 1309
-rect 392 1309 445 1325
-rect 392 1037 403 1309
-rect 437 1037 445 1309
-rect 392 995 445 1037
-rect 499 1309 552 1325
-rect 339 833 347 995
-rect 294 725 347 833
-rect 499 833 507 1309
-rect 541 833 552 1309
-rect 499 725 552 833
-rect 582 1309 638 1325
-rect 582 833 593 1309
-rect 627 833 638 1309
-rect 582 725 638 833
-rect 668 725 710 1325
-rect 740 1309 796 1325
-rect 740 833 751 1309
-rect 785 833 796 1309
-rect 740 725 796 833
-<< ndiffc >>
-rect 35 131 69 198
-rect 133 131 167 267
-rect 291 131 325 267
-rect 397 131 431 199
-rect 495 131 529 267
-rect 581 131 615 267
-rect 667 131 701 267
-rect 753 131 787 267
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 133 833 167 1309
-rect 219 833 253 1309
-rect 305 833 339 1309
-rect 403 1037 437 1309
-rect 507 833 541 1309
-rect 593 833 627 1309
-rect 751 833 785 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 178 1325 208 1351
-rect 264 1325 294 1351
-rect 362 1325 392 1351
-rect 552 1325 582 1351
-rect 638 1325 668 1351
-rect 710 1325 740 1351
-rect 80 753 110 995
-rect 43 737 110 753
-rect 43 703 53 737
-rect 87 703 110 737
-rect 43 687 110 703
-rect 80 243 110 687
-rect 178 513 208 725
-rect 264 593 294 725
-rect 362 673 392 995
-rect 350 657 404 673
-rect 350 623 360 657
-rect 394 653 404 657
-rect 552 653 582 725
-rect 394 623 582 653
-rect 350 607 404 623
-rect 154 497 208 513
-rect 154 463 164 497
-rect 198 463 208 497
-rect 154 447 208 463
-rect 178 315 208 447
-rect 250 577 304 593
-rect 250 543 260 577
-rect 294 543 304 577
-rect 250 527 304 543
-rect 250 315 280 527
-rect 350 443 380 607
-rect 638 593 668 725
-rect 710 673 740 725
-rect 710 657 764 673
-rect 710 623 720 657
-rect 754 623 764 657
-rect 710 607 764 623
-rect 614 577 668 593
-rect 614 543 624 577
-rect 658 543 668 577
-rect 614 527 668 543
-rect 350 413 570 443
-rect 442 243 472 413
-rect 540 315 570 413
-rect 626 315 656 527
-rect 712 315 742 607
-rect 80 89 110 115
-rect 178 89 208 115
-rect 250 89 280 115
-rect 442 89 472 115
-rect 540 89 570 115
-rect 626 89 656 115
-rect 712 89 742 115
-<< polycont >>
-rect 53 703 87 737
-rect 360 623 394 657
-rect 164 463 198 497
-rect 260 543 294 577
-rect 720 623 754 657
-rect 624 543 658 577
-<< locali >>
-rect 0 1413 836 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 836 1413
-rect 35 1309 69 1325
-rect 35 817 69 1037
-rect 133 1309 167 1379
-rect 133 817 167 833
-rect 219 1309 253 1325
-rect 219 817 253 833
-rect 305 1309 339 1379
-rect 305 817 339 833
-rect 403 1309 437 1325
-rect 403 817 437 1037
-rect 507 1309 541 1325
-rect 507 817 541 833
-rect 593 1309 627 1379
-rect 593 817 627 833
-rect 751 1309 785 1325
-rect 751 817 785 833
-rect 507 737 541 783
-rect 37 703 53 737
-rect 87 703 541 737
-rect 253 623 360 657
-rect 394 623 410 657
-rect 453 623 720 657
-rect 453 577 487 623
-rect 244 543 260 577
-rect 294 543 487 577
-rect 574 543 624 577
-rect 658 543 754 577
-rect 574 497 608 543
-rect 148 463 164 497
-rect 198 463 608 497
-rect 35 198 69 383
-rect 35 115 69 131
-rect 133 267 167 283
-rect 133 61 167 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 397 199 431 383
-rect 397 115 431 131
-rect 495 267 529 283
-rect 495 61 529 131
-rect 581 267 615 383
-rect 581 115 615 131
-rect 667 267 701 463
-rect 667 115 701 131
-rect 753 267 787 383
-rect 753 115 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
-<< viali >>
-rect 35 783 69 817
-rect 219 783 253 817
-rect 403 783 437 817
-rect 507 783 541 817
-rect 751 783 785 817
-rect 219 623 253 657
-rect 754 623 788 657
-rect 754 543 788 577
-rect 667 463 701 497
-rect 35 383 69 417
-rect 291 383 325 417
-rect 397 383 431 417
-rect 581 383 615 417
-rect 753 383 787 417
-<< metal1 >>
-rect 0 1379 836 1440
-rect 23 817 81 823
-rect 23 783 35 817
-rect 69 783 81 817
-rect 23 777 81 783
-rect 207 817 265 823
-rect 207 783 219 817
-rect 253 783 265 817
-rect 207 777 265 783
-rect 391 817 449 823
-rect 391 783 403 817
-rect 437 783 449 817
-rect 391 777 449 783
-rect 495 817 553 823
-rect 739 817 797 823
-rect 495 783 507 817
-rect 541 783 751 817
-rect 785 783 797 817
-rect 495 777 553 783
-rect 35 423 69 777
-rect 219 663 253 777
-rect 207 657 325 663
-rect 207 623 219 657
-rect 253 623 325 657
-rect 207 617 325 623
-rect 291 423 325 617
-rect 397 423 431 777
-rect 667 503 701 783
-rect 739 777 797 783
-rect 729 657 802 663
-rect 729 623 754 657
-rect 788 623 802 657
-rect 729 617 802 623
-rect 729 577 802 583
-rect 729 543 754 577
-rect 788 543 802 577
-rect 729 537 802 543
-rect 655 497 713 503
-rect 655 463 667 497
-rect 701 463 713 497
-rect 655 457 713 463
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 383 81 417
-rect 23 377 81 383
-rect 279 417 337 423
-rect 279 383 291 417
-rect 325 383 337 417
-rect 279 377 337 383
-rect 385 417 443 423
-rect 385 383 397 417
-rect 431 383 443 417
-rect 385 377 443 383
-rect 569 417 627 423
-rect 741 417 799 423
-rect 569 383 581 417
-rect 615 383 753 417
-rect 787 383 799 417
-rect 569 382 799 383
-rect 569 377 627 382
-rect 741 377 799 382
-rect 0 0 836 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 50 569 50 569 1 S
-port 1 n
-rlabel metal1 423 650 423 650 1 CO
-port 2 n
-rlabel locali 772 640 772 640 1 A
-port 3 n
-rlabel locali 772 560 772 560 1 B
-port 4 n
-<< end >>
diff --git a/cells/ADDHXL/definition.json b/cells/ADDHXL/definition.json
deleted file mode 100644
index 05bd034..0000000
--- a/cells/ADDHXL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "2-2 counter (half-adder) cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ADDHXL",
- "library": "sky130_osu_sc",
- "name": "ADDHXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "S",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "CO",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ADDHXL"
-}
diff --git a/cells/AND2X1/AND2X1.gds b/cells/AND2X1/AND2X1.gds
deleted file mode 100644
index 4f1a954..0000000
--- a/cells/AND2X1/AND2X1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND2X1/AND2X1.mag b/cells/AND2X1/AND2X1.mag
deleted file mode 100644
index 4c66d32..0000000
--- a/cells/AND2X1/AND2X1.mag
+++ /dev/null
@@ -1,187 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 603 220 680
-rect 253 443 327 517
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 250 115 280 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 386 235 515
-rect 182 131 193 386
-rect 227 315 235 386
-rect 227 131 250 315
-rect 182 115 250 131
-rect 280 267 333 315
-rect 280 131 291 267
-rect 325 131 333 267
-rect 280 115 333 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 335 1325
-rect 282 765 293 1309
-rect 327 765 335 1309
-rect 282 725 335 765
-<< ndiffc >>
-rect 35 131 69 403
-rect 193 131 227 386
-rect 291 131 325 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 673 110 725
-rect 166 673 196 725
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 152 515 182 607
-rect 252 513 282 725
-rect 252 497 317 513
-rect 250 463 273 497
-rect 307 463 317 497
-rect 250 447 317 463
-rect 250 315 280 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-rect 273 463 307 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 100 623 116 657
-rect 150 623 166 657
-rect 35 463 273 497
-rect 307 463 323 497
-rect 35 417 69 463
-rect 35 115 69 131
-rect 193 386 227 402
-rect 193 61 227 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 403 69 417
-rect 35 383 69 403
-rect 291 383 325 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 281 737 339 743
-rect 281 703 293 737
-rect 327 703 339 737
-rect 281 697 339 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 417 81 423
-rect 121 417 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 293 423 327 697
-rect 23 383 35 417
-rect 69 383 155 417
-rect 279 417 337 423
-rect 279 383 291 417
-rect 325 383 337 417
-rect 23 377 81 383
-rect 279 377 337 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 33 623 67 657 1 A
-port 2 n
-rlabel metal1 199 623 233 657 1 B
-port 3 n
-<< end >>
diff --git a/cells/AND2X1/definition.json b/cells/AND2X1/definition.json
deleted file mode 100644
index 35b8335..0000000
--- a/cells/AND2X1/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input AND gate.",
- "equation": "Y = A & B",
- "file_prefix": "sky130_osu_sc__AND2X1",
- "library": "sky130_osu_sc",
- "name": "AND2X1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND2X1"
-}
diff --git a/cells/AND2X2/AND2X2.gds b/cells/AND2X2/AND2X2.gds
deleted file mode 100644
index 9d57732..0000000
--- a/cells/AND2X2/AND2X2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND2X2/AND2X2.mag b/cells/AND2X2/AND2X2.mag
deleted file mode 100644
index 08e90ab..0000000
--- a/cells/AND2X2/AND2X2.mag
+++ /dev/null
@@ -1,219 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 1722 2709
-<< psdm >>
-rect 0 0 462 86
-<< nsdm >>
-rect 0 1354 462 1440
-<< npc >>
-rect 46 603 225 677
-rect 253 443 327 517
-<< nwell >>
-rect -9 689 462 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 250 115 280 315
-rect 336 115 366 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 386 235 515
-rect 182 131 193 386
-rect 227 315 235 386
-rect 227 131 250 315
-rect 182 115 250 131
-rect 280 267 336 315
-rect 280 131 291 267
-rect 325 131 336 267
-rect 280 115 336 131
-rect 366 267 419 315
-rect 366 131 377 267
-rect 411 131 419 267
-rect 366 115 419 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 421 1325
-rect 368 765 379 1309
-rect 413 765 421 1309
-rect 368 725 421 765
-<< ndiffc >>
-rect 35 131 69 403
-rect 193 131 227 386
-rect 291 131 325 267
-rect 377 131 411 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 80 673 110 725
-rect 166 673 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 252 663 368 693
-rect 152 515 182 607
-rect 252 513 282 663
-rect 250 497 317 513
-rect 250 463 273 497
-rect 307 477 317 497
-rect 307 463 366 477
-rect 250 447 366 463
-rect 250 315 280 447
-rect 336 315 366 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-rect 336 89 366 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-rect 273 463 307 497
-<< locali >>
-rect 0 1413 462 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 462 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 100 623 116 657
-rect 150 623 166 657
-rect 35 463 273 497
-rect 307 463 323 497
-rect 35 417 69 463
-rect 35 115 69 131
-rect 193 386 227 402
-rect 193 61 227 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 377 267 411 283
-rect 377 61 411 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 403 69 417
-rect 35 383 69 403
-rect 291 383 325 417
-<< metal1 >>
-rect 0 1379 462 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 281 737 339 743
-rect 281 703 293 737
-rect 327 703 339 737
-rect 281 697 339 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 417 81 423
-rect 121 417 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 293 463 327 697
-rect 291 423 327 463
-rect 23 383 35 417
-rect 69 383 155 417
-rect 279 417 337 423
-rect 279 383 291 417
-rect 325 383 337 417
-rect 23 377 81 383
-rect 279 377 337 383
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel metal1 33 623 67 657 1 A
-port 2 n
-rlabel metal1 199 623 233 657 1 B
-port 3 n
-<< end >>
diff --git a/cells/AND2X2/definition.json b/cells/AND2X2/definition.json
deleted file mode 100644
index 8a762dc..0000000
--- a/cells/AND2X2/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input AND gate.",
- "equation": "Y = A & B",
- "file_prefix": "sky130_osu_sc__AND2X2",
- "library": "sky130_osu_sc",
- "name": "AND2X2",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND2X2"
-}
diff --git a/cells/AND2X4/AND2X4.gds b/cells/AND2X4/AND2X4.gds
deleted file mode 100644
index 27c1ce3..0000000
--- a/cells/AND2X4/AND2X4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND2X4/AND2X4.mag b/cells/AND2X4/AND2X4.mag
deleted file mode 100644
index 56b610b..0000000
--- a/cells/AND2X4/AND2X4.mag
+++ /dev/null
@@ -1,280 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 1898 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 46 603 220 677
-rect 253 443 327 517
-<< nwell >>
-rect -9 689 638 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 250 115 280 315
-rect 336 115 366 315
-rect 422 115 452 315
-rect 508 115 538 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 386 235 515
-rect 182 131 193 386
-rect 227 315 235 386
-rect 227 131 250 315
-rect 182 115 250 131
-rect 280 267 336 315
-rect 280 131 291 267
-rect 325 131 336 267
-rect 280 115 336 131
-rect 366 267 422 315
-rect 366 131 377 267
-rect 411 131 422 267
-rect 366 115 422 131
-rect 452 267 508 315
-rect 452 131 463 267
-rect 497 131 508 267
-rect 452 115 508 131
-rect 538 267 591 315
-rect 538 131 549 267
-rect 583 131 591 267
-rect 538 115 591 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 593 1325
-rect 540 765 551 1309
-rect 585 765 593 1309
-rect 540 725 593 765
-<< ndiffc >>
-rect 35 131 69 403
-rect 193 131 227 386
-rect 291 131 325 267
-rect 377 131 411 267
-rect 463 131 497 267
-rect 549 131 583 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 80 673 110 725
-rect 166 673 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 424 693 454 725
-rect 510 693 540 725
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 252 663 540 693
-rect 152 515 182 607
-rect 252 513 282 663
-rect 250 497 317 513
-rect 250 463 273 497
-rect 307 477 317 497
-rect 307 463 538 477
-rect 250 447 538 463
-rect 250 315 280 447
-rect 336 315 366 447
-rect 422 315 452 447
-rect 508 315 538 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-rect 336 89 366 115
-rect 422 89 452 115
-rect 508 89 538 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-rect 273 463 307 497
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 100 623 116 657
-rect 150 623 166 657
-rect 35 463 273 497
-rect 307 463 323 497
-rect 35 417 69 463
-rect 35 115 69 131
-rect 193 386 227 402
-rect 193 61 227 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 377 267 411 283
-rect 377 61 411 131
-rect 463 267 497 383
-rect 463 115 497 131
-rect 549 267 583 283
-rect 549 61 583 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 465 703 499 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 403 69 417
-rect 35 383 69 403
-rect 291 383 325 417
-rect 463 383 497 417
-<< metal1 >>
-rect 0 1379 638 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 281 737 339 743
-rect 453 737 511 743
-rect 281 703 293 737
-rect 327 703 465 737
-rect 499 703 511 737
-rect 281 697 339 703
-rect 453 697 511 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 417 81 423
-rect 121 417 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 293 463 327 697
-rect 291 423 327 463
-rect 465 423 499 697
-rect 23 383 35 417
-rect 69 383 155 417
-rect 279 417 337 423
-rect 451 417 509 423
-rect 279 383 291 417
-rect 325 383 463 417
-rect 497 383 509 417
-rect 23 377 81 383
-rect 279 377 337 383
-rect 451 377 509 383
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel locali 84 626 84 626 1 A
-port 2 n
-rlabel locali 183 624 183 624 1 B
-port 3 n
-rlabel metal1 33 623 67 657 1 A
-port 4 n
-rlabel metal1 199 623 233 657 1 B
-port 5 n
-<< end >>
diff --git a/cells/AND2X4/definition.json b/cells/AND2X4/definition.json
deleted file mode 100644
index 70c40f3..0000000
--- a/cells/AND2X4/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input AND gate.",
- "equation": "Y = A & B",
- "file_prefix": "sky130_osu_sc__AND2X4",
- "library": "sky130_osu_sc",
- "name": "AND2X4",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND2X4"
-}
diff --git a/cells/AND2X8/AND2X8.gds b/cells/AND2X8/AND2X8.gds
deleted file mode 100644
index b0dbc3c..0000000
--- a/cells/AND2X8/AND2X8.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND2X8/AND2X8.mag b/cells/AND2X8/AND2X8.mag
deleted file mode 100644
index cbb81c3..0000000
--- a/cells/AND2X8/AND2X8.mag
+++ /dev/null
@@ -1,392 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906008
-<< checkpaint >>
-rect -1304 -1260 2233 2709
-<< psdm >>
-rect 0 0 968 86
-<< nsdm >>
-rect 0 1354 968 1440
-<< npc >>
-rect 46 603 220 677
-rect 253 517 326 523
-rect 253 443 327 517
-<< nwell >>
-rect -9 689 973 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 250 115 280 315
-rect 336 115 366 315
-rect 422 115 452 315
-rect 508 115 538 315
-rect 594 115 624 315
-rect 680 115 710 315
-rect 766 115 796 315
-rect 852 115 882 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 682 725 712 1325
-rect 768 725 798 1325
-rect 854 725 884 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 386 235 515
-rect 182 131 193 386
-rect 227 315 235 386
-rect 227 131 250 315
-rect 182 115 250 131
-rect 280 267 336 315
-rect 280 131 291 267
-rect 325 131 336 267
-rect 280 115 336 131
-rect 366 267 422 315
-rect 366 131 377 267
-rect 411 131 422 267
-rect 366 115 422 131
-rect 452 267 508 315
-rect 452 131 463 267
-rect 497 131 508 267
-rect 452 115 508 131
-rect 538 267 594 315
-rect 538 131 549 267
-rect 583 131 594 267
-rect 538 115 594 131
-rect 624 267 680 315
-rect 624 131 635 267
-rect 669 131 680 267
-rect 624 115 680 131
-rect 710 267 766 315
-rect 710 131 721 267
-rect 755 131 766 267
-rect 710 115 766 131
-rect 796 267 852 315
-rect 796 131 807 267
-rect 841 131 852 267
-rect 796 115 852 131
-rect 882 267 935 315
-rect 882 131 893 267
-rect 927 131 935 267
-rect 882 115 935 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 682 1325
-rect 626 765 637 1309
-rect 671 765 682 1309
-rect 626 725 682 765
-rect 712 1309 768 1325
-rect 712 765 723 1309
-rect 757 765 768 1309
-rect 712 725 768 765
-rect 798 1309 854 1325
-rect 798 765 809 1309
-rect 843 765 854 1309
-rect 798 725 854 765
-rect 884 1309 937 1325
-rect 884 765 895 1309
-rect 929 765 937 1309
-rect 884 725 937 765
-<< ndiffc >>
-rect 35 131 69 403
-rect 193 131 227 386
-rect 291 131 325 267
-rect 377 131 411 267
-rect 463 131 497 267
-rect 549 131 583 267
-rect 635 131 669 267
-rect 721 131 755 267
-rect 807 131 841 267
-rect 893 131 927 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 723 765 757 1309
-rect 809 765 843 1309
-rect 895 765 929 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 682 1325 712 1351
-rect 768 1325 798 1351
-rect 854 1325 884 1351
-rect 80 673 110 725
-rect 166 673 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 424 693 454 725
-rect 510 693 540 725
-rect 596 693 626 725
-rect 682 693 712 725
-rect 768 693 798 725
-rect 854 693 884 725
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 252 663 884 693
-rect 152 515 182 607
-rect 252 513 282 663
-rect 250 497 317 513
-rect 250 463 273 497
-rect 307 477 317 497
-rect 596 477 626 663
-rect 307 463 882 477
-rect 250 447 882 463
-rect 250 315 280 447
-rect 336 315 366 447
-rect 422 315 452 447
-rect 508 315 538 447
-rect 594 315 624 447
-rect 680 315 710 447
-rect 766 315 796 447
-rect 852 315 882 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-rect 336 89 366 115
-rect 422 89 452 115
-rect 508 89 538 115
-rect 594 89 624 115
-rect 680 89 710 115
-rect 766 89 796 115
-rect 852 89 882 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-rect 273 463 307 497
-<< locali >>
-rect 0 1413 968 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 968 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 637 1309 671 1325
-rect 637 737 671 765
-rect 723 1309 757 1379
-rect 723 749 757 765
-rect 809 1309 843 1325
-rect 809 737 843 765
-rect 895 1309 929 1379
-rect 895 749 929 765
-rect 100 623 116 657
-rect 150 623 166 657
-rect 35 463 273 497
-rect 307 463 323 497
-rect 35 417 69 463
-rect 35 115 69 131
-rect 193 386 227 402
-rect 193 61 227 131
-rect 291 267 325 383
-rect 291 115 325 131
-rect 377 267 411 283
-rect 377 61 411 131
-rect 463 267 497 383
-rect 463 115 497 131
-rect 549 267 583 283
-rect 549 61 583 131
-rect 635 267 669 383
-rect 635 115 669 131
-rect 721 267 755 283
-rect 721 61 755 131
-rect 807 267 841 383
-rect 807 115 841 131
-rect 893 267 927 283
-rect 893 61 927 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 968 61
-rect 0 0 968 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 465 703 499 737
-rect 637 703 671 737
-rect 809 703 843 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 403 69 417
-rect 35 383 69 403
-rect 291 383 325 417
-rect 463 383 497 417
-rect 635 383 669 417
-rect 807 383 841 417
-<< metal1 >>
-rect 0 1379 968 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 281 737 339 743
-rect 453 737 511 743
-rect 625 737 683 743
-rect 797 737 855 743
-rect 281 703 293 737
-rect 327 703 465 737
-rect 499 703 637 737
-rect 671 703 809 737
-rect 843 703 855 737
-rect 281 697 339 703
-rect 453 697 511 703
-rect 625 697 683 703
-rect 797 697 855 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 417 81 423
-rect 121 417 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 293 463 327 697
-rect 291 423 327 463
-rect 465 423 499 697
-rect 637 423 671 697
-rect 809 423 843 697
-rect 23 383 35 417
-rect 69 383 155 417
-rect 279 417 337 423
-rect 451 417 509 423
-rect 623 417 681 423
-rect 795 417 853 423
-rect 279 383 291 417
-rect 325 383 463 417
-rect 497 383 635 417
-rect 669 383 807 417
-rect 841 383 853 417
-rect 23 377 81 383
-rect 279 377 337 383
-rect 451 377 509 383
-rect 623 377 681 383
-rect 795 377 853 383
-rect 0 0 968 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel metal1 33 623 67 657 1 A
-port 2 n
-rlabel metal1 199 623 233 657 1 B
-port 3 n
-<< end >>
diff --git a/cells/AND2X8/definition.json b/cells/AND2X8/definition.json
deleted file mode 100644
index b664308..0000000
--- a/cells/AND2X8/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input AND gate.",
- "equation": "Y = A & B",
- "file_prefix": "sky130_osu_sc__AND2X8",
- "library": "sky130_osu_sc",
- "name": "AND2X8",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND2X8"
-}
diff --git a/cells/AND2XL/AND2XL.gds b/cells/AND2XL/AND2XL.gds
deleted file mode 100644
index 5b32159..0000000
--- a/cells/AND2XL/AND2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND2XL/AND2XL.mag b/cells/AND2XL/AND2XL.mag
deleted file mode 100644
index 9614ca3..0000000
--- a/cells/AND2XL/AND2XL.mag
+++ /dev/null
@@ -1,187 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906009
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 603 220 680
-rect 240 443 314 517
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 367
-rect 152 115 182 367
-rect 250 115 280 243
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-rect 252 995 282 1325
-<< ndiff >>
-rect 27 335 80 367
-rect 27 131 35 335
-rect 69 131 80 335
-rect 27 115 80 131
-rect 110 115 152 367
-rect 182 335 235 367
-rect 182 131 193 335
-rect 227 243 235 335
-rect 227 131 250 243
-rect 182 115 250 131
-rect 280 199 333 243
-rect 280 131 291 199
-rect 325 131 333 199
-rect 280 115 333 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 252 1325
-rect 196 1037 207 1309
-rect 241 1037 252 1309
-rect 196 995 252 1037
-rect 282 1309 335 1325
-rect 282 1037 293 1309
-rect 327 1037 335 1309
-rect 282 995 335 1037
-<< ndiffc >>
-rect 35 131 69 335
-rect 193 131 227 335
-rect 291 131 325 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 207 1037 241 1309
-rect 293 1037 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 673 110 995
-rect 166 673 196 995
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 367 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 152 367 182 607
-rect 252 517 282 995
-rect 250 513 282 517
-rect 250 497 304 513
-rect 250 463 260 497
-rect 294 463 304 497
-rect 250 447 304 463
-rect 250 243 280 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-rect 260 463 294 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1379
-rect 35 1021 69 1037
-rect 121 1309 155 1325
-rect 121 737 155 1037
-rect 207 1309 241 1379
-rect 207 1021 241 1037
-rect 293 1309 327 1325
-rect 293 737 327 1037
-rect 100 623 116 657
-rect 150 623 166 657
-rect 69 463 260 497
-rect 294 463 310 497
-rect 35 335 69 463
-rect 35 115 69 131
-rect 193 335 227 351
-rect 193 61 227 131
-rect 291 199 325 383
-rect 291 115 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 463 69 497
-rect 291 383 325 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 281 737 339 743
-rect 281 703 293 737
-rect 327 703 339 737
-rect 281 697 339 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 497 81 503
-rect 121 497 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 23 463 35 497
-rect 69 463 155 497
-rect 23 457 81 463
-rect 293 423 327 697
-rect 279 417 337 423
-rect 279 383 291 417
-rect 325 383 337 417
-rect 279 377 337 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel metal1 33 623 67 657 1 A
-port 2 n
-rlabel metal1 199 623 233 657 1 B
-port 3 n
-<< end >>
diff --git a/cells/AND2XL/definition.json b/cells/AND2XL/definition.json
deleted file mode 100644
index 29c9bcc..0000000
--- a/cells/AND2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input AND gate.",
- "equation": "Y = A & B",
- "file_prefix": "sky130_osu_sc__AND2XL",
- "library": "sky130_osu_sc",
- "name": "AND2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND2XL"
-}
diff --git a/cells/AND3XL/AND3XL.gds b/cells/AND3XL/AND3XL.gds
deleted file mode 100644
index 5dd51f1..0000000
--- a/cells/AND3XL/AND3XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AND3XL/AND3XL.mag b/cells/AND3XL/AND3XL.mag
deleted file mode 100644
index 222d5e3..0000000
--- a/cells/AND3XL/AND3XL.mag
+++ /dev/null
@@ -1,232 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906009
-<< checkpaint >>
-rect -1304 -1260 1722 2709
-<< psdm >>
-rect 0 0 462 86
-<< nsdm >>
-rect 0 1354 462 1440
-<< npc >>
-rect 46 602 312 757
-rect 325 443 399 517
-<< nwell >>
-rect -9 689 462 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 224 115 254 515
-rect 322 115 352 243
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-rect 252 995 282 1325
-rect 338 995 368 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 115 224 515
-rect 254 403 307 515
-rect 254 131 265 403
-rect 299 243 307 403
-rect 299 199 322 243
-rect 300 131 322 199
-rect 254 115 322 131
-rect 352 199 405 243
-rect 352 131 363 199
-rect 397 131 405 199
-rect 352 115 405 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 252 1325
-rect 196 1037 207 1309
-rect 241 1037 252 1309
-rect 196 995 252 1037
-rect 282 1309 338 1325
-rect 282 1037 293 1309
-rect 327 1037 338 1309
-rect 282 995 338 1037
-rect 368 1309 421 1325
-rect 368 1037 379 1309
-rect 413 1037 421 1309
-rect 368 995 421 1037
-<< ndiffc >>
-rect 35 131 69 403
-rect 265 199 299 403
-rect 265 131 300 199
-rect 363 131 397 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 207 1037 241 1309
-rect 293 1037 327 1309
-rect 379 1037 413 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 80 673 110 995
-rect 166 753 196 995
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 737 206 753
-rect 152 703 162 737
-rect 196 703 206 737
-rect 152 687 206 703
-rect 152 515 182 687
-rect 252 673 282 995
-rect 338 750 368 995
-rect 338 720 374 750
-rect 248 657 302 673
-rect 248 645 258 657
-rect 224 623 258 645
-rect 292 623 302 657
-rect 224 607 302 623
-rect 224 515 254 607
-rect 344 513 374 720
-rect 322 497 389 513
-rect 322 463 345 497
-rect 379 463 389 497
-rect 322 447 389 463
-rect 322 243 352 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 224 89 254 115
-rect 322 89 352 115
-<< polycont >>
-rect 66 623 100 657
-rect 162 703 196 737
-rect 258 623 292 657
-rect 345 463 379 497
-<< locali >>
-rect 0 1413 462 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 462 1413
-rect 35 1309 69 1325
-rect 35 817 69 1037
-rect 121 1309 155 1379
-rect 121 1021 155 1037
-rect 207 1309 241 1325
-rect 207 817 241 1037
-rect 293 1309 327 1379
-rect 293 1021 327 1037
-rect 379 1309 413 1325
-rect 35 783 241 817
-rect 35 737 69 783
-rect 379 737 413 1037
-rect 146 703 162 737
-rect 196 703 211 737
-rect 50 623 66 657
-rect 100 623 110 657
-rect 242 623 258 657
-rect 292 623 308 657
-rect 35 463 345 497
-rect 379 463 395 497
-rect 35 417 69 463
-rect 35 115 69 131
-rect 265 403 299 419
-rect 299 199 300 215
-rect 265 61 300 131
-rect 363 199 397 383
-rect 363 115 397 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 1 462 27
-rect 0 0 450 1
-<< viali >>
-rect 35 703 69 737
-rect 211 703 245 737
-rect 379 703 413 737
-rect 110 623 144 657
-rect 258 589 292 623
-rect 35 403 69 417
-rect 35 383 69 403
-rect 363 383 397 417
-<< metal1 >>
-rect 0 1379 462 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 205 737 263 753
-rect 205 703 211 737
-rect 245 703 263 737
-rect 35 423 69 697
-rect 205 688 263 703
-rect 367 737 425 743
-rect 367 703 379 737
-rect 413 703 425 737
-rect 367 697 425 703
-rect 100 657 158 671
-rect 100 623 110 657
-rect 144 623 158 657
-rect 100 606 158 623
-rect 242 623 308 642
-rect 242 589 258 623
-rect 292 589 308 623
-rect 242 572 308 589
-rect 379 423 413 697
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 383 81 417
-rect 23 377 81 383
-rect 351 417 413 423
-rect 351 383 363 417
-rect 397 383 413 417
-rect 351 377 413 383
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 53 509 53 509 1 Y
-port 1 n
-rlabel locali 110 623 144 657 1 A
-port 2 n
-rlabel locali 211 703 245 737 1 B
-port 3 n
-rlabel locali 258 589 292 623 1 C
-port 4 n
-<< end >>
diff --git a/cells/AND3XL/definition.json b/cells/AND3XL/definition.json
deleted file mode 100644
index 5e567f2..0000000
--- a/cells/AND3XL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "3-input AND gate.",
- "equation": "Y = A & B & C",
- "file_prefix": "sky130_osu_sc__AND3XL",
- "library": "sky130_osu_sc",
- "name": "AND3XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "C",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AND3XL"
-}
diff --git a/cells/ANT/ANT.gds b/cells/ANT/ANT.gds
deleted file mode 100644
index c369dd1..0000000
--- a/cells/ANT/ANT.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ANT/ANT.mag b/cells/ANT/ANT.mag
deleted file mode 100644
index d238484..0000000
--- a/cells/ANT/ANT.mag
+++ /dev/null
@@ -1,99 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906009
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 27 603 101 677
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 725 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 163 1325
-rect 110 765 121 1309
-rect 155 765 163 1309
-rect 110 725 163 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 673 110 725
-rect 35 657 110 673
-rect 35 623 47 657
-rect 81 623 110 657
-rect 35 607 110 623
-rect 80 315 110 607
-rect 80 89 110 115
-<< polycont >>
-rect 47 623 81 657
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 31 623 47 657
-rect 81 623 97 657
-rect 35 417 69 623
-rect 35 383 155 417
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 623 81 657
-<< metal1 >>
-rect 0 1379 198 1440
-rect 35 657 108 663
-rect 35 623 47 657
-rect 81 623 108 657
-rect 35 617 108 623
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 64 640 64 640 1 A
-port 1 n
-<< end >>
diff --git a/cells/ANT/definition.json b/cells/ANT/definition.json
deleted file mode 100644
index d266f4e..0000000
--- a/cells/ANT/definition.json
+++ /dev/null
@@ -1,30 +0,0 @@
-{
- "description": "Antenna-fixing diode cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ANT",
- "library": "sky130_osu_sc",
- "name": "ANT",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ANT"
-}
diff --git a/cells/ANTFILL/ANTFILL.gds b/cells/ANTFILL/ANTFILL.gds
deleted file mode 100644
index 496fc8d..0000000
--- a/cells/ANTFILL/ANTFILL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/ANTFILL/ANTFILL.mag b/cells/ANTFILL/ANTFILL.mag
deleted file mode 100644
index 88f5d97..0000000
--- a/cells/ANTFILL/ANTFILL.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591907075
-<< locali >>
-rect 0 1379 198 1440
-rect 35 678 69 1325
-rect 35 657 78 678
-rect 31 623 56 657
-rect 90 623 106 657
-rect 35 601 78 623
-rect 35 417 69 601
-rect 35 383 155 417
-rect 35 115 69 383
-rect 121 115 155 383
-rect 0 0 198 61
-<< viali >>
-rect 56 623 90 657
-<< metal1 >>
-rect 0 1379 198 1440
-rect 44 657 117 663
-rect 44 623 56 657
-rect 90 623 117 657
-rect 44 617 117 623
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 73 640 73 640 1 A
-port 1 n
-<< end >>
diff --git a/cells/ANTFILL/ANTFILL.spice b/cells/ANTFILL/ANTFILL.spice
deleted file mode 100644
index 2ee9798..0000000
--- a/cells/ANTFILL/ANTFILL.spice
+++ /dev/null
@@ -1,7 +0,0 @@
-* SPICE3 file created from ANTFILL.ext - technology: EFS8A
-
-.subckt ANTFILL A
-C0 m1_0_1379# m1_0_0# 0.033951fF
-C1 A m1_0_1379# 0.023042fF
-C2 A m1_0_0# 0.029673fF
-.ends
diff --git a/cells/ANTFILL/definition.json b/cells/ANTFILL/definition.json
deleted file mode 100644
index ae4c2f3..0000000
--- a/cells/ANTFILL/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler gate with same footprint as ANT.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__ANTFILL",
- "library": "sky130_osu_sc",
- "name": "ANTFILL",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__ANTFILL"
-}
diff --git a/cells/AOI21XL/AOI21XL.gds b/cells/AOI21XL/AOI21XL.gds
deleted file mode 100644
index e87a6a0..0000000
--- a/cells/AOI21XL/AOI21XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/AOI21XL/AOI21XL.mag b/cells/AOI21XL/AOI21XL.mag
deleted file mode 100644
index 4a4bcd0..0000000
--- a/cells/AOI21XL/AOI21XL.mag
+++ /dev/null
@@ -1,189 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906009
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 40 577 218 677
-rect 40 536 323 577
-rect 40 523 343 536
-rect 269 443 343 523
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 367
-rect 152 115 182 367
-rect 250 115 280 243
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-<< ndiff >>
-rect 27 267 80 367
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 367
-rect 182 267 235 367
-rect 182 131 193 267
-rect 227 243 235 267
-rect 227 131 250 243
-rect 182 115 250 131
-rect 280 199 333 243
-rect 280 131 291 199
-rect 325 131 333 199
-rect 280 115 333 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 833 121 1309
-rect 155 833 166 1309
-rect 110 725 166 833
-rect 196 1309 252 1325
-rect 196 833 207 1309
-rect 241 833 252 1309
-rect 196 725 252 833
-rect 282 1309 335 1325
-rect 282 833 293 1309
-rect 327 833 335 1309
-rect 282 725 335 833
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 291 131 325 199
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 833 155 1309
-rect 207 833 241 1309
-rect 293 833 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 667 110 725
-rect 44 657 110 667
-rect 44 623 60 657
-rect 94 623 110 657
-rect 44 613 110 623
-rect 80 367 110 613
-rect 166 593 196 725
-rect 252 687 282 725
-rect 252 657 309 687
-rect 152 577 214 593
-rect 152 543 164 577
-rect 198 543 214 577
-rect 152 527 214 543
-rect 152 367 182 527
-rect 279 513 309 657
-rect 279 497 333 513
-rect 279 479 289 497
-rect 250 463 289 479
-rect 323 463 333 497
-rect 250 447 333 463
-rect 250 243 280 447
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-<< polycont >>
-rect 60 623 94 657
-rect 164 543 198 577
-rect 289 463 323 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 737 69 833
-rect 121 1309 155 1379
-rect 121 800 155 833
-rect 207 1309 241 1325
-rect 207 737 241 833
-rect 293 1309 327 1325
-rect 293 817 327 833
-rect 35 703 241 737
-rect 44 623 60 657
-rect 94 623 110 657
-rect 148 543 164 577
-rect 198 543 214 577
-rect 199 463 215 497
-rect 249 463 289 497
-rect 323 463 339 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 193 267 227 303
-rect 193 115 227 131
-rect 291 199 325 215
-rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 293 783 327 817
-rect 60 623 94 657
-rect 164 543 198 577
-rect 215 463 249 497
-rect 193 303 227 337
-<< metal1 >>
-rect 0 1379 374 1440
-rect 281 817 339 823
-rect 281 783 293 817
-rect 327 783 339 817
-rect 281 777 339 783
-rect 35 657 110 663
-rect 35 623 60 657
-rect 94 623 110 657
-rect 35 617 110 623
-rect 139 577 214 583
-rect 139 543 164 577
-rect 198 543 214 577
-rect 139 537 214 543
-rect 190 497 265 503
-rect 190 463 215 497
-rect 249 463 265 497
-rect 190 457 265 463
-rect 181 337 239 343
-rect 293 337 327 777
-rect 181 303 193 337
-rect 227 303 327 337
-rect 181 297 239 303
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 310 528 310 528 1 Y
-port 1 n
-rlabel locali 77 640 77 640 1 A0
-port 2 n
-rlabel locali 181 560 181 560 1 A1
-port 3 n
-rlabel locali 232 480 232 480 1 B0
-port 4 n
-<< end >>
diff --git a/cells/AOI21XL/definition.json b/cells/AOI21XL/definition.json
deleted file mode 100644
index a31940e..0000000
--- a/cells/AOI21XL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "2-1 and-or-invert",
- "equation": "(!A0 + !A1) * (!B0)",
- "file_prefix": "sky130_osu_sc__AOI21XL",
- "library": "sky130_osu_sc",
- "name": "AOI21XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A0",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "A1",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B0",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__AOI21XL"
-}
diff --git a/cells/BUFX1/BUFX1.gds b/cells/BUFX1/BUFX1.gds
deleted file mode 100644
index 509bf00..0000000
--- a/cells/BUFX1/BUFX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFX1/BUFX1.mag b/cells/BUFX1/BUFX1.mag
deleted file mode 100644
index 8e2bbe0..0000000
--- a/cells/BUFX1/BUFX1.mag
+++ /dev/null
@@ -1,158 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906009
-<< checkpaint >>
-rect -1304 -1260 1548 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 45 522 230 677
-<< nwell >>
-rect -9 689 288 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 249 1325
-rect 196 765 207 1309
-rect 241 765 249 1309
-rect 196 725 249 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 166 657 220 673
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 166 315 196 607
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 207 703 241 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 253 737
-rect 195 697 253 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 195 383 207 417
-rect 241 383 253 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 226 532 226 532 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/BUFX1/definition.json b/cells/BUFX1/definition.json
deleted file mode 100644
index f70d86e..0000000
--- a/cells/BUFX1/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFX1",
- "library": "sky130_osu_sc",
- "name": "BUFX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFX1"
-}
diff --git a/cells/BUFX2/BUFX2.gds b/cells/BUFX2/BUFX2.gds
deleted file mode 100644
index ac32078..0000000
--- a/cells/BUFX2/BUFX2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFX2/BUFX2.mag b/cells/BUFX2/BUFX2.mag
deleted file mode 100644
index c749cef..0000000
--- a/cells/BUFX2/BUFX2.mag
+++ /dev/null
@@ -1,183 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1635 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 141 523
-<< nwell >>
-rect -9 689 375 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 335 1325
-rect 282 765 293 1309
-rect 327 765 335 1309
-rect 282 725 335 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 166 657 282 673
-rect 166 623 176 657
-rect 210 643 282 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 166 413 282 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 207 703 241 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 253 737
-rect 195 697 253 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 195 383 207 417
-rect 241 383 253 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 221 555 221 555 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/BUFX2/definition.json b/cells/BUFX2/definition.json
deleted file mode 100644
index f8b8ff7..0000000
--- a/cells/BUFX2/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFX2",
- "library": "sky130_osu_sc",
- "name": "BUFX2",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFX2"
-}
diff --git a/cells/BUFX4/BUFX4.gds b/cells/BUFX4/BUFX4.gds
deleted file mode 100644
index 18ff506..0000000
--- a/cells/BUFX4/BUFX4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFX4/BUFX4.mag b/cells/BUFX4/BUFX4.mag
deleted file mode 100644
index 31a0f9e..0000000
--- a/cells/BUFX4/BUFX4.mag
+++ /dev/null
@@ -1,240 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1812 2709
-<< psdm >>
-rect 0 0 550 86
-<< nsdm >>
-rect 0 1354 550 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 141 523
-<< nwell >>
-rect -9 689 552 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 507 315
-rect 454 131 465 267
-rect 499 131 507 267
-rect 454 115 507 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 507 1325
-rect 454 765 465 1309
-rect 499 765 507 1309
-rect 454 725 507 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 166 657 454 673
-rect 166 623 176 657
-rect 210 643 454 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 166 413 454 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 550 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 550 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-<< metal1 >>
-rect 0 1379 550 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 425 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 425 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 0 0 550 61
-<< labels >>
-rlabel metal1 33 543 67 577 1 A
-port 1 n
-rlabel metal1 395 578 395 578 1 Y
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-<< end >>
diff --git a/cells/BUFX4/definition.json b/cells/BUFX4/definition.json
deleted file mode 100644
index a4d46cb..0000000
--- a/cells/BUFX4/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFX4",
- "library": "sky130_osu_sc",
- "name": "BUFX4",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFX4"
-}
diff --git a/cells/BUFX6/BUFX6.gds b/cells/BUFX6/BUFX6.gds
deleted file mode 100644
index 5454376..0000000
--- a/cells/BUFX6/BUFX6.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFX6/BUFX6.mag b/cells/BUFX6/BUFX6.mag
deleted file mode 100644
index 95151e5..0000000
--- a/cells/BUFX6/BUFX6.mag
+++ /dev/null
@@ -1,299 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1988 2709
-<< psdm >>
-rect 0 0 726 86
-<< nsdm >>
-rect 0 1354 726 1440
-<< npc >>
-rect 46 523 230 677
-<< nwell >>
-rect -9 689 728 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 679 315
-rect 626 131 637 267
-rect 671 131 679 267
-rect 626 115 679 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 679 1325
-rect 626 765 637 1309
-rect 671 765 679 1309
-rect 626 725 679 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 510 673 540 725
-rect 596 673 626 725
-rect 166 657 626 673
-rect 166 623 176 657
-rect 210 643 626 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 510 443 540 643
-rect 166 413 626 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 510 315 540 413
-rect 596 315 626 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 726 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 726 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 551 1309 585 1325
-rect 551 737 585 765
-rect 637 1309 671 1379
-rect 637 749 671 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 383
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 726 61
-rect 0 0 726 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 551 703 585 737
-rect 125 623 159 657
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-rect 551 383 585 417
-<< metal1 >>
-rect 0 1379 726 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 537 737 597 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 551 737
-rect 585 703 597 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 537 697 597 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 551 423 585 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 539 417 597 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 551 417
-rect 585 383 597 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 539 377 597 383
-rect 0 0 726 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 565 578 565 578 1 Y
-port 1 n
-rlabel locali 83 560 83 560 1 A
-port 2 n
-<< end >>
diff --git a/cells/BUFX6/definition.json b/cells/BUFX6/definition.json
deleted file mode 100644
index b60fa20..0000000
--- a/cells/BUFX6/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFX6",
- "library": "sky130_osu_sc",
- "name": "BUFX6",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFX6"
-}
diff --git a/cells/BUFX8/BUFX8.gds b/cells/BUFX8/BUFX8.gds
deleted file mode 100644
index 3e7fd77..0000000
--- a/cells/BUFX8/BUFX8.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFX8/BUFX8.mag b/cells/BUFX8/BUFX8.mag
deleted file mode 100644
index 7e3a9fe..0000000
--- a/cells/BUFX8/BUFX8.mag
+++ /dev/null
@@ -1,363 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 2164 2709
-<< psdm >>
-rect 0 0 902 86
-<< nsdm >>
-rect 0 1354 902 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 125 523
-<< nwell >>
-rect -9 689 904 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 690 115 720 315
-rect 776 115 806 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 690 725 720 1325
-rect 776 725 806 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 690 315
-rect 626 131 637 267
-rect 671 131 690 267
-rect 626 115 690 131
-rect 720 267 776 315
-rect 720 131 731 267
-rect 765 131 776 267
-rect 720 115 776 131
-rect 806 267 859 315
-rect 806 131 817 267
-rect 851 131 859 267
-rect 806 115 859 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 690 1325
-rect 626 765 637 1309
-rect 671 765 690 1309
-rect 626 725 690 765
-rect 720 1309 776 1325
-rect 720 765 731 1309
-rect 765 765 776 1309
-rect 720 725 776 765
-rect 806 1309 859 1325
-rect 806 765 817 1309
-rect 851 765 859 1309
-rect 806 725 859 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 731 131 765 267
-rect 817 131 851 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 731 765 765 1309
-rect 817 765 851 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 690 1325 720 1351
-rect 776 1325 806 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 510 673 540 725
-rect 596 673 626 725
-rect 690 673 720 725
-rect 776 673 806 725
-rect 166 657 806 673
-rect 166 623 176 657
-rect 210 643 806 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 510 443 540 643
-rect 166 413 806 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 510 315 540 413
-rect 596 315 626 413
-rect 690 315 720 413
-rect 776 315 806 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 690 89 720 115
-rect 776 89 806 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 902 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 902 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 551 1309 585 1325
-rect 551 737 585 765
-rect 637 1309 671 1379
-rect 637 749 671 765
-rect 731 1309 765 1325
-rect 731 737 765 765
-rect 817 1309 851 1379
-rect 817 749 851 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 383
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 731 267 765 383
-rect 731 115 765 131
-rect 817 267 851 283
-rect 817 61 851 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 551 703 585 737
-rect 731 703 765 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-rect 551 383 585 417
-rect 731 383 765 417
-<< metal1 >>
-rect 0 1379 902 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 537 737 597 743
-rect 717 737 777 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 551 737
-rect 585 703 731 737
-rect 765 703 777 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 537 697 597 703
-rect 717 697 777 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 551 423 585 697
-rect 731 423 765 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 539 417 597 423
-rect 719 417 777 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 551 417
-rect 585 383 731 417
-rect 765 383 777 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 539 377 597 383
-rect 719 377 777 383
-rect 0 0 902 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 746 582 746 582 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/BUFX8/definition.json b/cells/BUFX8/definition.json
deleted file mode 100644
index cbfa0ce..0000000
--- a/cells/BUFX8/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFX8",
- "library": "sky130_osu_sc",
- "name": "BUFX8",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFX8"
-}
diff --git a/cells/BUFXL/BUFXL.gds b/cells/BUFXL/BUFXL.gds
deleted file mode 100644
index 48e65cb..0000000
--- a/cells/BUFXL/BUFXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/BUFXL/BUFXL.mag b/cells/BUFXL/BUFXL.mag
deleted file mode 100644
index 7d4dce8..0000000
--- a/cells/BUFXL/BUFXL.mag
+++ /dev/null
@@ -1,157 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1548 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 46 523 230 677
-<< nwell >>
-rect -9 689 288 1449
-<< nmos >>
-rect 80 115 110 243
-rect 166 115 196 243
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-<< ndiff >>
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 243
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 249 243
-rect 196 131 207 199
-rect 241 131 249 199
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 249 1325
-rect 196 1037 207 1309
-rect 241 1037 249 1309
-rect 196 995 249 1037
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 207 1037 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 593 110 995
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 243 110 527
-rect 166 673 196 995
-rect 166 657 220 673
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 166 243 196 607
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1325
-rect 35 657 69 1037
-rect 121 1309 155 1379
-rect 121 1021 155 1037
-rect 207 1309 241 1325
-rect 207 737 241 1037
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 199 69 383
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 199 241 383
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 207 703 241 737
-rect 125 623 159 657
-rect 32 543 66 577
-rect 35 383 69 417
-rect 207 383 241 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 253 737
-rect 195 697 253 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 25 577 83 589
-rect 25 543 32 577
-rect 66 543 83 577
-rect 25 531 83 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 195 383 207 417
-rect 241 383 253 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 226 532 226 532 1 Y
-port 1 n
-rlabel locali 32 543 66 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/BUFXL/definition.json b/cells/BUFXL/definition.json
deleted file mode 100644
index 8bcdc59..0000000
--- a/cells/BUFXL/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Buffer",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__BUFXL",
- "library": "sky130_osu_sc",
- "name": "BUFXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__BUFXL"
-}
diff --git a/cells/CLKBUFX1/CLKBUFX1.gds b/cells/CLKBUFX1/CLKBUFX1.gds
deleted file mode 100644
index 2b7e5bf..0000000
--- a/cells/CLKBUFX1/CLKBUFX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/CLKBUFX1/CLKBUFX1.mag b/cells/CLKBUFX1/CLKBUFX1.mag
deleted file mode 100644
index 4d6cd3e..0000000
--- a/cells/CLKBUFX1/CLKBUFX1.mag
+++ /dev/null
@@ -1,158 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1548 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 45 522 230 677
-<< nwell >>
-rect -9 689 288 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 249 1325
-rect 196 765 207 1309
-rect 241 765 249 1309
-rect 196 725 249 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 166 657 220 673
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 166 315 196 607
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 207 703 241 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 253 737
-rect 195 697 253 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 195 383 207 417
-rect 241 383 253 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 226 532 226 532 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/CLKBUFX1/definition.json b/cells/CLKBUFX1/definition.json
deleted file mode 100644
index fde7bfb..0000000
--- a/cells/CLKBUFX1/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Clock buffer.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__CLKBUFX1",
- "library": "sky130_osu_sc",
- "name": "CLKBUFX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__CLKBUFX1"
-}
diff --git a/cells/CLKINVX1/CLKINVX1.gds b/cells/CLKINVX1/CLKINVX1.gds
deleted file mode 100644
index 3661e4d..0000000
--- a/cells/CLKINVX1/CLKINVX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/CLKINVX1/CLKINVX1.mag b/cells/CLKINVX1/CLKINVX1.mag
deleted file mode 100644
index 850d723..0000000
--- a/cells/CLKINVX1/CLKINVX1.mag
+++ /dev/null
@@ -1,110 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906010
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 64 443 144 517
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 725 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 163 1325
-rect 110 765 121 1309
-rect 155 765 163 1309
-rect 110 725 163 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 513 110 725
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 463 136 497
-rect 80 447 136 463
-rect 80 315 110 447
-rect 80 89 110 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 703 155 737
-rect 53 463 87 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 198 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 122 423 156 697
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 135 576 135 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/CLKINVX1/definition.json b/cells/CLKINVX1/definition.json
deleted file mode 100644
index 7672d74..0000000
--- a/cells/CLKINVX1/definition.json
+++ /dev/null
@@ -1,37 +0,0 @@
-   {
-    "description": "clock inverter.",
-    "equation": "Y = ~A",
-    "file_prefix": "sky130_osu_sc__CLKINVX1",
-    "library": "sky130_osu_sc",
-    "name": "CLKINVX1",
-    "parameters": [],
-    "ports": [
-     [
-      "signal",
-      "Y",
-      "output",
-      ""
-     ],
-     [
-      "signal",
-      "A",
-      "input",
-      ""
-     ],
-     [
-      "power",
-      "VDD",
-      "input",
-      "supply1"
-     ],
-     [
-      "power",
-      "GND",
-      "input",
-      "supply0"
-     ]
-    ],
-    "type": "cell",
-    "verilog_name": "sky130_osu_sc__CLKINVX1"
-   }
-   
diff --git a/cells/CLKINVX2/CLKINVX2.gds b/cells/CLKINVX2/CLKINVX2.gds
deleted file mode 100644
index b3a8780..0000000
--- a/cells/CLKINVX2/CLKINVX2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/CLKINVX2/CLKINVX2.mag b/cells/CLKINVX2/CLKINVX2.mag
deleted file mode 100644
index a103adb..0000000
--- a/cells/CLKINVX2/CLKINVX2.mag
+++ /dev/null
@@ -1,141 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 1547 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 64 443 144 517
-<< nwell >>
-rect -9 689 287 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 249 1325
-rect 196 765 207 1309
-rect 241 765 249 1309
-rect 196 725 249 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 80 663 196 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 124 463 196 477
-rect 80 447 196 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 121 703 155 737
-rect 53 463 87 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/CLKINVX2/definition.json b/cells/CLKINVX2/definition.json
deleted file mode 100644
index 4c4d7d2..0000000
--- a/cells/CLKINVX2/definition.json
+++ /dev/null
@@ -1,37 +0,0 @@
-{
-    "description": "clock inverter.",
-    "equation": "Y = ~A",
-    "file_prefix": "sky130_osu_sc__CLKINVX2",
-    "library": "sky130_osu_sc",
-    "name": "CLKINVX2",
-    "parameters": [],
-    "ports": [
-     [
-      "signal",
-      "Y",
-      "output",
-      ""
-     ],
-     [
-      "signal",
-      "A",
-      "input",
-      ""
-     ],
-     [
-      "power",
-      "VDD",
-      "input",
-      "supply1"
-     ],
-     [
-      "power",
-      "GND",
-      "input",
-      "supply0"
-     ]
-    ],
-    "type": "cell",
-    "verilog_name": "sky130_osu_sc__CLKINVX2"
-   }
-   
diff --git a/cells/CLKINVX4/CLKINVX4.gds b/cells/CLKINVX4/CLKINVX4.gds
deleted file mode 100644
index dd1bb4e..0000000
--- a/cells/CLKINVX4/CLKINVX4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/CLKINVX4/CLKINVX4.mag b/cells/CLKINVX4/CLKINVX4.mag
deleted file mode 100644
index 266eb09..0000000
--- a/cells/CLKINVX4/CLKINVX4.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 1723 2709
-<< psdm >>
-rect 0 0 462 86
-<< nsdm >>
-rect 0 1354 462 1440
-<< npc >>
-rect 64 444 144 517
-rect 70 443 144 444
-<< nwell >>
-rect -9 689 463 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 421 1325
-rect 368 765 379 1309
-rect 413 765 421 1309
-rect 368 725 421 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 80 663 368 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 124 463 368 477
-rect 80 447 368 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 252 315 282 447
-rect 338 315 368 447
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 462 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 462 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 53 463 87 497
-rect 121 383 155 417
-rect 293 383 327 417
-<< metal1 >>
-rect 0 1379 462 1440
-rect 109 737 167 743
-rect 281 737 339 743
-rect 109 703 121 737
-rect 155 703 293 737
-rect 327 703 339 737
-rect 109 697 167 703
-rect 281 697 339 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 293 423 327 697
-rect 109 417 167 423
-rect 281 417 339 423
-rect 109 383 121 417
-rect 155 383 293 417
-rect 327 383 339 417
-rect 109 377 167 383
-rect 281 377 339 383
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/CLKINVX4/definition.json b/cells/CLKINVX4/definition.json
deleted file mode 100644
index 5a4e04d..0000000
--- a/cells/CLKINVX4/definition.json
+++ /dev/null
@@ -1,37 +0,0 @@
-{
-    "description": "clock inverter.",
-    "equation": "Y = ~A",
-    "file_prefix": "sky130_osu_sc__CLKINVX4",
-    "library": "sky130_osu_sc",
-    "name": "CLKINVX4",
-    "parameters": [],
-    "ports": [
-     [
-      "signal",
-      "Y",
-      "output",
-      ""
-     ],
-     [
-      "signal",
-      "A",
-      "input",
-      ""
-     ],
-     [
-      "power",
-      "VDD",
-      "input",
-      "supply1"
-     ],
-     [
-      "power",
-      "GND",
-      "input",
-      "supply0"
-     ]
-    ],
-    "type": "cell",
-    "verilog_name": "sky130_osu_sc__CLKINVX4"
-   }
-   
diff --git a/cells/DECAPX1/DECAPX1.gds b/cells/DECAPX1/DECAPX1.gds
deleted file mode 100644
index 558cd8c..0000000
--- a/cells/DECAPX1/DECAPX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DECAPX1/DECAPX1.mag b/cells/DECAPX1/DECAPX1.mag
deleted file mode 100644
index 84894c2..0000000
--- a/cells/DECAPX1/DECAPX1.mag
+++ /dev/null
@@ -1,78 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 515
-<< pmos >>
-rect 80 925 110 1325
-<< ndiff >>
-rect 27 471 80 515
-rect 27 131 35 471
-rect 69 131 80 471
-rect 27 115 80 131
-rect 110 471 163 515
-rect 110 131 121 471
-rect 155 131 163 471
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 969 35 1309
-rect 69 969 80 1309
-rect 27 925 80 969
-rect 110 1309 163 1325
-rect 110 969 121 1309
-rect 155 969 163 1309
-rect 110 925 163 969
-<< ndiffc >>
-rect 35 131 69 471
-rect 121 131 155 471
-<< pdiffc >>
-rect 35 969 69 1309
-rect 121 969 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 515 110 925
-rect 80 80 110 115
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 953 69 969
-rect 121 1309 155 1379
-rect 121 953 155 969
-rect 35 471 69 487
-rect 35 61 69 131
-rect 121 471 155 487
-rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< metal1 >>
-rect 0 1379 198 1440
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/cells/DECAPX1/definition.json b/cells/DECAPX1/definition.json
deleted file mode 100644
index 57d942a..0000000
--- a/cells/DECAPX1/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Decoupling capacitor.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DECAPX1",
- "library": "sky130_osu_sc",
- "name": "DECAPX1",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DECAPX1"
-}
diff --git a/cells/DECAPXL/DECAPXL.gds b/cells/DECAPXL/DECAPXL.gds
deleted file mode 100644
index 8f228ae..0000000
--- a/cells/DECAPXL/DECAPXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DECAPXL/DECAPXL.mag b/cells/DECAPXL/DECAPXL.mag
deleted file mode 100644
index 79a0603..0000000
--- a/cells/DECAPXL/DECAPXL.mag
+++ /dev/null
@@ -1,78 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 1125 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1173 35 1309
-rect 69 1173 80 1309
-rect 27 1125 80 1173
-rect 110 1309 163 1325
-rect 110 1173 121 1309
-rect 155 1173 163 1309
-rect 110 1125 163 1173
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 1173 69 1309
-rect 121 1173 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 315 110 1125
-rect 80 80 110 115
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 1157 69 1173
-rect 121 1309 155 1379
-rect 121 1157 155 1173
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< metal1 >>
-rect 0 1379 198 1440
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/cells/DECAPXL/definition.json b/cells/DECAPXL/definition.json
deleted file mode 100644
index 6868a19..0000000
--- a/cells/DECAPXL/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Decoupling capacitor.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DECAPXL",
- "library": "sky130_osu_sc",
- "name": "DECAPXL",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DECAPXL"
-}
diff --git a/cells/DFFNX1/DFFNX1.gds b/cells/DFFNX1/DFFNX1.gds
deleted file mode 100644
index d918246..0000000
--- a/cells/DFFNX1/DFFNX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFNX1/DFFNX1.mag b/cells/DFFNX1/DFFNX1.mag
deleted file mode 100644
index bae5791..0000000
--- a/cells/DFFNX1/DFFNX1.mag
+++ /dev/null
@@ -1,625 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 2712 2709
-<< psdm >>
-rect 0 0 1452 86
-<< nsdm >>
-rect 0 1354 1452 1440
-<< npc >>
-rect 36 363 1396 677
-<< nwell >>
-rect -9 689 1452 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-rect 588 115 618 315
-rect 708 115 738 315
-rect 780 115 810 315
-rect 866 115 896 315
-rect 1056 115 1086 315
-rect 1246 115 1276 315
-rect 1332 115 1362 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-rect 588 725 618 1325
-rect 708 725 738 1325
-rect 780 725 810 1325
-rect 866 725 896 1325
-rect 1056 725 1086 1325
-rect 1246 725 1276 1325
-rect 1332 725 1362 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 199 358 315
-rect 268 131 279 199
-rect 347 131 358 199
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 199 516 315
-rect 460 131 471 199
-rect 505 131 516 199
-rect 460 115 516 131
-rect 546 115 588 315
-rect 618 267 708 315
-rect 618 131 629 267
-rect 697 131 708 267
-rect 618 115 708 131
-rect 738 115 780 315
-rect 810 199 866 315
-rect 810 131 821 199
-rect 855 131 866 199
-rect 810 115 866 131
-rect 896 199 949 315
-rect 896 131 907 199
-rect 941 131 949 199
-rect 896 115 949 131
-rect 1003 199 1056 315
-rect 1003 131 1011 199
-rect 1045 131 1056 199
-rect 1003 115 1056 131
-rect 1086 267 1139 315
-rect 1086 131 1097 267
-rect 1131 131 1139 267
-rect 1086 115 1139 131
-rect 1193 267 1246 315
-rect 1193 131 1201 267
-rect 1235 131 1246 267
-rect 1193 115 1246 131
-rect 1276 267 1332 315
-rect 1276 131 1287 267
-rect 1321 131 1332 267
-rect 1276 115 1332 131
-rect 1362 267 1415 315
-rect 1362 131 1373 267
-rect 1407 131 1415 267
-rect 1362 115 1415 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 833 121 1309
-rect 155 833 166 1309
-rect 110 725 166 833
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 833 279 1309
-rect 347 833 358 1309
-rect 268 725 358 833
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 833 471 1309
-rect 505 833 516 1309
-rect 460 725 516 833
-rect 546 725 588 1325
-rect 618 1309 708 1325
-rect 618 833 629 1309
-rect 697 833 708 1309
-rect 618 725 708 833
-rect 738 725 780 1325
-rect 810 1309 866 1325
-rect 810 833 821 1309
-rect 855 833 866 1309
-rect 810 725 866 833
-rect 896 1309 949 1325
-rect 896 833 907 1309
-rect 941 833 949 1309
-rect 896 725 949 833
-rect 1003 1309 1056 1325
-rect 1003 833 1011 1309
-rect 1045 833 1056 1309
-rect 1003 725 1056 833
-rect 1086 1309 1139 1325
-rect 1086 833 1097 1309
-rect 1131 833 1139 1309
-rect 1086 725 1139 833
-rect 1193 1309 1246 1325
-rect 1193 765 1201 1309
-rect 1235 765 1246 1309
-rect 1193 725 1246 765
-rect 1276 1309 1332 1325
-rect 1276 765 1287 1309
-rect 1321 765 1332 1309
-rect 1276 725 1332 765
-rect 1362 1309 1415 1325
-rect 1362 765 1373 1309
-rect 1407 765 1415 1309
-rect 1362 725 1415 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 279 131 347 199
-rect 471 131 505 199
-rect 629 131 697 267
-rect 821 131 855 199
-rect 907 131 941 199
-rect 1011 131 1045 199
-rect 1097 131 1131 267
-rect 1201 131 1235 267
-rect 1287 131 1321 267
-rect 1373 131 1407 267
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 833 155 1309
-rect 279 833 347 1309
-rect 471 833 505 1309
-rect 629 833 697 1309
-rect 821 833 855 1309
-rect 907 833 941 1309
-rect 1011 833 1045 1309
-rect 1097 833 1131 1309
-rect 1201 765 1235 1309
-rect 1287 765 1321 1309
-rect 1373 765 1407 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 588 1325 618 1351
-rect 708 1325 738 1351
-rect 780 1325 810 1351
-rect 866 1325 896 1351
-rect 1056 1325 1086 1351
-rect 1246 1325 1276 1351
-rect 1332 1325 1362 1351
-rect 80 693 110 725
-rect 69 673 110 693
-rect 46 663 110 673
-rect 46 657 100 663
-rect 46 623 56 657
-rect 90 623 100 657
-rect 46 607 100 623
-rect 70 383 100 607
-rect 166 513 196 725
-rect 238 668 268 725
-rect 238 652 292 668
-rect 238 618 248 652
-rect 282 618 292 652
-rect 238 602 292 618
-rect 142 497 196 513
-rect 358 508 388 725
-rect 430 663 460 725
-rect 516 663 546 725
-rect 430 653 546 663
-rect 430 619 471 653
-rect 505 619 546 653
-rect 430 609 546 619
-rect 588 508 618 725
-rect 708 668 738 725
-rect 684 652 738 668
-rect 684 618 694 652
-rect 728 618 738 652
-rect 684 602 738 618
-rect 780 513 810 725
-rect 866 673 896 725
-rect 866 643 907 673
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 70 353 110 383
-rect 80 315 110 353
-rect 166 315 196 447
-rect 238 478 714 508
-rect 238 315 268 478
-rect 684 433 714 478
-rect 780 497 834 513
-rect 780 463 790 497
-rect 824 463 834 497
-rect 780 447 834 463
-rect 334 417 388 433
-rect 334 383 344 417
-rect 378 383 388 417
-rect 334 367 388 383
-rect 358 315 388 367
-rect 430 417 546 427
-rect 430 383 471 417
-rect 505 383 546 417
-rect 430 373 546 383
-rect 430 315 460 373
-rect 516 315 546 373
-rect 588 417 642 433
-rect 588 383 598 417
-rect 632 383 642 417
-rect 588 367 642 383
-rect 684 417 738 433
-rect 684 383 694 417
-rect 728 383 738 417
-rect 684 367 738 383
-rect 588 315 618 367
-rect 708 315 738 367
-rect 780 315 810 447
-rect 877 433 907 643
-rect 1056 433 1086 725
-rect 1246 513 1276 725
-rect 1222 497 1276 513
-rect 1222 463 1232 497
-rect 1266 463 1276 497
-rect 1222 447 1276 463
-rect 877 417 937 433
-rect 877 397 893 417
-rect 866 383 893 397
-rect 927 383 937 417
-rect 866 367 937 383
-rect 1032 417 1086 433
-rect 1032 383 1042 417
-rect 1076 383 1086 417
-rect 1032 367 1086 383
-rect 866 315 896 367
-rect 1056 315 1086 367
-rect 1246 315 1276 447
-rect 1332 673 1362 725
-rect 1332 657 1386 673
-rect 1332 623 1342 657
-rect 1376 623 1386 657
-rect 1332 607 1386 623
-rect 1332 315 1362 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-rect 588 89 618 115
-rect 708 89 738 115
-rect 780 89 810 115
-rect 866 89 896 115
-rect 1056 89 1086 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-<< polycont >>
-rect 56 623 90 657
-rect 248 618 282 652
-rect 471 619 505 653
-rect 694 618 728 652
-rect 152 463 186 497
-rect 790 463 824 497
-rect 344 383 378 417
-rect 471 383 505 417
-rect 598 383 632 417
-rect 694 383 728 417
-rect 1232 463 1266 497
-rect 893 383 927 417
-rect 1042 383 1076 417
-rect 1342 623 1376 657
-<< locali >>
-rect 0 1413 1452 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1452 1413
-rect 35 1309 69 1325
-rect 35 737 69 833
-rect 121 1309 155 1379
-rect 121 817 155 833
-rect 279 1309 347 1325
-rect 279 817 347 833
-rect 471 1309 505 1379
-rect 471 817 505 833
-rect 629 1309 697 1325
-rect 629 817 697 833
-rect 821 1309 855 1379
-rect 821 817 855 833
-rect 907 1309 941 1325
-rect 296 737 330 817
-rect 646 737 680 817
-rect 40 623 56 657
-rect 90 623 112 657
-rect 248 652 282 668
-rect 455 619 471 653
-rect 505 619 521 653
-rect 694 652 728 668
-rect 248 577 282 618
-rect 694 577 728 618
-rect 907 577 941 833
-rect 1011 1309 1045 1379
-rect 1011 817 1045 833
-rect 1097 1309 1131 1325
-rect 1097 737 1131 833
-rect 1201 1309 1235 1325
-rect 1201 657 1235 765
-rect 1287 1309 1321 1379
-rect 1287 749 1321 765
-rect 1373 1309 1407 1325
-rect 1373 737 1407 765
-rect 1201 623 1291 657
-rect 1325 623 1342 657
-rect 1376 623 1392 657
-rect 248 543 378 577
-rect 412 543 907 577
-rect 136 463 152 497
-rect 186 463 207 497
-rect 598 417 632 543
-rect 774 463 790 497
-rect 824 463 1097 497
-rect 1131 463 1232 497
-rect 1266 463 1282 497
-rect 708 417 720 433
-rect 327 383 344 417
-rect 455 383 471 417
-rect 505 383 521 417
-rect 678 383 694 417
-rect 754 399 893 417
-rect 728 383 893 399
-rect 927 383 943 417
-rect 1011 383 1042 417
-rect 1076 383 1092 417
-rect 471 337 505 383
-rect 598 367 632 383
-rect 1011 337 1045 383
-rect 69 303 505 337
-rect 663 303 1045 337
-rect 35 267 69 303
-rect 663 283 697 303
-rect 629 267 697 283
-rect 1097 267 1131 283
-rect 296 215 330 223
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 347 215
-rect 279 115 347 131
-rect 471 199 505 215
-rect 471 61 505 131
-rect 629 115 697 131
-rect 821 199 855 215
-rect 821 61 855 131
-rect 907 199 941 223
-rect 907 115 941 131
-rect 1011 199 1045 215
-rect 1011 61 1045 131
-rect 1097 115 1131 131
-rect 1201 267 1235 383
-rect 1201 115 1235 131
-rect 1287 267 1321 283
-rect 1287 61 1321 131
-rect 1373 267 1407 383
-rect 1373 115 1407 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 646 703 680 737
-rect 112 623 146 657
-rect 471 619 505 653
-rect 1097 703 1131 737
-rect 1373 703 1407 737
-rect 1291 623 1325 657
-rect 378 543 412 577
-rect 907 543 941 577
-rect 207 463 241 497
-rect 1097 463 1131 497
-rect 720 417 754 433
-rect 378 383 412 417
-rect 471 383 505 417
-rect 720 399 728 417
-rect 728 399 754 417
-rect 1201 383 1235 417
-rect 35 303 69 337
-rect 296 223 330 257
-rect 646 223 680 257
-rect 907 223 941 257
-rect 1097 223 1131 257
-rect 1373 383 1407 417
-<< metal1 >>
-rect 0 1379 1452 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 284 737 342 743
-rect 284 703 296 737
-rect 330 703 342 737
-rect 284 697 342 703
-rect 634 737 692 743
-rect 634 703 646 737
-rect 680 703 692 737
-rect 634 697 692 703
-rect 1085 737 1143 743
-rect 1085 703 1097 737
-rect 1131 703 1143 737
-rect 1085 697 1143 703
-rect 1361 737 1419 743
-rect 1361 703 1373 737
-rect 1407 703 1419 737
-rect 1361 697 1419 703
-rect 35 343 69 697
-rect 100 657 158 663
-rect 296 657 330 697
-rect 100 623 112 657
-rect 146 623 330 657
-rect 100 617 158 623
-rect 195 497 268 503
-rect 195 463 207 497
-rect 241 463 268 497
-rect 195 457 268 463
-rect 23 337 81 343
-rect 23 303 35 337
-rect 69 303 81 337
-rect 23 297 81 303
-rect 296 263 330 623
-rect 459 653 517 659
-rect 459 619 471 653
-rect 505 619 517 653
-rect 459 613 517 619
-rect 366 577 424 583
-rect 366 543 378 577
-rect 412 543 424 577
-rect 366 537 424 543
-rect 378 423 412 537
-rect 471 423 505 613
-rect 366 417 424 423
-rect 366 383 378 417
-rect 412 383 424 417
-rect 366 377 424 383
-rect 459 417 517 423
-rect 459 383 471 417
-rect 505 383 517 417
-rect 459 377 517 383
-rect 646 263 680 697
-rect 895 577 953 583
-rect 895 543 907 577
-rect 941 543 953 577
-rect 895 537 953 543
-rect 709 433 761 451
-rect 709 399 720 433
-rect 754 399 761 433
-rect 709 387 761 399
-rect 907 263 941 537
-rect 1097 503 1131 697
-rect 1279 657 1337 663
-rect 1279 623 1291 657
-rect 1325 623 1337 657
-rect 1279 617 1337 623
-rect 1085 497 1143 503
-rect 1085 463 1097 497
-rect 1131 463 1143 497
-rect 1085 457 1143 463
-rect 1097 263 1131 457
-rect 1189 417 1247 423
-rect 1189 383 1201 417
-rect 1235 413 1247 417
-rect 1291 413 1325 617
-rect 1373 423 1407 697
-rect 1235 383 1325 413
-rect 1361 417 1419 423
-rect 1361 383 1373 417
-rect 1407 383 1419 417
-rect 1189 377 1247 383
-rect 1361 377 1419 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 634 257 692 263
-rect 634 223 646 257
-rect 680 223 692 257
-rect 634 217 692 223
-rect 895 257 953 263
-rect 895 223 907 257
-rect 941 223 953 257
-rect 895 217 953 223
-rect 1085 257 1143 263
-rect 1085 223 1097 257
-rect 1131 223 1143 257
-rect 1085 217 1143 223
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 1397 68 1397 1 vdd
-rlabel metal1 68 1397 68 1397 1 vdd
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel metal1 1388 564 1388 564 1 Q
-port 1 n
-rlabel locali 1309 639 1309 639 1 QN
-port 2 n
-rlabel locali 207 463 241 497 1 D
-port 3 n
-rlabel npc 720 399 754 433 1 clk
-port 4 n
-<< end >>
diff --git a/cells/DFFNX1/definition.json b/cells/DFFNX1/definition.json
deleted file mode 100644
index 3ff0c80..0000000
--- a/cells/DFFNX1/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFNX1",
- "library": "sky130_osu_sc",
- "name": "DFFNX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CLK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFNX1"
-}
diff --git a/cells/DFFNXL/DFFNXL.gds b/cells/DFFNXL/DFFNXL.gds
deleted file mode 100644
index 67e56bb..0000000
--- a/cells/DFFNXL/DFFNXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFNXL/DFFNXL.mag b/cells/DFFNXL/DFFNXL.mag
deleted file mode 100644
index f59f81a..0000000
--- a/cells/DFFNXL/DFFNXL.mag
+++ /dev/null
@@ -1,625 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906011
-<< checkpaint >>
-rect -1304 -1260 2712 2709
-<< psdm >>
-rect 0 0 1452 86
-<< nsdm >>
-rect 0 1354 1452 1440
-<< npc >>
-rect 36 363 1396 677
-<< nwell >>
-rect -9 689 1452 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-rect 588 115 618 315
-rect 708 115 738 315
-rect 780 115 810 315
-rect 866 115 896 315
-rect 1056 115 1086 315
-rect 1246 115 1276 243
-rect 1332 115 1362 243
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-rect 588 725 618 1325
-rect 708 725 738 1325
-rect 780 725 810 1325
-rect 866 725 896 1325
-rect 1056 725 1086 1325
-rect 1246 995 1276 1325
-rect 1332 995 1362 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 199 358 315
-rect 268 131 279 199
-rect 347 131 358 199
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 199 516 315
-rect 460 131 471 199
-rect 505 131 516 199
-rect 460 115 516 131
-rect 546 115 588 315
-rect 618 267 708 315
-rect 618 131 629 267
-rect 697 131 708 267
-rect 618 115 708 131
-rect 738 115 780 315
-rect 810 199 866 315
-rect 810 131 821 199
-rect 855 131 866 199
-rect 810 115 866 131
-rect 896 199 949 315
-rect 896 131 907 199
-rect 941 131 949 199
-rect 896 115 949 131
-rect 1003 199 1056 315
-rect 1003 131 1011 199
-rect 1045 131 1056 199
-rect 1003 115 1056 131
-rect 1086 267 1139 315
-rect 1086 131 1097 267
-rect 1131 131 1139 267
-rect 1086 115 1139 131
-rect 1193 199 1246 243
-rect 1193 131 1201 199
-rect 1235 131 1246 199
-rect 1193 115 1246 131
-rect 1276 199 1332 243
-rect 1276 131 1287 199
-rect 1321 131 1332 199
-rect 1276 115 1332 131
-rect 1362 199 1415 243
-rect 1362 131 1373 199
-rect 1407 131 1415 199
-rect 1362 115 1415 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 833 121 1309
-rect 155 833 166 1309
-rect 110 725 166 833
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 833 279 1309
-rect 347 833 358 1309
-rect 268 725 358 833
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 833 471 1309
-rect 505 833 516 1309
-rect 460 725 516 833
-rect 546 725 588 1325
-rect 618 1309 708 1325
-rect 618 833 629 1309
-rect 697 833 708 1309
-rect 618 725 708 833
-rect 738 725 780 1325
-rect 810 1309 866 1325
-rect 810 833 821 1309
-rect 855 833 866 1309
-rect 810 725 866 833
-rect 896 1309 949 1325
-rect 896 833 907 1309
-rect 941 833 949 1309
-rect 896 725 949 833
-rect 1003 1309 1056 1325
-rect 1003 833 1011 1309
-rect 1045 833 1056 1309
-rect 1003 725 1056 833
-rect 1086 1309 1139 1325
-rect 1086 833 1097 1309
-rect 1131 833 1139 1309
-rect 1193 1309 1246 1325
-rect 1193 1037 1201 1309
-rect 1235 1037 1246 1309
-rect 1193 995 1246 1037
-rect 1276 1309 1332 1325
-rect 1276 1037 1287 1309
-rect 1321 1037 1332 1309
-rect 1276 995 1332 1037
-rect 1362 1309 1415 1325
-rect 1362 1037 1373 1309
-rect 1407 1037 1415 1309
-rect 1362 995 1415 1037
-rect 1086 725 1139 833
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 279 131 347 199
-rect 471 131 505 199
-rect 629 131 697 267
-rect 821 131 855 199
-rect 907 131 941 199
-rect 1011 131 1045 199
-rect 1097 131 1131 267
-rect 1201 131 1235 199
-rect 1287 131 1321 199
-rect 1373 131 1407 199
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 833 155 1309
-rect 279 833 347 1309
-rect 471 833 505 1309
-rect 629 833 697 1309
-rect 821 833 855 1309
-rect 907 833 941 1309
-rect 1011 833 1045 1309
-rect 1097 833 1131 1309
-rect 1201 1037 1235 1309
-rect 1287 1037 1321 1309
-rect 1373 1037 1407 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 588 1325 618 1351
-rect 708 1325 738 1351
-rect 780 1325 810 1351
-rect 866 1325 896 1351
-rect 1056 1325 1086 1351
-rect 1246 1325 1276 1351
-rect 1332 1325 1362 1351
-rect 80 693 110 725
-rect 69 673 110 693
-rect 46 663 110 673
-rect 46 657 100 663
-rect 46 623 56 657
-rect 90 623 100 657
-rect 46 607 100 623
-rect 70 383 100 607
-rect 166 513 196 725
-rect 238 668 268 725
-rect 238 652 292 668
-rect 238 618 248 652
-rect 282 618 292 652
-rect 238 602 292 618
-rect 142 497 196 513
-rect 358 508 388 725
-rect 430 663 460 725
-rect 516 663 546 725
-rect 430 653 546 663
-rect 430 619 471 653
-rect 505 619 546 653
-rect 430 609 546 619
-rect 588 508 618 725
-rect 708 668 738 725
-rect 684 652 738 668
-rect 684 618 694 652
-rect 728 618 738 652
-rect 684 602 738 618
-rect 780 513 810 725
-rect 866 673 896 725
-rect 866 643 907 673
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 70 353 110 383
-rect 80 315 110 353
-rect 166 315 196 447
-rect 238 478 714 508
-rect 238 315 268 478
-rect 684 433 714 478
-rect 780 497 834 513
-rect 780 463 790 497
-rect 824 463 834 497
-rect 780 447 834 463
-rect 334 417 388 433
-rect 334 383 344 417
-rect 378 383 388 417
-rect 334 367 388 383
-rect 358 315 388 367
-rect 430 417 546 427
-rect 430 383 471 417
-rect 505 383 546 417
-rect 430 373 546 383
-rect 430 315 460 373
-rect 516 315 546 373
-rect 588 417 642 433
-rect 588 383 598 417
-rect 632 383 642 417
-rect 588 367 642 383
-rect 684 417 738 433
-rect 684 383 694 417
-rect 728 383 738 417
-rect 684 367 738 383
-rect 588 315 618 367
-rect 708 315 738 367
-rect 780 315 810 447
-rect 877 433 907 643
-rect 1056 433 1086 725
-rect 1246 513 1276 995
-rect 1222 497 1276 513
-rect 1222 463 1232 497
-rect 1266 463 1276 497
-rect 1222 447 1276 463
-rect 877 417 937 433
-rect 877 397 893 417
-rect 866 383 893 397
-rect 927 383 937 417
-rect 866 367 937 383
-rect 1032 417 1086 433
-rect 1032 383 1042 417
-rect 1076 383 1086 417
-rect 1032 367 1086 383
-rect 866 315 896 367
-rect 1056 315 1086 367
-rect 1246 243 1276 447
-rect 1332 673 1362 995
-rect 1332 657 1386 673
-rect 1332 623 1342 657
-rect 1376 623 1386 657
-rect 1332 607 1386 623
-rect 1332 243 1362 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-rect 588 89 618 115
-rect 708 89 738 115
-rect 780 89 810 115
-rect 866 89 896 115
-rect 1056 89 1086 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-<< polycont >>
-rect 56 623 90 657
-rect 248 618 282 652
-rect 471 619 505 653
-rect 694 618 728 652
-rect 152 463 186 497
-rect 790 463 824 497
-rect 344 383 378 417
-rect 471 383 505 417
-rect 598 383 632 417
-rect 694 383 728 417
-rect 1232 463 1266 497
-rect 893 383 927 417
-rect 1042 383 1076 417
-rect 1342 623 1376 657
-<< locali >>
-rect 0 1413 1452 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1452 1413
-rect 35 1309 69 1325
-rect 35 737 69 833
-rect 121 1309 155 1379
-rect 121 817 155 833
-rect 279 1309 347 1325
-rect 279 817 347 833
-rect 471 1309 505 1379
-rect 471 817 505 833
-rect 629 1309 697 1325
-rect 629 817 697 833
-rect 821 1309 855 1379
-rect 821 817 855 833
-rect 907 1309 941 1325
-rect 296 737 330 817
-rect 646 737 680 817
-rect 40 623 56 657
-rect 90 623 112 657
-rect 248 652 282 668
-rect 455 619 471 653
-rect 505 619 521 653
-rect 694 652 728 668
-rect 248 577 282 618
-rect 694 577 728 618
-rect 907 577 941 833
-rect 1011 1309 1045 1379
-rect 1011 817 1045 833
-rect 1097 1309 1131 1325
-rect 1097 737 1131 833
-rect 1201 1309 1235 1325
-rect 1201 657 1235 1037
-rect 1287 1309 1321 1379
-rect 1287 1021 1321 1037
-rect 1373 1309 1407 1325
-rect 1373 737 1407 1037
-rect 1201 623 1291 657
-rect 1325 623 1342 657
-rect 1376 623 1392 657
-rect 248 543 378 577
-rect 412 543 907 577
-rect 136 463 152 497
-rect 186 463 207 497
-rect 598 417 632 543
-rect 774 463 790 497
-rect 824 463 1097 497
-rect 1131 463 1232 497
-rect 1266 463 1282 497
-rect 708 417 720 433
-rect 327 383 344 417
-rect 455 383 471 417
-rect 505 383 521 417
-rect 678 383 694 417
-rect 754 399 893 417
-rect 728 383 893 399
-rect 927 383 943 417
-rect 1011 383 1042 417
-rect 1076 383 1092 417
-rect 471 337 505 383
-rect 598 367 632 383
-rect 1011 337 1045 383
-rect 69 303 505 337
-rect 663 303 1045 337
-rect 35 267 69 303
-rect 663 283 697 303
-rect 629 267 697 283
-rect 1097 267 1131 283
-rect 296 215 330 223
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 347 215
-rect 279 115 347 131
-rect 471 199 505 215
-rect 471 61 505 131
-rect 629 115 697 131
-rect 821 199 855 215
-rect 821 61 855 131
-rect 907 199 941 223
-rect 907 115 941 131
-rect 1011 199 1045 215
-rect 1011 61 1045 131
-rect 1097 115 1131 131
-rect 1201 199 1235 383
-rect 1201 115 1235 131
-rect 1287 199 1321 215
-rect 1287 61 1321 131
-rect 1373 199 1407 383
-rect 1373 115 1407 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 646 703 680 737
-rect 112 623 146 657
-rect 471 619 505 653
-rect 1097 703 1131 737
-rect 1373 703 1407 737
-rect 1291 623 1325 657
-rect 378 543 412 577
-rect 907 543 941 577
-rect 207 463 241 497
-rect 1097 463 1131 497
-rect 720 417 754 433
-rect 378 383 412 417
-rect 471 383 505 417
-rect 720 399 728 417
-rect 728 399 754 417
-rect 1201 383 1235 417
-rect 35 303 69 337
-rect 296 223 330 257
-rect 646 223 680 257
-rect 907 223 941 257
-rect 1097 223 1131 257
-rect 1373 383 1407 417
-<< metal1 >>
-rect 0 1379 1452 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 284 737 342 743
-rect 284 703 296 737
-rect 330 703 342 737
-rect 284 697 342 703
-rect 634 737 692 743
-rect 634 703 646 737
-rect 680 703 692 737
-rect 634 697 692 703
-rect 1085 737 1143 743
-rect 1085 703 1097 737
-rect 1131 703 1143 737
-rect 1085 697 1143 703
-rect 1361 737 1419 743
-rect 1361 703 1373 737
-rect 1407 703 1419 737
-rect 1361 697 1419 703
-rect 35 343 69 697
-rect 100 657 158 663
-rect 296 657 330 697
-rect 100 623 112 657
-rect 146 623 330 657
-rect 100 617 158 623
-rect 195 497 268 503
-rect 195 463 207 497
-rect 241 463 268 497
-rect 195 457 268 463
-rect 23 337 81 343
-rect 23 303 35 337
-rect 69 303 81 337
-rect 23 297 81 303
-rect 296 263 330 623
-rect 459 653 517 659
-rect 459 619 471 653
-rect 505 619 517 653
-rect 459 613 517 619
-rect 366 577 424 583
-rect 366 543 378 577
-rect 412 543 424 577
-rect 366 537 424 543
-rect 378 423 412 537
-rect 471 423 505 613
-rect 366 417 424 423
-rect 366 383 378 417
-rect 412 383 424 417
-rect 366 377 424 383
-rect 459 417 517 423
-rect 459 383 471 417
-rect 505 383 517 417
-rect 459 377 517 383
-rect 646 263 680 697
-rect 895 577 953 583
-rect 895 543 907 577
-rect 941 543 953 577
-rect 895 537 953 543
-rect 709 433 761 451
-rect 709 399 720 433
-rect 754 399 761 433
-rect 709 387 761 399
-rect 907 263 941 537
-rect 1097 503 1131 697
-rect 1279 657 1337 663
-rect 1279 623 1291 657
-rect 1325 623 1337 657
-rect 1279 617 1337 623
-rect 1085 497 1143 503
-rect 1085 463 1097 497
-rect 1131 463 1143 497
-rect 1085 457 1143 463
-rect 1097 263 1131 457
-rect 1189 417 1247 423
-rect 1189 383 1201 417
-rect 1235 413 1247 417
-rect 1291 413 1325 617
-rect 1373 423 1407 697
-rect 1235 383 1325 413
-rect 1361 417 1419 423
-rect 1361 383 1373 417
-rect 1407 383 1419 417
-rect 1189 377 1247 383
-rect 1361 377 1419 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 634 257 692 263
-rect 634 223 646 257
-rect 680 223 692 257
-rect 634 217 692 223
-rect 895 257 953 263
-rect 895 223 907 257
-rect 941 223 953 257
-rect 895 217 953 223
-rect 1085 257 1143 263
-rect 1085 223 1097 257
-rect 1131 223 1143 257
-rect 1085 217 1143 223
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 1397 68 1397 1 vdd
-rlabel metal1 68 1397 68 1397 1 vdd
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel metal1 1388 564 1388 564 1 Q
-port 1 n
-rlabel locali 1309 639 1309 639 1 QN
-port 2 n
-rlabel locali 207 463 241 497 1 D
-port 3 n
-rlabel npc 720 399 754 433 1 clk
-port 4 n
-<< end >>
diff --git a/cells/DFFNXL/definition.json b/cells/DFFNXL/definition.json
deleted file mode 100644
index ad726ec..0000000
--- a/cells/DFFNXL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFNXL",
- "library": "sky130_osu_sc",
- "name": "DFFNXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CLK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFNXL"
-}
diff --git a/cells/DFFRX1/DFFRX1.gds b/cells/DFFRX1/DFFRX1.gds
deleted file mode 100644
index 3362829..0000000
--- a/cells/DFFRX1/DFFRX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFRX1/DFFRX1.mag b/cells/DFFRX1/DFFRX1.mag
deleted file mode 100644
index 3bb096e..0000000
--- a/cells/DFFRX1/DFFRX1.mag
+++ /dev/null
@@ -1,735 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 3007 2709
-<< psdm >>
-rect 0 0 1738 86
-<< nsdm >>
-rect 0 1354 1738 1440
-<< npc >>
-rect 46 363 1678 678
-<< nwell >>
-rect -9 689 1747 1449
-<< nmos >>
-rect 80 115 110 243
-rect 166 115 196 243
-rect 264 115 294 315
-rect 336 115 366 315
-rect 456 115 486 315
-rect 528 115 558 315
-rect 614 115 644 315
-rect 686 115 716 315
-rect 806 115 836 315
-rect 878 115 908 315
-rect 964 115 994 315
-rect 1154 115 1184 315
-rect 1252 115 1282 243
-rect 1338 115 1368 243
-rect 1528 115 1558 315
-rect 1614 115 1644 315
-<< pmos >>
-rect 80 725 110 1325
-rect 178 725 208 1325
-rect 264 725 294 1325
-rect 336 725 366 1325
-rect 456 725 486 1325
-rect 528 725 558 1325
-rect 614 725 644 1325
-rect 686 725 716 1325
-rect 806 725 836 1325
-rect 878 725 908 1325
-rect 964 725 994 1325
-rect 1154 725 1184 1325
-rect 1240 725 1270 1325
-rect 1312 725 1342 1325
-rect 1528 725 1558 1325
-rect 1614 725 1644 1325
-<< ndiff >>
-rect 214 243 264 315
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 243
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 264 243
-rect 196 131 207 199
-rect 241 131 264 199
-rect 196 115 264 131
-rect 294 115 336 315
-rect 366 199 456 315
-rect 366 131 377 199
-rect 445 131 456 199
-rect 366 115 456 131
-rect 486 115 528 315
-rect 558 199 614 315
-rect 558 131 569 199
-rect 603 131 614 199
-rect 558 115 614 131
-rect 644 115 686 315
-rect 716 267 806 315
-rect 716 131 727 267
-rect 795 131 806 267
-rect 716 115 806 131
-rect 836 115 878 315
-rect 908 199 964 315
-rect 908 131 919 199
-rect 953 131 964 199
-rect 908 115 964 131
-rect 994 199 1047 315
-rect 994 131 1005 199
-rect 1039 131 1047 199
-rect 994 115 1047 131
-rect 1101 199 1154 315
-rect 1101 131 1109 199
-rect 1143 131 1154 199
-rect 1101 115 1154 131
-rect 1184 243 1237 315
-rect 1475 267 1528 315
-rect 1184 199 1252 243
-rect 1184 131 1195 199
-rect 1229 131 1252 199
-rect 1184 115 1252 131
-rect 1282 199 1338 243
-rect 1282 131 1293 199
-rect 1327 131 1338 199
-rect 1282 115 1338 131
-rect 1368 199 1421 243
-rect 1368 131 1379 199
-rect 1413 131 1421 199
-rect 1368 115 1421 131
-rect 1475 131 1483 267
-rect 1517 131 1528 267
-rect 1475 115 1528 131
-rect 1558 267 1614 315
-rect 1558 131 1569 267
-rect 1603 131 1614 267
-rect 1558 115 1614 131
-rect 1644 267 1697 315
-rect 1644 131 1655 267
-rect 1689 131 1697 267
-rect 1644 115 1697 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 725 178 1325
-rect 208 1309 264 1325
-rect 208 765 219 1309
-rect 253 765 264 1309
-rect 208 725 264 765
-rect 294 725 336 1325
-rect 366 1309 456 1325
-rect 366 833 377 1309
-rect 445 833 456 1309
-rect 366 725 456 833
-rect 486 725 528 1325
-rect 558 1309 614 1325
-rect 558 833 569 1309
-rect 603 833 614 1309
-rect 558 725 614 833
-rect 644 725 686 1325
-rect 716 1309 806 1325
-rect 716 833 727 1309
-rect 795 833 806 1309
-rect 716 725 806 833
-rect 836 725 878 1325
-rect 908 1309 964 1325
-rect 908 833 919 1309
-rect 953 833 964 1309
-rect 908 725 964 833
-rect 994 1309 1047 1325
-rect 994 833 1005 1309
-rect 1039 833 1047 1309
-rect 994 725 1047 833
-rect 1101 1309 1154 1325
-rect 1101 765 1109 1309
-rect 1143 765 1154 1309
-rect 1101 725 1154 765
-rect 1184 1309 1240 1325
-rect 1184 765 1195 1309
-rect 1229 765 1240 1309
-rect 1184 725 1240 765
-rect 1270 725 1312 1325
-rect 1342 1309 1395 1325
-rect 1342 765 1353 1309
-rect 1387 765 1395 1309
-rect 1342 725 1395 765
-rect 1475 1309 1528 1325
-rect 1475 1037 1483 1309
-rect 1517 1037 1528 1309
-rect 1475 725 1528 1037
-rect 1558 1309 1614 1325
-rect 1558 1037 1569 1309
-rect 1603 1037 1614 1309
-rect 1558 725 1614 1037
-rect 1644 1309 1697 1325
-rect 1644 1037 1655 1309
-rect 1689 1037 1697 1309
-rect 1644 725 1697 1037
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-rect 377 131 445 199
-rect 569 131 603 199
-rect 727 131 795 267
-rect 919 131 953 199
-rect 1005 131 1039 199
-rect 1109 131 1143 199
-rect 1195 131 1229 199
-rect 1293 131 1327 199
-rect 1379 131 1413 199
-rect 1483 131 1517 267
-rect 1569 131 1603 267
-rect 1655 131 1689 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 219 765 253 1309
-rect 377 833 445 1309
-rect 569 833 603 1309
-rect 727 833 795 1309
-rect 919 833 953 1309
-rect 1005 833 1039 1309
-rect 1109 765 1143 1309
-rect 1195 765 1229 1309
-rect 1353 765 1387 1309
-rect 1483 1037 1517 1309
-rect 1569 1037 1603 1309
-rect 1655 1037 1689 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-rect 1387 27 1411 61
-rect 1445 27 1469 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-rect 1387 1379 1411 1413
-rect 1445 1379 1469 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-rect 1411 27 1445 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-rect 1411 1379 1445 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 178 1325 208 1351
-rect 264 1325 294 1351
-rect 336 1325 366 1351
-rect 456 1325 486 1351
-rect 528 1325 558 1351
-rect 614 1325 644 1351
-rect 686 1325 716 1351
-rect 806 1325 836 1351
-rect 878 1325 908 1351
-rect 964 1325 994 1351
-rect 1154 1325 1184 1351
-rect 1240 1325 1270 1351
-rect 1312 1325 1342 1351
-rect 1528 1325 1558 1351
-rect 1614 1325 1644 1351
-rect 80 433 110 725
-rect 178 673 208 725
-rect 152 657 208 673
-rect 152 623 162 657
-rect 196 623 208 657
-rect 152 607 208 623
-rect 56 417 110 433
-rect 56 383 66 417
-rect 100 383 110 417
-rect 56 367 110 383
-rect 80 243 110 367
-rect 166 243 196 607
-rect 264 513 294 725
-rect 336 668 366 725
-rect 336 652 390 668
-rect 336 618 346 652
-rect 380 618 390 652
-rect 336 602 390 618
-rect 240 497 294 513
-rect 456 508 486 725
-rect 528 663 558 725
-rect 614 663 644 725
-rect 528 653 644 663
-rect 528 619 569 653
-rect 603 619 644 653
-rect 528 609 644 619
-rect 686 508 716 725
-rect 806 668 836 725
-rect 782 652 836 668
-rect 782 618 792 652
-rect 826 618 836 652
-rect 782 602 836 618
-rect 878 513 908 725
-rect 964 673 994 725
-rect 1154 673 1184 725
-rect 964 657 1035 673
-rect 964 643 991 657
-rect 975 623 991 643
-rect 1025 623 1035 657
-rect 975 607 1035 623
-rect 1130 657 1184 673
-rect 1130 623 1140 657
-rect 1174 623 1184 657
-rect 1130 607 1184 623
-rect 240 463 250 497
-rect 284 463 294 497
-rect 240 447 294 463
-rect 264 315 294 447
-rect 336 478 812 508
-rect 336 315 366 478
-rect 782 433 812 478
-rect 878 497 932 513
-rect 878 463 888 497
-rect 922 463 932 497
-rect 878 447 932 463
-rect 432 417 486 433
-rect 432 383 442 417
-rect 476 383 486 417
-rect 432 367 486 383
-rect 456 315 486 367
-rect 528 417 644 427
-rect 528 383 569 417
-rect 603 383 644 417
-rect 528 373 644 383
-rect 528 315 558 373
-rect 614 315 644 373
-rect 686 417 740 433
-rect 686 383 696 417
-rect 730 383 740 417
-rect 686 367 740 383
-rect 782 417 836 433
-rect 782 383 792 417
-rect 826 383 836 417
-rect 782 367 836 383
-rect 686 315 716 367
-rect 806 315 836 367
-rect 878 315 908 447
-rect 975 397 1005 607
-rect 964 367 1005 397
-rect 964 315 994 367
-rect 1154 315 1184 607
-rect 1240 433 1270 725
-rect 1312 673 1342 725
-rect 1312 657 1368 673
-rect 1314 623 1324 657
-rect 1358 623 1368 657
-rect 1314 607 1368 623
-rect 1228 417 1282 433
-rect 1228 383 1238 417
-rect 1272 383 1282 417
-rect 1228 367 1282 383
-rect 1252 243 1282 367
-rect 1338 243 1368 607
-rect 1528 513 1558 725
-rect 1504 497 1558 513
-rect 1504 463 1514 497
-rect 1548 463 1558 497
-rect 1504 447 1558 463
-rect 1528 315 1558 447
-rect 1614 673 1644 725
-rect 1614 657 1668 673
-rect 1614 623 1624 657
-rect 1658 623 1668 657
-rect 1614 607 1668 623
-rect 1614 315 1644 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 264 89 294 115
-rect 336 89 366 115
-rect 456 89 486 115
-rect 528 89 558 115
-rect 614 89 644 115
-rect 686 89 716 115
-rect 806 89 836 115
-rect 878 89 908 115
-rect 964 89 994 115
-rect 1154 89 1184 115
-rect 1252 89 1282 115
-rect 1338 89 1368 115
-rect 1528 89 1558 115
-rect 1614 89 1644 115
-<< polycont >>
-rect 162 623 196 657
-rect 66 383 100 417
-rect 346 618 380 652
-rect 569 619 603 653
-rect 792 618 826 652
-rect 991 623 1025 657
-rect 1140 623 1174 657
-rect 250 463 284 497
-rect 888 463 922 497
-rect 442 383 476 417
-rect 569 383 603 417
-rect 696 383 730 417
-rect 792 383 826 417
-rect 1324 623 1358 657
-rect 1238 383 1272 417
-rect 1514 463 1548 497
-rect 1624 623 1658 657
-<< locali >>
-rect 0 1413 1738 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1411 1413
-rect 1445 1379 1738 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 219 1309 253 1379
-rect 377 1309 445 1325
-rect 377 817 445 833
-rect 569 1309 603 1379
-rect 569 817 603 833
-rect 727 1309 795 1325
-rect 727 817 795 833
-rect 919 1309 953 1379
-rect 919 817 953 833
-rect 1005 1309 1039 1325
-rect 219 749 253 765
-rect 394 737 428 817
-rect 35 703 121 737
-rect 744 737 778 817
-rect 1005 737 1039 833
-rect 1109 1309 1143 1325
-rect 1109 737 1143 765
-rect 1195 1309 1229 1379
-rect 1195 749 1229 765
-rect 1353 1309 1387 1325
-rect 1353 737 1387 765
-rect 1483 1309 1517 1325
-rect 146 623 162 657
-rect 196 623 210 657
-rect 346 652 380 668
-rect 553 619 569 653
-rect 603 619 619 653
-rect 792 652 826 668
-rect 1483 657 1517 1037
-rect 1569 1309 1603 1379
-rect 1569 1021 1603 1037
-rect 1655 1309 1689 1325
-rect 1655 737 1689 1037
-rect 346 577 380 618
-rect 960 623 991 657
-rect 1025 623 1041 657
-rect 1124 623 1140 657
-rect 1174 623 1183 657
-rect 1308 623 1324 657
-rect 1358 623 1374 657
-rect 1483 623 1573 657
-rect 1607 623 1624 657
-rect 1658 623 1674 657
-rect 792 577 826 618
-rect 991 577 1025 623
-rect 219 543 476 577
-rect 510 543 1689 577
-rect 234 463 250 497
-rect 284 463 305 497
-rect 696 417 730 543
-rect 872 463 888 497
-rect 922 463 1322 497
-rect 1356 463 1514 497
-rect 1548 463 1564 497
-rect 100 383 116 417
-rect 425 383 442 417
-rect 553 383 569 417
-rect 603 383 619 417
-rect 776 383 792 417
-rect 826 383 1005 417
-rect 1188 383 1238 417
-rect 1272 383 1288 417
-rect 47 377 81 383
-rect 569 337 603 383
-rect 696 367 730 383
-rect 1188 337 1222 383
-rect 1322 337 1356 463
-rect 155 303 603 337
-rect 761 303 1222 337
-rect 1293 303 1356 337
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 303
-rect 761 283 795 303
-rect 727 267 795 283
-rect 394 215 428 223
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 377 199 445 215
-rect 377 115 445 131
-rect 569 199 603 215
-rect 569 61 603 131
-rect 727 115 795 131
-rect 919 199 953 215
-rect 919 61 953 131
-rect 1005 199 1039 223
-rect 1005 115 1039 131
-rect 1109 199 1143 223
-rect 1109 115 1143 131
-rect 1195 199 1229 215
-rect 1195 61 1229 131
-rect 1293 199 1327 303
-rect 1483 267 1517 303
-rect 1293 115 1327 131
-rect 1379 199 1413 215
-rect 1379 61 1413 131
-rect 1483 115 1517 131
-rect 1569 267 1603 283
-rect 1569 61 1603 131
-rect 1655 267 1689 383
-rect 1655 115 1689 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1411 61
-rect 1445 27 1738 61
-rect 0 0 1738 27
-<< viali >>
-rect 121 703 155 737
-rect 394 703 428 737
-rect 744 703 778 737
-rect 1005 703 1039 737
-rect 1109 703 1143 737
-rect 1353 703 1387 737
-rect 210 623 244 657
-rect 569 619 603 653
-rect 1655 703 1689 737
-rect 926 623 960 657
-rect 1183 623 1217 657
-rect 1274 623 1308 657
-rect 1573 623 1607 657
-rect 476 543 510 577
-rect 305 463 339 497
-rect 1322 463 1356 497
-rect 47 383 66 417
-rect 66 383 81 417
-rect 476 383 510 417
-rect 569 383 603 417
-rect 1005 383 1039 417
-rect 1655 383 1689 417
-rect 121 303 155 337
-rect 1483 303 1517 337
-rect 394 223 428 257
-rect 744 223 778 257
-rect 1005 223 1039 257
-rect 1109 223 1143 257
-<< metal1 >>
-rect 0 1379 1738 1440
-rect 47 783 1308 817
-rect 47 423 81 783
-rect 1109 743 1143 783
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 382 737 440 743
-rect 382 703 394 737
-rect 428 703 440 737
-rect 382 697 440 703
-rect 732 737 790 743
-rect 732 703 744 737
-rect 778 703 790 737
-rect 732 697 790 703
-rect 993 737 1051 743
-rect 993 703 1005 737
-rect 1039 703 1051 737
-rect 993 697 1051 703
-rect 1097 737 1155 743
-rect 1097 703 1109 737
-rect 1143 703 1155 737
-rect 1097 697 1155 703
-rect 35 417 93 423
-rect 35 383 47 417
-rect 81 383 93 417
-rect 35 377 93 383
-rect 121 343 155 697
-rect 198 657 256 663
-rect 394 657 428 697
-rect 198 623 210 657
-rect 244 623 428 657
-rect 198 617 256 623
-rect 293 497 366 503
-rect 293 463 305 497
-rect 339 463 366 497
-rect 293 457 366 463
-rect 109 337 167 343
-rect 109 303 121 337
-rect 155 303 167 337
-rect 109 297 167 303
-rect 394 263 428 623
-rect 557 653 615 659
-rect 557 619 569 653
-rect 603 619 615 653
-rect 557 613 615 619
-rect 464 577 522 583
-rect 464 543 476 577
-rect 510 543 522 577
-rect 464 537 522 543
-rect 476 423 510 537
-rect 569 423 603 613
-rect 464 417 522 423
-rect 464 383 476 417
-rect 510 383 522 417
-rect 464 377 522 383
-rect 557 417 615 423
-rect 557 383 569 417
-rect 603 383 615 417
-rect 557 377 615 383
-rect 744 263 778 697
-rect 899 657 972 663
-rect 899 623 926 657
-rect 960 623 972 657
-rect 899 617 972 623
-rect 1005 423 1039 697
-rect 993 417 1051 423
-rect 993 383 1005 417
-rect 1039 383 1051 417
-rect 993 377 1051 383
-rect 1005 263 1039 377
-rect 1109 263 1143 697
-rect 1274 663 1308 783
-rect 1341 737 1399 743
-rect 1341 703 1353 737
-rect 1387 703 1399 737
-rect 1341 697 1399 703
-rect 1643 737 1701 743
-rect 1643 703 1655 737
-rect 1689 703 1701 737
-rect 1643 697 1701 703
-rect 1171 657 1229 663
-rect 1171 623 1183 657
-rect 1217 623 1229 657
-rect 1171 617 1229 623
-rect 1262 657 1320 663
-rect 1262 623 1274 657
-rect 1308 623 1320 657
-rect 1262 617 1320 623
-rect 382 257 440 263
-rect 382 223 394 257
-rect 428 223 440 257
-rect 382 217 440 223
-rect 732 257 790 263
-rect 732 223 744 257
-rect 778 223 790 257
-rect 732 217 790 223
-rect 993 257 1051 263
-rect 993 223 1005 257
-rect 1039 223 1051 257
-rect 993 217 1051 223
-rect 1097 257 1155 263
-rect 1097 223 1109 257
-rect 1143 223 1155 257
-rect 1097 217 1155 223
-rect 1183 160 1217 617
-rect 1353 503 1387 697
-rect 1561 657 1619 663
-rect 1561 623 1573 657
-rect 1607 623 1619 657
-rect 1561 617 1619 623
-rect 1310 497 1387 503
-rect 1310 463 1322 497
-rect 1356 463 1387 497
-rect 1310 457 1368 463
-rect 1471 337 1529 343
-rect 1471 303 1483 337
-rect 1517 333 1529 337
-rect 1573 333 1607 617
-rect 1655 423 1689 697
-rect 1643 417 1701 423
-rect 1643 383 1655 417
-rect 1689 383 1701 417
-rect 1643 377 1701 383
-rect 1517 303 1607 333
-rect 1471 297 1529 303
-rect 0 0 1738 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 1673 508 1673 508 1 Q
-port 1 n
-rlabel locali 1589 641 1589 641 1 QN
-port 2 n
-rlabel locali 305 463 339 497 1 D
-port 3 n
-rlabel locali 1183 623 1217 657 1 RN
-port 4 n
-rlabel locali 926 623 960 657 1 CK
-port 5 n
-<< end >>
diff --git a/cells/DFFRX1/definition.json b/cells/DFFRX1/definition.json
deleted file mode 100644
index 62e83b9..0000000
--- a/cells/DFFRX1/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered, active-low async reset.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFRX1",
- "library": "sky130_osu_sc",
- "name": "DFFRX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "R",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFRX1"
-}
diff --git a/cells/DFFRXL/DFFRXL.gds b/cells/DFFRXL/DFFRXL.gds
deleted file mode 100644
index ecc38d1..0000000
--- a/cells/DFFRXL/DFFRXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFRXL/DFFRXL.mag b/cells/DFFRXL/DFFRXL.mag
deleted file mode 100644
index 28633fe..0000000
--- a/cells/DFFRXL/DFFRXL.mag
+++ /dev/null
@@ -1,735 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 3007 2709
-<< psdm >>
-rect 0 0 1738 86
-<< nsdm >>
-rect 0 1354 1738 1440
-<< npc >>
-rect 46 363 1678 678
-<< nwell >>
-rect -9 689 1747 1449
-<< nmos >>
-rect 80 115 110 243
-rect 166 115 196 243
-rect 264 115 294 315
-rect 336 115 366 315
-rect 456 115 486 315
-rect 528 115 558 315
-rect 614 115 644 315
-rect 686 115 716 315
-rect 806 115 836 315
-rect 878 115 908 315
-rect 964 115 994 315
-rect 1154 115 1184 315
-rect 1252 115 1282 243
-rect 1338 115 1368 243
-rect 1528 115 1558 243
-rect 1614 115 1644 243
-<< pmos >>
-rect 80 725 110 1325
-rect 178 725 208 1325
-rect 264 725 294 1325
-rect 336 725 366 1325
-rect 456 725 486 1325
-rect 528 725 558 1325
-rect 614 725 644 1325
-rect 686 725 716 1325
-rect 806 725 836 1325
-rect 878 725 908 1325
-rect 964 725 994 1325
-rect 1154 725 1184 1325
-rect 1240 725 1270 1325
-rect 1312 725 1342 1325
-rect 1528 995 1558 1325
-rect 1614 995 1644 1325
-<< ndiff >>
-rect 214 243 264 315
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 243
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 264 243
-rect 196 131 207 199
-rect 241 131 264 199
-rect 196 115 264 131
-rect 294 115 336 315
-rect 366 199 456 315
-rect 366 131 377 199
-rect 445 131 456 199
-rect 366 115 456 131
-rect 486 115 528 315
-rect 558 199 614 315
-rect 558 131 569 199
-rect 603 131 614 199
-rect 558 115 614 131
-rect 644 115 686 315
-rect 716 267 806 315
-rect 716 131 727 267
-rect 795 131 806 267
-rect 716 115 806 131
-rect 836 115 878 315
-rect 908 199 964 315
-rect 908 131 919 199
-rect 953 131 964 199
-rect 908 115 964 131
-rect 994 199 1047 315
-rect 994 131 1005 199
-rect 1039 131 1047 199
-rect 994 115 1047 131
-rect 1101 199 1154 315
-rect 1101 131 1109 199
-rect 1143 131 1154 199
-rect 1101 115 1154 131
-rect 1184 243 1237 315
-rect 1184 199 1252 243
-rect 1184 131 1195 199
-rect 1229 131 1252 199
-rect 1184 115 1252 131
-rect 1282 199 1338 243
-rect 1282 131 1293 199
-rect 1327 131 1338 199
-rect 1282 115 1338 131
-rect 1368 199 1421 243
-rect 1368 131 1379 199
-rect 1413 131 1421 199
-rect 1368 115 1421 131
-rect 1475 199 1528 243
-rect 1475 131 1483 199
-rect 1517 131 1528 199
-rect 1475 115 1528 131
-rect 1558 199 1614 243
-rect 1558 131 1569 199
-rect 1603 131 1614 199
-rect 1558 115 1614 131
-rect 1644 199 1697 243
-rect 1644 131 1655 199
-rect 1689 131 1697 199
-rect 1644 115 1697 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 725 178 1325
-rect 208 1309 264 1325
-rect 208 765 219 1309
-rect 253 765 264 1309
-rect 208 725 264 765
-rect 294 725 336 1325
-rect 366 1309 456 1325
-rect 366 833 377 1309
-rect 445 833 456 1309
-rect 366 725 456 833
-rect 486 725 528 1325
-rect 558 1309 614 1325
-rect 558 833 569 1309
-rect 603 833 614 1309
-rect 558 725 614 833
-rect 644 725 686 1325
-rect 716 1309 806 1325
-rect 716 833 727 1309
-rect 795 833 806 1309
-rect 716 725 806 833
-rect 836 725 878 1325
-rect 908 1309 964 1325
-rect 908 833 919 1309
-rect 953 833 964 1309
-rect 908 725 964 833
-rect 994 1309 1047 1325
-rect 994 833 1005 1309
-rect 1039 833 1047 1309
-rect 994 725 1047 833
-rect 1101 1309 1154 1325
-rect 1101 765 1109 1309
-rect 1143 765 1154 1309
-rect 1101 725 1154 765
-rect 1184 1309 1240 1325
-rect 1184 765 1195 1309
-rect 1229 765 1240 1309
-rect 1184 725 1240 765
-rect 1270 725 1312 1325
-rect 1342 1309 1395 1325
-rect 1342 765 1353 1309
-rect 1387 765 1395 1309
-rect 1475 1309 1528 1325
-rect 1475 1037 1483 1309
-rect 1517 1037 1528 1309
-rect 1475 995 1528 1037
-rect 1558 1309 1614 1325
-rect 1558 1037 1569 1309
-rect 1603 1037 1614 1309
-rect 1558 995 1614 1037
-rect 1644 1309 1697 1325
-rect 1644 1037 1655 1309
-rect 1689 1037 1697 1309
-rect 1644 995 1697 1037
-rect 1342 725 1395 765
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-rect 377 131 445 199
-rect 569 131 603 199
-rect 727 131 795 267
-rect 919 131 953 199
-rect 1005 131 1039 199
-rect 1109 131 1143 199
-rect 1195 131 1229 199
-rect 1293 131 1327 199
-rect 1379 131 1413 199
-rect 1483 131 1517 199
-rect 1569 131 1603 199
-rect 1655 131 1689 199
-<< pdiffc >>
-rect 35 765 69 1309
-rect 219 765 253 1309
-rect 377 833 445 1309
-rect 569 833 603 1309
-rect 727 833 795 1309
-rect 919 833 953 1309
-rect 1005 833 1039 1309
-rect 1109 765 1143 1309
-rect 1195 765 1229 1309
-rect 1353 765 1387 1309
-rect 1483 1037 1517 1309
-rect 1569 1037 1603 1309
-rect 1655 1037 1689 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-rect 1387 27 1411 61
-rect 1445 27 1469 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-rect 1387 1379 1411 1413
-rect 1445 1379 1469 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-rect 1411 27 1445 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-rect 1411 1379 1445 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 178 1325 208 1351
-rect 264 1325 294 1351
-rect 336 1325 366 1351
-rect 456 1325 486 1351
-rect 528 1325 558 1351
-rect 614 1325 644 1351
-rect 686 1325 716 1351
-rect 806 1325 836 1351
-rect 878 1325 908 1351
-rect 964 1325 994 1351
-rect 1154 1325 1184 1351
-rect 1240 1325 1270 1351
-rect 1312 1325 1342 1351
-rect 1528 1325 1558 1351
-rect 1614 1325 1644 1351
-rect 80 433 110 725
-rect 178 673 208 725
-rect 152 657 208 673
-rect 152 623 162 657
-rect 196 623 208 657
-rect 152 607 208 623
-rect 56 417 110 433
-rect 56 383 66 417
-rect 100 383 110 417
-rect 56 367 110 383
-rect 80 243 110 367
-rect 166 243 196 607
-rect 264 513 294 725
-rect 336 668 366 725
-rect 336 652 390 668
-rect 336 618 346 652
-rect 380 618 390 652
-rect 336 602 390 618
-rect 240 497 294 513
-rect 456 508 486 725
-rect 528 663 558 725
-rect 614 663 644 725
-rect 528 653 644 663
-rect 528 619 569 653
-rect 603 619 644 653
-rect 528 609 644 619
-rect 686 508 716 725
-rect 806 668 836 725
-rect 782 652 836 668
-rect 782 618 792 652
-rect 826 618 836 652
-rect 782 602 836 618
-rect 878 513 908 725
-rect 964 673 994 725
-rect 1154 673 1184 725
-rect 964 657 1035 673
-rect 964 643 991 657
-rect 975 623 991 643
-rect 1025 623 1035 657
-rect 975 607 1035 623
-rect 1130 657 1184 673
-rect 1130 623 1140 657
-rect 1174 623 1184 657
-rect 1130 607 1184 623
-rect 240 463 250 497
-rect 284 463 294 497
-rect 240 447 294 463
-rect 264 315 294 447
-rect 336 478 812 508
-rect 336 315 366 478
-rect 782 433 812 478
-rect 878 497 932 513
-rect 878 463 888 497
-rect 922 463 932 497
-rect 878 447 932 463
-rect 432 417 486 433
-rect 432 383 442 417
-rect 476 383 486 417
-rect 432 367 486 383
-rect 456 315 486 367
-rect 528 417 644 427
-rect 528 383 569 417
-rect 603 383 644 417
-rect 528 373 644 383
-rect 528 315 558 373
-rect 614 315 644 373
-rect 686 417 740 433
-rect 686 383 696 417
-rect 730 383 740 417
-rect 686 367 740 383
-rect 782 417 836 433
-rect 782 383 792 417
-rect 826 383 836 417
-rect 782 367 836 383
-rect 686 315 716 367
-rect 806 315 836 367
-rect 878 315 908 447
-rect 975 397 1005 607
-rect 964 367 1005 397
-rect 964 315 994 367
-rect 1154 315 1184 607
-rect 1240 433 1270 725
-rect 1312 673 1342 725
-rect 1312 657 1368 673
-rect 1314 623 1324 657
-rect 1358 623 1368 657
-rect 1314 607 1368 623
-rect 1228 417 1282 433
-rect 1228 383 1238 417
-rect 1272 383 1282 417
-rect 1228 367 1282 383
-rect 1252 243 1282 367
-rect 1338 243 1368 607
-rect 1528 513 1558 995
-rect 1504 497 1558 513
-rect 1504 463 1514 497
-rect 1548 463 1558 497
-rect 1504 447 1558 463
-rect 1528 243 1558 447
-rect 1614 673 1644 995
-rect 1614 657 1668 673
-rect 1614 623 1624 657
-rect 1658 623 1668 657
-rect 1614 607 1668 623
-rect 1614 243 1644 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 264 89 294 115
-rect 336 89 366 115
-rect 456 89 486 115
-rect 528 89 558 115
-rect 614 89 644 115
-rect 686 89 716 115
-rect 806 89 836 115
-rect 878 89 908 115
-rect 964 89 994 115
-rect 1154 89 1184 115
-rect 1252 89 1282 115
-rect 1338 89 1368 115
-rect 1528 89 1558 115
-rect 1614 89 1644 115
-<< polycont >>
-rect 162 623 196 657
-rect 66 383 100 417
-rect 346 618 380 652
-rect 569 619 603 653
-rect 792 618 826 652
-rect 991 623 1025 657
-rect 1140 623 1174 657
-rect 250 463 284 497
-rect 888 463 922 497
-rect 442 383 476 417
-rect 569 383 603 417
-rect 696 383 730 417
-rect 792 383 826 417
-rect 1324 623 1358 657
-rect 1238 383 1272 417
-rect 1514 463 1548 497
-rect 1624 623 1658 657
-<< locali >>
-rect 0 1413 1738 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1411 1413
-rect 1445 1379 1738 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 219 1309 253 1379
-rect 377 1309 445 1325
-rect 377 817 445 833
-rect 569 1309 603 1379
-rect 569 817 603 833
-rect 727 1309 795 1325
-rect 727 817 795 833
-rect 919 1309 953 1379
-rect 919 817 953 833
-rect 1005 1309 1039 1325
-rect 219 749 253 765
-rect 394 737 428 817
-rect 35 703 121 737
-rect 744 737 778 817
-rect 1005 737 1039 833
-rect 1109 1309 1143 1325
-rect 1109 737 1143 765
-rect 1195 1309 1229 1379
-rect 1195 749 1229 765
-rect 1353 1309 1387 1325
-rect 1353 737 1387 765
-rect 1483 1309 1517 1325
-rect 146 623 162 657
-rect 196 623 210 657
-rect 346 652 380 668
-rect 553 619 569 653
-rect 603 619 619 653
-rect 792 652 826 668
-rect 1483 657 1517 1037
-rect 1569 1309 1603 1379
-rect 1569 1021 1603 1037
-rect 1655 1309 1689 1325
-rect 1655 737 1689 1037
-rect 346 577 380 618
-rect 960 623 991 657
-rect 1025 623 1041 657
-rect 1124 623 1140 657
-rect 1174 623 1183 657
-rect 1308 623 1324 657
-rect 1358 623 1374 657
-rect 1483 623 1573 657
-rect 1607 623 1624 657
-rect 1658 623 1674 657
-rect 792 577 826 618
-rect 991 577 1025 623
-rect 219 543 476 577
-rect 510 543 1689 577
-rect 234 463 250 497
-rect 284 463 305 497
-rect 696 417 730 543
-rect 872 463 888 497
-rect 922 463 1322 497
-rect 1356 463 1514 497
-rect 1548 463 1564 497
-rect 100 383 116 417
-rect 425 383 442 417
-rect 553 383 569 417
-rect 603 383 619 417
-rect 776 383 792 417
-rect 826 383 1005 417
-rect 1188 383 1238 417
-rect 1272 383 1288 417
-rect 47 377 81 383
-rect 569 337 603 383
-rect 696 367 730 383
-rect 1188 337 1222 383
-rect 1322 337 1356 463
-rect 155 303 603 337
-rect 761 303 1222 337
-rect 1293 303 1356 337
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 303
-rect 761 283 795 303
-rect 727 267 795 283
-rect 394 215 428 223
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 377 199 445 215
-rect 377 115 445 131
-rect 569 199 603 215
-rect 569 61 603 131
-rect 727 115 795 131
-rect 919 199 953 215
-rect 919 61 953 131
-rect 1005 199 1039 223
-rect 1005 115 1039 131
-rect 1109 199 1143 223
-rect 1109 115 1143 131
-rect 1195 199 1229 215
-rect 1195 61 1229 131
-rect 1293 199 1327 303
-rect 1293 115 1327 131
-rect 1379 199 1413 215
-rect 1379 61 1413 131
-rect 1483 199 1517 303
-rect 1483 115 1517 131
-rect 1569 199 1603 215
-rect 1569 61 1603 131
-rect 1655 199 1689 383
-rect 1655 115 1689 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1411 61
-rect 1445 27 1738 61
-rect 0 0 1738 27
-<< viali >>
-rect 121 703 155 737
-rect 394 703 428 737
-rect 744 703 778 737
-rect 1005 703 1039 737
-rect 1109 703 1143 737
-rect 1353 703 1387 737
-rect 210 623 244 657
-rect 569 619 603 653
-rect 1655 703 1689 737
-rect 926 623 960 657
-rect 1183 623 1217 657
-rect 1274 623 1308 657
-rect 1573 623 1607 657
-rect 476 543 510 577
-rect 305 463 339 497
-rect 1322 463 1356 497
-rect 47 383 66 417
-rect 66 383 81 417
-rect 476 383 510 417
-rect 569 383 603 417
-rect 1005 383 1039 417
-rect 1655 383 1689 417
-rect 121 303 155 337
-rect 1483 303 1517 337
-rect 394 223 428 257
-rect 744 223 778 257
-rect 1005 223 1039 257
-rect 1109 223 1143 257
-<< metal1 >>
-rect 0 1379 1738 1440
-rect 47 783 1308 817
-rect 47 423 81 783
-rect 1109 743 1143 783
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 382 737 440 743
-rect 382 703 394 737
-rect 428 703 440 737
-rect 382 697 440 703
-rect 732 737 790 743
-rect 732 703 744 737
-rect 778 703 790 737
-rect 732 697 790 703
-rect 993 737 1051 743
-rect 993 703 1005 737
-rect 1039 703 1051 737
-rect 993 697 1051 703
-rect 1097 737 1155 743
-rect 1097 703 1109 737
-rect 1143 703 1155 737
-rect 1097 697 1155 703
-rect 35 417 93 423
-rect 35 383 47 417
-rect 81 383 93 417
-rect 35 377 93 383
-rect 121 343 155 697
-rect 198 657 256 663
-rect 394 657 428 697
-rect 198 623 210 657
-rect 244 623 428 657
-rect 198 617 256 623
-rect 293 497 366 503
-rect 293 463 305 497
-rect 339 463 366 497
-rect 293 457 366 463
-rect 109 337 167 343
-rect 109 303 121 337
-rect 155 303 167 337
-rect 109 297 167 303
-rect 394 263 428 623
-rect 557 653 615 659
-rect 557 619 569 653
-rect 603 619 615 653
-rect 557 613 615 619
-rect 464 577 522 583
-rect 464 543 476 577
-rect 510 543 522 577
-rect 464 537 522 543
-rect 476 423 510 537
-rect 569 423 603 613
-rect 464 417 522 423
-rect 464 383 476 417
-rect 510 383 522 417
-rect 464 377 522 383
-rect 557 417 615 423
-rect 557 383 569 417
-rect 603 383 615 417
-rect 557 377 615 383
-rect 744 263 778 697
-rect 899 657 972 663
-rect 899 623 926 657
-rect 960 623 972 657
-rect 899 617 972 623
-rect 1005 423 1039 697
-rect 993 417 1051 423
-rect 993 383 1005 417
-rect 1039 383 1051 417
-rect 993 377 1051 383
-rect 1005 263 1039 377
-rect 1109 263 1143 697
-rect 1274 663 1308 783
-rect 1341 737 1399 743
-rect 1341 703 1353 737
-rect 1387 703 1399 737
-rect 1341 697 1399 703
-rect 1643 737 1701 743
-rect 1643 703 1655 737
-rect 1689 703 1701 737
-rect 1643 697 1701 703
-rect 1171 657 1229 663
-rect 1171 623 1183 657
-rect 1217 623 1229 657
-rect 1171 617 1229 623
-rect 1262 657 1320 663
-rect 1262 623 1274 657
-rect 1308 623 1320 657
-rect 1262 617 1320 623
-rect 382 257 440 263
-rect 382 223 394 257
-rect 428 223 440 257
-rect 382 217 440 223
-rect 732 257 790 263
-rect 732 223 744 257
-rect 778 223 790 257
-rect 732 217 790 223
-rect 993 257 1051 263
-rect 993 223 1005 257
-rect 1039 223 1051 257
-rect 993 217 1051 223
-rect 1097 257 1155 263
-rect 1097 223 1109 257
-rect 1143 223 1155 257
-rect 1097 217 1155 223
-rect 1183 160 1217 617
-rect 1353 503 1387 697
-rect 1561 657 1619 663
-rect 1561 623 1573 657
-rect 1607 623 1619 657
-rect 1561 617 1619 623
-rect 1310 497 1387 503
-rect 1310 463 1322 497
-rect 1356 463 1387 497
-rect 1310 457 1368 463
-rect 1471 337 1529 343
-rect 1471 303 1483 337
-rect 1517 333 1529 337
-rect 1573 333 1607 617
-rect 1655 423 1689 697
-rect 1643 417 1701 423
-rect 1643 383 1655 417
-rect 1689 383 1701 417
-rect 1643 377 1701 383
-rect 1517 303 1607 333
-rect 1471 297 1529 303
-rect 0 0 1738 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 1673 508 1673 508 1 Q
-port 1 n
-rlabel locali 1589 641 1589 641 1 QN
-port 2 n
-rlabel locali 305 463 339 497 1 D
-port 3 n
-rlabel locali 1183 623 1217 657 1 RN
-port 4 n
-rlabel locali 926 623 960 657 1 CK
-port 5 n
-<< end >>
diff --git a/cells/DFFRXL/definition.json b/cells/DFFRXL/definition.json
deleted file mode 100644
index d3b75b5..0000000
--- a/cells/DFFRXL/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered, active-low async reset.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFRXL",
- "library": "sky130_osu_sc",
- "name": "DFFRXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "R",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFRXL"
-}
diff --git a/cells/DFFSX1/DFFSX1.gds b/cells/DFFSX1/DFFSX1.gds
deleted file mode 100644
index 7fe0566..0000000
--- a/cells/DFFSX1/DFFSX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFSX1/DFFSX1.mag b/cells/DFFSX1/DFFSX1.mag
deleted file mode 100644
index b8d21bd..0000000
--- a/cells/DFFSX1/DFFSX1.mag
+++ /dev/null
@@ -1,692 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 2897 2709
-<< psdm >>
-rect 0 0 1628 86
-<< nsdm >>
-rect 0 1354 1628 1440
-<< npc >>
-rect 17 763 91 854
-rect 1259 763 1333 854
-rect 142 480 1583 677
-rect 216 368 1583 480
-rect 216 363 1149 368
-rect 1288 363 1583 368
-<< nwell >>
-rect -9 689 1637 1449
-<< nmos >>
-rect 94 115 124 367
-rect 166 115 196 367
-rect 264 115 294 315
-rect 336 115 366 315
-rect 456 115 486 315
-rect 528 115 558 315
-rect 614 115 644 315
-rect 686 115 716 315
-rect 806 115 836 315
-rect 878 115 908 315
-rect 964 115 994 315
-rect 1168 115 1198 315
-rect 1240 115 1270 315
-rect 1432 115 1462 315
-rect 1518 115 1548 315
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-rect 264 725 294 1325
-rect 336 725 366 1325
-rect 456 725 486 1325
-rect 528 725 558 1325
-rect 614 725 644 1325
-rect 686 725 716 1325
-rect 806 725 836 1325
-rect 878 725 908 1325
-rect 964 725 994 1325
-rect 1154 995 1184 1325
-rect 1240 995 1270 1325
-rect 1432 725 1462 1325
-rect 1518 725 1548 1325
-<< ndiff >>
-rect 41 318 94 367
-rect 41 131 49 318
-rect 83 131 94 318
-rect 41 115 94 131
-rect 124 115 166 367
-rect 196 315 246 367
-rect 196 199 264 315
-rect 196 131 207 199
-rect 241 131 264 199
-rect 196 115 264 131
-rect 294 115 336 315
-rect 366 199 456 315
-rect 366 131 377 199
-rect 445 131 456 199
-rect 366 115 456 131
-rect 486 115 528 315
-rect 558 199 614 315
-rect 558 131 569 199
-rect 603 131 614 199
-rect 558 115 614 131
-rect 644 115 686 315
-rect 716 267 806 315
-rect 716 131 727 267
-rect 795 131 806 267
-rect 716 115 806 131
-rect 836 115 878 315
-rect 908 199 964 315
-rect 908 131 919 199
-rect 953 131 964 199
-rect 908 115 964 131
-rect 994 199 1047 315
-rect 994 131 1005 199
-rect 1039 131 1047 199
-rect 994 115 1047 131
-rect 1115 199 1168 315
-rect 1115 131 1123 199
-rect 1157 131 1168 199
-rect 1115 115 1168 131
-rect 1198 115 1240 315
-rect 1270 267 1323 315
-rect 1270 131 1281 267
-rect 1315 131 1323 267
-rect 1270 115 1323 131
-rect 1379 199 1432 315
-rect 1379 131 1387 199
-rect 1421 131 1432 199
-rect 1379 115 1432 131
-rect 1462 199 1518 315
-rect 1462 131 1473 199
-rect 1507 131 1518 199
-rect 1462 115 1518 131
-rect 1548 199 1601 315
-rect 1548 131 1559 199
-rect 1593 131 1601 199
-rect 1548 115 1601 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 264 1325
-rect 196 995 219 1309
-rect 211 833 219 995
-rect 253 833 264 1309
-rect 211 725 264 833
-rect 294 725 336 1325
-rect 366 1309 456 1325
-rect 366 833 377 1309
-rect 445 833 456 1309
-rect 366 725 456 833
-rect 486 725 528 1325
-rect 558 1309 614 1325
-rect 558 833 569 1309
-rect 603 833 614 1309
-rect 558 725 614 833
-rect 644 725 686 1325
-rect 716 1309 806 1325
-rect 716 833 727 1309
-rect 795 833 806 1309
-rect 716 725 806 833
-rect 836 725 878 1325
-rect 908 1309 964 1325
-rect 908 833 919 1309
-rect 953 833 964 1309
-rect 908 725 964 833
-rect 994 1309 1047 1325
-rect 994 833 1005 1309
-rect 1039 833 1047 1309
-rect 1101 1309 1154 1325
-rect 1101 1037 1109 1309
-rect 1143 1037 1154 1309
-rect 1101 995 1154 1037
-rect 1184 1309 1240 1325
-rect 1184 1037 1195 1309
-rect 1229 1037 1240 1309
-rect 1184 995 1240 1037
-rect 1270 1309 1323 1325
-rect 1270 1037 1281 1309
-rect 1315 1037 1323 1309
-rect 1270 995 1323 1037
-rect 1379 1309 1432 1325
-rect 1379 1037 1387 1309
-rect 1421 1037 1432 1309
-rect 994 725 1047 833
-rect 1379 725 1432 1037
-rect 1462 1309 1518 1325
-rect 1462 1037 1473 1309
-rect 1507 1037 1518 1309
-rect 1462 725 1518 1037
-rect 1548 1309 1601 1325
-rect 1548 1037 1559 1309
-rect 1593 1037 1601 1309
-rect 1548 725 1601 1037
-<< ndiffc >>
-rect 49 131 83 318
-rect 207 131 241 199
-rect 377 131 445 199
-rect 569 131 603 199
-rect 727 131 795 267
-rect 919 131 953 199
-rect 1005 131 1039 199
-rect 1123 131 1157 199
-rect 1281 131 1315 267
-rect 1387 131 1421 199
-rect 1473 131 1507 199
-rect 1559 131 1593 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 219 833 253 1309
-rect 377 833 445 1309
-rect 569 833 603 1309
-rect 727 833 795 1309
-rect 919 833 953 1309
-rect 1005 833 1039 1309
-rect 1109 1037 1143 1309
-rect 1195 1037 1229 1309
-rect 1281 1037 1315 1309
-rect 1387 1037 1421 1309
-rect 1473 1037 1507 1309
-rect 1559 1037 1593 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-rect 1387 27 1411 61
-rect 1445 27 1469 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-rect 1387 1379 1411 1413
-rect 1445 1379 1469 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-rect 1411 27 1445 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-rect 1411 1379 1445 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 264 1325 294 1351
-rect 336 1325 366 1351
-rect 456 1325 486 1351
-rect 528 1325 558 1351
-rect 614 1325 644 1351
-rect 686 1325 716 1351
-rect 806 1325 836 1351
-rect 878 1325 908 1351
-rect 964 1325 994 1351
-rect 1154 1325 1184 1351
-rect 1240 1325 1270 1351
-rect 1432 1325 1462 1351
-rect 1518 1325 1548 1351
-rect 80 833 110 995
-rect 27 817 110 833
-rect 27 783 37 817
-rect 71 783 110 817
-rect 27 767 110 783
-rect 80 413 110 767
-rect 166 673 196 995
-rect 152 657 206 673
-rect 152 623 162 657
-rect 196 623 206 657
-rect 152 607 206 623
-rect 80 383 124 413
-rect 94 367 124 383
-rect 166 367 196 607
-rect 264 513 294 725
-rect 336 668 366 725
-rect 336 652 390 668
-rect 336 618 346 652
-rect 380 618 390 652
-rect 336 602 390 618
-rect 240 497 294 513
-rect 456 508 486 725
-rect 528 663 558 725
-rect 614 663 644 725
-rect 528 653 644 663
-rect 528 619 569 653
-rect 603 619 644 653
-rect 528 609 644 619
-rect 686 508 716 725
-rect 806 668 836 725
-rect 782 652 836 668
-rect 782 618 792 652
-rect 826 618 836 652
-rect 782 602 836 618
-rect 878 513 908 725
-rect 964 673 994 725
-rect 964 657 1035 673
-rect 964 643 991 657
-rect 975 623 991 643
-rect 1025 623 1035 657
-rect 975 607 1035 623
-rect 240 463 250 497
-rect 284 463 294 497
-rect 240 447 294 463
-rect 264 315 294 447
-rect 336 478 812 508
-rect 336 315 366 478
-rect 782 433 812 478
-rect 878 497 932 513
-rect 878 463 888 497
-rect 922 463 932 497
-rect 878 447 932 463
-rect 432 417 486 433
-rect 432 383 442 417
-rect 476 383 486 417
-rect 432 367 486 383
-rect 456 315 486 367
-rect 528 417 644 427
-rect 528 383 569 417
-rect 603 383 644 417
-rect 528 373 644 383
-rect 528 315 558 373
-rect 614 315 644 373
-rect 686 417 740 433
-rect 686 383 696 417
-rect 730 383 740 417
-rect 686 367 740 383
-rect 782 417 836 433
-rect 782 383 792 417
-rect 826 383 836 417
-rect 782 367 836 383
-rect 686 315 716 367
-rect 806 315 836 367
-rect 878 315 908 447
-rect 975 397 1005 607
-rect 1154 445 1184 995
-rect 1240 833 1270 995
-rect 1240 817 1323 833
-rect 1240 783 1279 817
-rect 1313 783 1323 817
-rect 1240 767 1323 783
-rect 964 367 1005 397
-rect 1144 429 1198 445
-rect 1144 395 1154 429
-rect 1188 395 1198 429
-rect 1144 379 1198 395
-rect 964 315 994 367
-rect 1168 315 1198 379
-rect 1240 315 1270 767
-rect 1432 513 1462 725
-rect 1408 497 1462 513
-rect 1408 463 1418 497
-rect 1452 463 1462 497
-rect 1408 447 1462 463
-rect 1432 315 1462 447
-rect 1518 673 1548 725
-rect 1518 657 1572 673
-rect 1518 623 1528 657
-rect 1562 623 1572 657
-rect 1518 607 1572 623
-rect 1518 315 1548 607
-rect 94 89 124 115
-rect 166 89 196 115
-rect 264 89 294 115
-rect 336 89 366 115
-rect 456 89 486 115
-rect 528 89 558 115
-rect 614 89 644 115
-rect 686 89 716 115
-rect 806 89 836 115
-rect 878 89 908 115
-rect 964 89 994 115
-rect 1168 89 1198 115
-rect 1240 89 1270 115
-rect 1432 89 1462 115
-rect 1518 89 1548 115
-<< polycont >>
-rect 37 783 71 817
-rect 162 623 196 657
-rect 346 618 380 652
-rect 569 619 603 653
-rect 792 618 826 652
-rect 991 623 1025 657
-rect 250 463 284 497
-rect 888 463 922 497
-rect 442 383 476 417
-rect 569 383 603 417
-rect 696 383 730 417
-rect 792 383 826 417
-rect 1279 783 1313 817
-rect 1154 395 1188 429
-rect 1418 463 1452 497
-rect 1528 623 1562 657
-<< locali >>
-rect 0 1413 1628 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1411 1413
-rect 1445 1379 1628 1413
-rect 35 1309 69 1379
-rect 35 1021 69 1037
-rect 121 1309 155 1325
-rect 21 783 37 817
-rect 71 783 87 817
-rect 121 737 155 1037
-rect 219 1309 253 1379
-rect 219 817 253 833
-rect 377 1309 445 1325
-rect 377 817 445 833
-rect 569 1309 603 1379
-rect 569 817 603 833
-rect 727 1309 795 1325
-rect 727 817 795 833
-rect 919 1309 953 1379
-rect 919 817 953 833
-rect 1005 1309 1039 1325
-rect 1109 1309 1143 1379
-rect 1109 1021 1143 1037
-rect 1195 1309 1229 1325
-rect 394 737 428 817
-rect 744 737 778 817
-rect 1005 737 1039 833
-rect 1195 737 1229 1037
-rect 1281 1309 1315 1379
-rect 1281 1021 1315 1037
-rect 1387 1309 1421 1325
-rect 1263 783 1279 817
-rect 1313 783 1329 817
-rect 146 623 162 657
-rect 196 623 210 657
-rect 346 652 380 668
-rect 553 619 569 653
-rect 603 619 619 653
-rect 792 652 826 668
-rect 1387 657 1421 1037
-rect 1473 1309 1507 1379
-rect 1473 1021 1507 1037
-rect 1559 1309 1593 1325
-rect 1559 737 1593 1037
-rect 346 577 380 618
-rect 959 623 991 657
-rect 1025 623 1041 657
-rect 1387 623 1477 657
-rect 1511 623 1528 657
-rect 1562 623 1578 657
-rect 792 577 826 618
-rect 991 577 1025 623
-rect 217 543 476 577
-rect 510 543 1593 577
-rect 244 463 250 497
-rect 284 463 300 497
-rect 696 417 730 543
-rect 872 463 888 497
-rect 922 463 1281 497
-rect 1315 463 1418 497
-rect 1452 463 1468 497
-rect 425 383 442 417
-rect 553 383 569 417
-rect 603 383 619 417
-rect 776 383 792 417
-rect 826 383 1005 417
-rect 49 337 83 383
-rect 569 337 603 383
-rect 696 367 730 383
-rect 1120 337 1154 429
-rect 1188 395 1204 429
-rect 49 318 603 337
-rect 83 303 603 318
-rect 761 303 1154 337
-rect 761 283 795 303
-rect 727 267 795 283
-rect 1281 267 1315 463
-rect 377 257 445 266
-rect 377 223 394 257
-rect 428 223 445 257
-rect 49 115 83 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 377 199 445 223
-rect 377 115 445 131
-rect 569 199 603 215
-rect 569 61 603 131
-rect 1005 257 1039 267
-rect 727 115 795 131
-rect 919 199 953 215
-rect 919 61 953 131
-rect 1005 199 1039 223
-rect 1005 115 1039 131
-rect 1123 199 1157 215
-rect 1123 61 1157 131
-rect 1281 115 1315 131
-rect 1387 199 1421 383
-rect 1387 115 1421 131
-rect 1473 199 1507 215
-rect 1473 61 1507 131
-rect 1559 199 1593 383
-rect 1559 115 1593 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1411 61
-rect 1445 27 1628 61
-rect 0 0 1628 27
-<< viali >>
-rect 37 783 71 817
-rect 121 703 155 737
-rect 394 703 428 737
-rect 744 703 778 737
-rect 1005 703 1039 737
-rect 1279 783 1313 817
-rect 1195 703 1229 737
-rect 210 623 244 657
-rect 569 619 603 653
-rect 1559 703 1593 737
-rect 925 623 959 657
-rect 1477 623 1511 657
-rect 476 543 510 577
-rect 210 463 244 497
-rect 1281 463 1315 497
-rect 49 383 83 417
-rect 476 383 510 417
-rect 569 383 603 417
-rect 1005 383 1039 417
-rect 394 223 428 257
-rect 744 223 778 257
-rect 1005 223 1039 257
-rect 1387 383 1421 417
-rect 1559 383 1593 417
-<< metal1 >>
-rect 0 1379 1628 1440
-rect 25 817 83 823
-rect 1267 817 1325 823
-rect 25 783 37 817
-rect 71 783 1279 817
-rect 1313 783 1325 817
-rect 25 777 83 783
-rect 1267 777 1325 783
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 382 737 440 743
-rect 382 703 394 737
-rect 428 703 440 737
-rect 382 697 440 703
-rect 732 737 790 743
-rect 732 703 744 737
-rect 778 703 790 737
-rect 732 697 790 703
-rect 993 737 1051 743
-rect 993 703 1005 737
-rect 1039 703 1051 737
-rect 993 697 1051 703
-rect 1183 737 1241 743
-rect 1183 703 1195 737
-rect 1229 703 1241 737
-rect 1183 697 1241 703
-rect 1547 737 1605 743
-rect 1547 703 1559 737
-rect 1593 703 1605 737
-rect 1547 697 1605 703
-rect 121 423 155 697
-rect 198 657 256 663
-rect 394 657 428 697
-rect 198 623 210 657
-rect 244 623 428 657
-rect 198 617 256 623
-rect 183 497 256 503
-rect 183 463 210 497
-rect 244 463 256 497
-rect 183 457 256 463
-rect 37 417 155 423
-rect 37 383 49 417
-rect 83 383 155 417
-rect 37 377 95 383
-rect 394 263 428 623
-rect 557 653 615 659
-rect 557 619 569 653
-rect 603 619 615 653
-rect 557 613 615 619
-rect 464 577 522 583
-rect 464 543 476 577
-rect 510 543 522 577
-rect 464 537 522 543
-rect 476 423 510 537
-rect 569 423 603 613
-rect 464 417 522 423
-rect 464 383 476 417
-rect 510 383 522 417
-rect 464 377 522 383
-rect 557 417 615 423
-rect 557 383 569 417
-rect 603 383 615 417
-rect 557 377 615 383
-rect 744 263 778 697
-rect 898 657 971 663
-rect 898 623 925 657
-rect 959 623 971 657
-rect 898 616 971 623
-rect 1005 423 1039 697
-rect 1195 497 1229 697
-rect 1465 657 1523 663
-rect 1465 623 1477 657
-rect 1511 623 1523 657
-rect 1465 617 1523 623
-rect 1269 497 1327 503
-rect 1195 463 1281 497
-rect 1315 463 1327 497
-rect 1269 457 1327 463
-rect 993 417 1051 423
-rect 993 383 1005 417
-rect 1039 383 1051 417
-rect 993 377 1051 383
-rect 1375 417 1433 423
-rect 1375 383 1387 417
-rect 1421 413 1433 417
-rect 1477 413 1511 617
-rect 1559 423 1593 697
-rect 1421 383 1511 413
-rect 1547 417 1605 423
-rect 1547 383 1559 417
-rect 1593 383 1605 417
-rect 1375 377 1433 383
-rect 1547 377 1605 383
-rect 1005 263 1039 377
-rect 382 257 440 263
-rect 382 223 394 257
-rect 428 223 440 257
-rect 382 217 440 223
-rect 732 257 790 263
-rect 732 223 744 257
-rect 778 223 790 257
-rect 732 217 790 223
-rect 993 257 1051 263
-rect 993 223 1005 257
-rect 1039 223 1051 257
-rect 993 217 1051 223
-rect 0 0 1628 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 1493 641 1493 641 1 QN
-port 1 n
-rlabel metal1 1577 508 1577 508 1 Q
-port 2 n
-rlabel locali 925 623 959 657 1 CK
-port 3 n
-rlabel locali 210 463 244 497 1 D
-port 4 n
-rlabel nwell 1279 783 1313 817 1 SN
-port 5 n
-<< end >>
diff --git a/cells/DFFSX1/definition.json b/cells/DFFSX1/definition.json
deleted file mode 100644
index 5d49bc4..0000000
--- a/cells/DFFSX1/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered, active-low async set.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFSX1",
- "library": "sky130_osu_sc",
- "name": "DFFSX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "SN",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFSX1"
-}
diff --git a/cells/DFFSXL/DFFSXL.gds b/cells/DFFSXL/DFFSXL.gds
deleted file mode 100644
index 1a6d623..0000000
--- a/cells/DFFSXL/DFFSXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFSXL/DFFSXL.mag b/cells/DFFSXL/DFFSXL.mag
deleted file mode 100644
index b03feaf..0000000
--- a/cells/DFFSXL/DFFSXL.mag
+++ /dev/null
@@ -1,692 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 2897 2709
-<< psdm >>
-rect 0 0 1628 86
-<< nsdm >>
-rect 0 1354 1628 1440
-<< npc >>
-rect 17 763 91 854
-rect 1259 763 1333 854
-rect 142 480 1583 677
-rect 216 368 1583 480
-rect 216 363 1149 368
-rect 1288 363 1583 368
-<< nwell >>
-rect -9 689 1637 1449
-<< nmos >>
-rect 94 115 124 367
-rect 166 115 196 367
-rect 264 115 294 315
-rect 336 115 366 315
-rect 456 115 486 315
-rect 528 115 558 315
-rect 614 115 644 315
-rect 686 115 716 315
-rect 806 115 836 315
-rect 878 115 908 315
-rect 964 115 994 315
-rect 1168 115 1198 315
-rect 1240 115 1270 315
-rect 1432 115 1462 251
-rect 1518 115 1548 251
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-rect 264 725 294 1325
-rect 336 725 366 1325
-rect 456 725 486 1325
-rect 528 725 558 1325
-rect 614 725 644 1325
-rect 686 725 716 1325
-rect 806 725 836 1325
-rect 878 725 908 1325
-rect 964 725 994 1325
-rect 1154 995 1184 1325
-rect 1240 995 1270 1325
-rect 1432 995 1462 1325
-rect 1518 995 1548 1325
-<< ndiff >>
-rect 41 318 94 367
-rect 41 131 49 318
-rect 83 131 94 318
-rect 41 115 94 131
-rect 124 115 166 367
-rect 196 315 246 367
-rect 196 199 264 315
-rect 196 131 207 199
-rect 241 131 264 199
-rect 196 115 264 131
-rect 294 115 336 315
-rect 366 199 456 315
-rect 366 131 377 199
-rect 445 131 456 199
-rect 366 115 456 131
-rect 486 115 528 315
-rect 558 199 614 315
-rect 558 131 569 199
-rect 603 131 614 199
-rect 558 115 614 131
-rect 644 115 686 315
-rect 716 267 806 315
-rect 716 131 727 267
-rect 795 131 806 267
-rect 716 115 806 131
-rect 836 115 878 315
-rect 908 199 964 315
-rect 908 131 919 199
-rect 953 131 964 199
-rect 908 115 964 131
-rect 994 199 1047 315
-rect 994 131 1005 199
-rect 1039 131 1047 199
-rect 994 115 1047 131
-rect 1115 199 1168 315
-rect 1115 131 1123 199
-rect 1157 131 1168 199
-rect 1115 115 1168 131
-rect 1198 115 1240 315
-rect 1270 267 1323 315
-rect 1270 131 1281 267
-rect 1315 131 1323 267
-rect 1270 115 1323 131
-rect 1379 199 1432 251
-rect 1379 131 1387 199
-rect 1421 131 1432 199
-rect 1379 115 1432 131
-rect 1462 199 1518 251
-rect 1462 131 1473 199
-rect 1507 131 1518 199
-rect 1462 115 1518 131
-rect 1548 199 1601 251
-rect 1548 131 1559 199
-rect 1593 131 1601 199
-rect 1548 115 1601 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 264 1325
-rect 196 995 219 1309
-rect 211 833 219 995
-rect 253 833 264 1309
-rect 211 725 264 833
-rect 294 725 336 1325
-rect 366 1309 456 1325
-rect 366 833 377 1309
-rect 445 833 456 1309
-rect 366 725 456 833
-rect 486 725 528 1325
-rect 558 1309 614 1325
-rect 558 833 569 1309
-rect 603 833 614 1309
-rect 558 725 614 833
-rect 644 725 686 1325
-rect 716 1309 806 1325
-rect 716 833 727 1309
-rect 795 833 806 1309
-rect 716 725 806 833
-rect 836 725 878 1325
-rect 908 1309 964 1325
-rect 908 833 919 1309
-rect 953 833 964 1309
-rect 908 725 964 833
-rect 994 1309 1047 1325
-rect 994 833 1005 1309
-rect 1039 833 1047 1309
-rect 1101 1309 1154 1325
-rect 1101 1037 1109 1309
-rect 1143 1037 1154 1309
-rect 1101 995 1154 1037
-rect 1184 1309 1240 1325
-rect 1184 1037 1195 1309
-rect 1229 1037 1240 1309
-rect 1184 995 1240 1037
-rect 1270 1309 1323 1325
-rect 1270 1037 1281 1309
-rect 1315 1037 1323 1309
-rect 1270 995 1323 1037
-rect 1379 1309 1432 1325
-rect 1379 1037 1387 1309
-rect 1421 1037 1432 1309
-rect 1379 995 1432 1037
-rect 1462 1309 1518 1325
-rect 1462 1037 1473 1309
-rect 1507 1037 1518 1309
-rect 1462 995 1518 1037
-rect 1548 1309 1601 1325
-rect 1548 1037 1559 1309
-rect 1593 1037 1601 1309
-rect 1548 995 1601 1037
-rect 994 725 1047 833
-<< ndiffc >>
-rect 49 131 83 318
-rect 207 131 241 199
-rect 377 131 445 199
-rect 569 131 603 199
-rect 727 131 795 267
-rect 919 131 953 199
-rect 1005 131 1039 199
-rect 1123 131 1157 199
-rect 1281 131 1315 267
-rect 1387 131 1421 199
-rect 1473 131 1507 199
-rect 1559 131 1593 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 219 833 253 1309
-rect 377 833 445 1309
-rect 569 833 603 1309
-rect 727 833 795 1309
-rect 919 833 953 1309
-rect 1005 833 1039 1309
-rect 1109 1037 1143 1309
-rect 1195 1037 1229 1309
-rect 1281 1037 1315 1309
-rect 1387 1037 1421 1309
-rect 1473 1037 1507 1309
-rect 1559 1037 1593 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-rect 1387 27 1411 61
-rect 1445 27 1469 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-rect 1387 1379 1411 1413
-rect 1445 1379 1469 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-rect 1411 27 1445 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-rect 1411 1379 1445 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 264 1325 294 1351
-rect 336 1325 366 1351
-rect 456 1325 486 1351
-rect 528 1325 558 1351
-rect 614 1325 644 1351
-rect 686 1325 716 1351
-rect 806 1325 836 1351
-rect 878 1325 908 1351
-rect 964 1325 994 1351
-rect 1154 1325 1184 1351
-rect 1240 1325 1270 1351
-rect 1432 1325 1462 1351
-rect 1518 1325 1548 1351
-rect 80 833 110 995
-rect 27 817 110 833
-rect 27 783 37 817
-rect 71 783 110 817
-rect 27 767 110 783
-rect 80 413 110 767
-rect 166 673 196 995
-rect 152 657 206 673
-rect 152 623 162 657
-rect 196 623 206 657
-rect 152 607 206 623
-rect 80 383 124 413
-rect 94 367 124 383
-rect 166 367 196 607
-rect 264 513 294 725
-rect 336 668 366 725
-rect 336 652 390 668
-rect 336 618 346 652
-rect 380 618 390 652
-rect 336 602 390 618
-rect 240 497 294 513
-rect 456 508 486 725
-rect 528 663 558 725
-rect 614 663 644 725
-rect 528 653 644 663
-rect 528 619 569 653
-rect 603 619 644 653
-rect 528 609 644 619
-rect 686 508 716 725
-rect 806 668 836 725
-rect 782 652 836 668
-rect 782 618 792 652
-rect 826 618 836 652
-rect 782 602 836 618
-rect 878 513 908 725
-rect 964 673 994 725
-rect 964 657 1035 673
-rect 964 643 991 657
-rect 975 623 991 643
-rect 1025 623 1035 657
-rect 975 607 1035 623
-rect 240 463 250 497
-rect 284 463 294 497
-rect 240 447 294 463
-rect 264 315 294 447
-rect 336 478 812 508
-rect 336 315 366 478
-rect 782 433 812 478
-rect 878 497 932 513
-rect 878 463 888 497
-rect 922 463 932 497
-rect 878 447 932 463
-rect 432 417 486 433
-rect 432 383 442 417
-rect 476 383 486 417
-rect 432 367 486 383
-rect 456 315 486 367
-rect 528 417 644 427
-rect 528 383 569 417
-rect 603 383 644 417
-rect 528 373 644 383
-rect 528 315 558 373
-rect 614 315 644 373
-rect 686 417 740 433
-rect 686 383 696 417
-rect 730 383 740 417
-rect 686 367 740 383
-rect 782 417 836 433
-rect 782 383 792 417
-rect 826 383 836 417
-rect 782 367 836 383
-rect 686 315 716 367
-rect 806 315 836 367
-rect 878 315 908 447
-rect 975 397 1005 607
-rect 1154 445 1184 995
-rect 1240 833 1270 995
-rect 1240 817 1323 833
-rect 1240 783 1279 817
-rect 1313 783 1323 817
-rect 1240 767 1323 783
-rect 964 367 1005 397
-rect 1144 429 1198 445
-rect 1144 395 1154 429
-rect 1188 395 1198 429
-rect 1144 379 1198 395
-rect 964 315 994 367
-rect 1168 315 1198 379
-rect 1240 315 1270 767
-rect 1432 513 1462 995
-rect 1408 497 1462 513
-rect 1408 463 1418 497
-rect 1452 463 1462 497
-rect 1408 447 1462 463
-rect 1432 251 1462 447
-rect 1518 673 1548 995
-rect 1518 657 1572 673
-rect 1518 623 1528 657
-rect 1562 623 1572 657
-rect 1518 607 1572 623
-rect 1518 251 1548 607
-rect 94 89 124 115
-rect 166 89 196 115
-rect 264 89 294 115
-rect 336 89 366 115
-rect 456 89 486 115
-rect 528 89 558 115
-rect 614 89 644 115
-rect 686 89 716 115
-rect 806 89 836 115
-rect 878 89 908 115
-rect 964 89 994 115
-rect 1168 89 1198 115
-rect 1240 89 1270 115
-rect 1432 89 1462 115
-rect 1518 89 1548 115
-<< polycont >>
-rect 37 783 71 817
-rect 162 623 196 657
-rect 346 618 380 652
-rect 569 619 603 653
-rect 792 618 826 652
-rect 991 623 1025 657
-rect 250 463 284 497
-rect 888 463 922 497
-rect 442 383 476 417
-rect 569 383 603 417
-rect 696 383 730 417
-rect 792 383 826 417
-rect 1279 783 1313 817
-rect 1154 395 1188 429
-rect 1418 463 1452 497
-rect 1528 623 1562 657
-<< locali >>
-rect 0 1413 1628 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1411 1413
-rect 1445 1379 1628 1413
-rect 35 1309 69 1379
-rect 35 1021 69 1037
-rect 121 1309 155 1325
-rect 21 783 37 817
-rect 71 783 87 817
-rect 121 737 155 1037
-rect 219 1309 253 1379
-rect 219 817 253 833
-rect 377 1309 445 1325
-rect 377 817 445 833
-rect 569 1309 603 1379
-rect 569 817 603 833
-rect 727 1309 795 1325
-rect 727 817 795 833
-rect 919 1309 953 1379
-rect 919 817 953 833
-rect 1005 1309 1039 1325
-rect 1109 1309 1143 1379
-rect 1109 1021 1143 1037
-rect 1195 1309 1229 1325
-rect 394 737 428 817
-rect 744 737 778 817
-rect 1005 737 1039 833
-rect 1195 737 1229 1037
-rect 1281 1309 1315 1379
-rect 1281 1021 1315 1037
-rect 1387 1309 1421 1325
-rect 1263 783 1279 817
-rect 1313 783 1329 817
-rect 146 623 162 657
-rect 196 623 210 657
-rect 346 652 380 668
-rect 553 619 569 653
-rect 603 619 619 653
-rect 792 652 826 668
-rect 1387 657 1421 1037
-rect 1473 1309 1507 1379
-rect 1473 1021 1507 1037
-rect 1559 1309 1593 1325
-rect 1559 737 1593 1037
-rect 346 577 380 618
-rect 959 623 991 657
-rect 1025 623 1041 657
-rect 1387 623 1477 657
-rect 1511 623 1528 657
-rect 1562 623 1578 657
-rect 792 577 826 618
-rect 991 577 1025 623
-rect 217 543 476 577
-rect 510 543 1593 577
-rect 244 463 250 497
-rect 284 463 300 497
-rect 696 417 730 543
-rect 872 463 888 497
-rect 922 463 1281 497
-rect 1315 463 1418 497
-rect 1452 463 1468 497
-rect 425 383 442 417
-rect 553 383 569 417
-rect 603 383 619 417
-rect 776 383 792 417
-rect 826 383 1005 417
-rect 49 337 83 383
-rect 569 337 603 383
-rect 696 367 730 383
-rect 1120 337 1154 429
-rect 1188 395 1204 429
-rect 49 318 603 337
-rect 83 303 603 318
-rect 761 303 1154 337
-rect 761 283 795 303
-rect 727 267 795 283
-rect 1281 267 1315 463
-rect 377 257 445 266
-rect 377 223 394 257
-rect 428 223 445 257
-rect 49 115 83 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 377 199 445 223
-rect 377 115 445 131
-rect 569 199 603 215
-rect 569 61 603 131
-rect 1005 257 1039 267
-rect 727 115 795 131
-rect 919 199 953 215
-rect 919 61 953 131
-rect 1005 199 1039 223
-rect 1005 115 1039 131
-rect 1123 199 1157 215
-rect 1123 61 1157 131
-rect 1281 115 1315 131
-rect 1387 199 1421 383
-rect 1387 115 1421 131
-rect 1473 199 1507 215
-rect 1473 61 1507 131
-rect 1559 199 1593 383
-rect 1559 115 1593 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1411 61
-rect 1445 27 1628 61
-rect 0 0 1628 27
-<< viali >>
-rect 37 783 71 817
-rect 121 703 155 737
-rect 394 703 428 737
-rect 744 703 778 737
-rect 1005 703 1039 737
-rect 1279 783 1313 817
-rect 1195 703 1229 737
-rect 210 623 244 657
-rect 569 619 603 653
-rect 1559 703 1593 737
-rect 925 623 959 657
-rect 1477 623 1511 657
-rect 476 543 510 577
-rect 210 463 244 497
-rect 1281 463 1315 497
-rect 49 383 83 417
-rect 476 383 510 417
-rect 569 383 603 417
-rect 1005 383 1039 417
-rect 394 223 428 257
-rect 744 223 778 257
-rect 1005 223 1039 257
-rect 1387 383 1421 417
-rect 1559 383 1593 417
-<< metal1 >>
-rect 0 1379 1628 1440
-rect 25 817 83 823
-rect 1267 817 1325 823
-rect 25 783 37 817
-rect 71 783 1279 817
-rect 1313 783 1325 817
-rect 25 777 83 783
-rect 1267 777 1325 783
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 382 737 440 743
-rect 382 703 394 737
-rect 428 703 440 737
-rect 382 697 440 703
-rect 732 737 790 743
-rect 732 703 744 737
-rect 778 703 790 737
-rect 732 697 790 703
-rect 993 737 1051 743
-rect 993 703 1005 737
-rect 1039 703 1051 737
-rect 993 697 1051 703
-rect 1183 737 1241 743
-rect 1183 703 1195 737
-rect 1229 703 1241 737
-rect 1183 697 1241 703
-rect 1547 737 1605 743
-rect 1547 703 1559 737
-rect 1593 703 1605 737
-rect 1547 697 1605 703
-rect 121 423 155 697
-rect 198 657 256 663
-rect 394 657 428 697
-rect 198 623 210 657
-rect 244 623 428 657
-rect 198 617 256 623
-rect 183 497 256 503
-rect 183 463 210 497
-rect 244 463 256 497
-rect 183 457 256 463
-rect 37 417 155 423
-rect 37 383 49 417
-rect 83 383 155 417
-rect 37 377 95 383
-rect 394 263 428 623
-rect 557 653 615 659
-rect 557 619 569 653
-rect 603 619 615 653
-rect 557 613 615 619
-rect 464 577 522 583
-rect 464 543 476 577
-rect 510 543 522 577
-rect 464 537 522 543
-rect 476 423 510 537
-rect 569 423 603 613
-rect 464 417 522 423
-rect 464 383 476 417
-rect 510 383 522 417
-rect 464 377 522 383
-rect 557 417 615 423
-rect 557 383 569 417
-rect 603 383 615 417
-rect 557 377 615 383
-rect 744 263 778 697
-rect 898 657 971 663
-rect 898 623 925 657
-rect 959 623 971 657
-rect 898 616 971 623
-rect 1005 423 1039 697
-rect 1195 497 1229 697
-rect 1465 657 1523 663
-rect 1465 623 1477 657
-rect 1511 623 1523 657
-rect 1465 617 1523 623
-rect 1269 497 1327 503
-rect 1195 463 1281 497
-rect 1315 463 1327 497
-rect 1269 457 1327 463
-rect 993 417 1051 423
-rect 993 383 1005 417
-rect 1039 383 1051 417
-rect 993 377 1051 383
-rect 1375 417 1433 423
-rect 1375 383 1387 417
-rect 1421 413 1433 417
-rect 1477 413 1511 617
-rect 1559 423 1593 697
-rect 1421 383 1511 413
-rect 1547 417 1605 423
-rect 1547 383 1559 417
-rect 1593 383 1605 417
-rect 1375 377 1433 383
-rect 1547 377 1605 383
-rect 1005 263 1039 377
-rect 382 257 440 263
-rect 382 223 394 257
-rect 428 223 440 257
-rect 382 217 440 223
-rect 732 257 790 263
-rect 732 223 744 257
-rect 778 223 790 257
-rect 732 217 790 223
-rect 993 257 1051 263
-rect 993 223 1005 257
-rect 1039 223 1051 257
-rect 993 217 1051 223
-rect 0 0 1628 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 1493 641 1493 641 1 QN
-port 1 n
-rlabel metal1 1577 508 1577 508 1 Q
-port 2 n
-rlabel locali 925 623 959 657 1 CK
-port 3 n
-rlabel locali 210 463 244 497 1 D
-port 4 n
-rlabel nwell 1279 783 1313 817 1 SN
-port 5 n
-<< end >>
diff --git a/cells/DFFSXL/definition.json b/cells/DFFSXL/definition.json
deleted file mode 100644
index a669006..0000000
--- a/cells/DFFSXL/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "D flip-flop, negative-edge triggered, active-low async set.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFSXL",
- "library": "sky130_osu_sc",
- "name": "DFFSXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "SN",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFSXL"
-}
diff --git a/cells/DFFX1/DFFX1.gds b/cells/DFFX1/DFFX1.gds
deleted file mode 100644
index ec24700..0000000
--- a/cells/DFFX1/DFFX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFX1/DFFX1.mag b/cells/DFFX1/DFFX1.mag
deleted file mode 100644
index 6238484..0000000
--- a/cells/DFFX1/DFFX1.mag
+++ /dev/null
@@ -1,629 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 2712 2709
-<< psdm >>
-rect 0 0 1452 86
-<< nsdm >>
-rect 0 1354 1452 1440
-<< npc >>
-rect 31 363 1397 677
-<< nwell >>
-rect -9 689 1452 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-rect 588 115 618 315
-rect 708 115 738 315
-rect 780 115 810 315
-rect 866 115 896 315
-rect 1056 115 1086 315
-rect 1246 115 1276 315
-rect 1332 115 1362 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-rect 588 725 618 1325
-rect 708 725 738 1325
-rect 780 725 810 1325
-rect 866 725 896 1325
-rect 1056 725 1086 1325
-rect 1246 725 1276 1325
-rect 1332 725 1362 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 199 358 315
-rect 268 131 279 199
-rect 347 131 358 199
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 199 516 315
-rect 460 131 471 199
-rect 505 131 516 199
-rect 460 115 516 131
-rect 546 115 588 315
-rect 618 267 708 315
-rect 618 131 629 267
-rect 697 131 708 267
-rect 618 115 708 131
-rect 738 115 780 315
-rect 810 199 866 315
-rect 810 131 821 199
-rect 855 131 866 199
-rect 810 115 866 131
-rect 896 199 949 315
-rect 896 131 907 199
-rect 941 131 949 199
-rect 896 115 949 131
-rect 1003 199 1056 315
-rect 1003 131 1011 199
-rect 1045 131 1056 199
-rect 1003 115 1056 131
-rect 1086 267 1139 315
-rect 1086 131 1097 267
-rect 1131 131 1139 267
-rect 1086 115 1139 131
-rect 1193 267 1246 315
-rect 1193 131 1201 267
-rect 1235 131 1246 267
-rect 1193 115 1246 131
-rect 1276 267 1332 315
-rect 1276 131 1287 267
-rect 1321 131 1332 267
-rect 1276 115 1332 131
-rect 1362 267 1415 315
-rect 1362 131 1373 267
-rect 1407 131 1415 267
-rect 1362 115 1415 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 833 121 1309
-rect 155 833 166 1309
-rect 110 725 166 833
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 833 279 1309
-rect 347 833 358 1309
-rect 268 725 358 833
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 833 471 1309
-rect 505 833 516 1309
-rect 460 725 516 833
-rect 546 725 588 1325
-rect 618 1309 708 1325
-rect 618 833 629 1309
-rect 697 833 708 1309
-rect 618 725 708 833
-rect 738 725 780 1325
-rect 810 1309 866 1325
-rect 810 833 821 1309
-rect 855 833 866 1309
-rect 810 725 866 833
-rect 896 1309 949 1325
-rect 896 833 907 1309
-rect 941 833 949 1309
-rect 896 725 949 833
-rect 1003 1309 1056 1325
-rect 1003 833 1011 1309
-rect 1045 833 1056 1309
-rect 1003 725 1056 833
-rect 1086 1309 1139 1325
-rect 1086 833 1097 1309
-rect 1131 833 1139 1309
-rect 1086 725 1139 833
-rect 1193 1309 1246 1325
-rect 1193 765 1201 1309
-rect 1235 765 1246 1309
-rect 1193 725 1246 765
-rect 1276 1309 1332 1325
-rect 1276 765 1287 1309
-rect 1321 765 1332 1309
-rect 1276 725 1332 765
-rect 1362 1309 1415 1325
-rect 1362 765 1373 1309
-rect 1407 765 1415 1309
-rect 1362 725 1415 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 279 131 347 199
-rect 471 131 505 199
-rect 629 131 697 267
-rect 821 131 855 199
-rect 907 131 941 199
-rect 1011 131 1045 199
-rect 1097 131 1131 267
-rect 1201 131 1235 267
-rect 1287 131 1321 267
-rect 1373 131 1407 267
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 833 155 1309
-rect 279 833 347 1309
-rect 471 833 505 1309
-rect 629 833 697 1309
-rect 821 833 855 1309
-rect 907 833 941 1309
-rect 1011 833 1045 1309
-rect 1097 833 1131 1309
-rect 1201 765 1235 1309
-rect 1287 765 1321 1309
-rect 1373 765 1407 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 588 1325 618 1351
-rect 708 1325 738 1351
-rect 780 1325 810 1351
-rect 866 1325 896 1351
-rect 1056 1325 1086 1351
-rect 1246 1325 1276 1351
-rect 1332 1325 1362 1351
-rect 80 693 110 725
-rect 69 673 110 693
-rect 46 663 110 673
-rect 46 657 100 663
-rect 46 623 56 657
-rect 90 623 100 657
-rect 46 607 100 623
-rect 70 383 100 607
-rect 166 513 196 725
-rect 238 668 268 725
-rect 238 652 292 668
-rect 238 618 248 652
-rect 282 618 292 652
-rect 238 602 292 618
-rect 142 497 196 513
-rect 358 508 388 725
-rect 430 663 460 725
-rect 516 663 546 725
-rect 430 653 546 663
-rect 430 619 471 653
-rect 505 619 546 653
-rect 430 609 546 619
-rect 588 508 618 725
-rect 708 668 738 725
-rect 684 652 738 668
-rect 684 618 694 652
-rect 728 618 738 652
-rect 684 602 738 618
-rect 780 513 810 725
-rect 866 673 896 725
-rect 866 657 937 673
-rect 866 643 893 657
-rect 877 623 893 643
-rect 927 623 937 657
-rect 877 607 937 623
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 70 353 110 383
-rect 80 315 110 353
-rect 166 315 196 447
-rect 238 478 714 508
-rect 238 315 268 478
-rect 684 433 714 478
-rect 780 497 834 513
-rect 780 463 790 497
-rect 824 463 834 497
-rect 780 447 834 463
-rect 334 417 388 433
-rect 334 383 344 417
-rect 378 383 388 417
-rect 334 367 388 383
-rect 358 315 388 367
-rect 430 417 546 427
-rect 430 383 471 417
-rect 505 383 546 417
-rect 430 373 546 383
-rect 430 315 460 373
-rect 516 315 546 373
-rect 588 417 642 433
-rect 588 383 598 417
-rect 632 383 642 417
-rect 588 367 642 383
-rect 684 417 738 433
-rect 684 383 694 417
-rect 728 383 738 417
-rect 684 367 738 383
-rect 588 315 618 367
-rect 708 315 738 367
-rect 780 315 810 447
-rect 877 397 907 607
-rect 1056 433 1086 725
-rect 1246 513 1276 725
-rect 1222 497 1276 513
-rect 1222 463 1232 497
-rect 1266 463 1276 497
-rect 1222 447 1276 463
-rect 866 367 907 397
-rect 1032 417 1086 433
-rect 1032 383 1042 417
-rect 1076 383 1086 417
-rect 1032 367 1086 383
-rect 866 315 896 367
-rect 1056 315 1086 367
-rect 1246 315 1276 447
-rect 1332 673 1362 725
-rect 1332 657 1386 673
-rect 1332 623 1342 657
-rect 1376 623 1386 657
-rect 1332 607 1386 623
-rect 1332 315 1362 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-rect 588 89 618 115
-rect 708 89 738 115
-rect 780 89 810 115
-rect 866 89 896 115
-rect 1056 89 1086 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-<< polycont >>
-rect 56 623 90 657
-rect 248 618 282 652
-rect 471 619 505 653
-rect 694 618 728 652
-rect 893 623 927 657
-rect 152 463 186 497
-rect 790 463 824 497
-rect 344 383 378 417
-rect 471 383 505 417
-rect 598 383 632 417
-rect 694 383 728 417
-rect 1232 463 1266 497
-rect 1042 383 1076 417
-rect 1342 623 1376 657
-<< locali >>
-rect 0 1413 1452 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1452 1413
-rect 35 1309 69 1325
-rect 35 737 69 833
-rect 121 1309 155 1379
-rect 121 817 155 833
-rect 279 1309 347 1325
-rect 279 817 347 833
-rect 471 1309 505 1379
-rect 471 817 505 833
-rect 629 1309 697 1325
-rect 629 817 697 833
-rect 821 1309 855 1379
-rect 821 817 855 833
-rect 907 1309 941 1325
-rect 296 737 330 817
-rect 646 737 680 817
-rect 907 737 941 833
-rect 1011 1309 1045 1379
-rect 1011 817 1045 833
-rect 1097 1309 1131 1325
-rect 1097 737 1131 833
-rect 1201 1309 1235 1325
-rect 40 623 56 657
-rect 90 623 112 657
-rect 248 652 282 668
-rect 455 619 471 653
-rect 505 619 521 653
-rect 694 652 728 668
-rect 1201 657 1235 765
-rect 1287 1309 1321 1379
-rect 1287 749 1321 765
-rect 1373 1309 1407 1325
-rect 1373 737 1407 765
-rect 248 577 282 618
-rect 877 623 893 657
-rect 927 623 982 657
-rect 1201 623 1291 657
-rect 1325 623 1342 657
-rect 1376 623 1392 657
-rect 694 577 728 618
-rect 893 577 927 623
-rect 34 543 378 577
-rect 412 543 1407 577
-rect 136 463 152 497
-rect 186 463 205 497
-rect 598 417 632 543
-rect 774 463 790 497
-rect 824 463 1097 497
-rect 1131 463 1232 497
-rect 1266 463 1282 497
-rect 327 383 344 417
-rect 455 383 471 417
-rect 505 383 521 417
-rect 678 383 694 417
-rect 728 383 907 417
-rect 1011 383 1042 417
-rect 1076 383 1092 417
-rect 471 337 505 383
-rect 598 367 632 383
-rect 1011 337 1045 383
-rect 69 303 505 337
-rect 663 303 1045 337
-rect 35 267 69 303
-rect 663 283 697 303
-rect 629 267 697 283
-rect 1097 267 1131 283
-rect 296 215 330 223
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 347 215
-rect 279 115 347 131
-rect 471 199 505 215
-rect 471 61 505 131
-rect 629 115 697 131
-rect 821 199 855 215
-rect 821 61 855 131
-rect 907 199 941 223
-rect 907 115 941 131
-rect 1011 199 1045 215
-rect 1011 61 1045 131
-rect 1097 115 1131 131
-rect 1201 267 1235 383
-rect 1201 115 1235 131
-rect 1287 267 1321 283
-rect 1287 61 1321 131
-rect 1373 267 1407 383
-rect 1373 115 1407 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 646 703 680 737
-rect 907 703 941 737
-rect 1097 703 1131 737
-rect 112 623 146 657
-rect 471 619 505 653
-rect 1373 703 1407 737
-rect 982 623 1016 657
-rect 1291 623 1325 657
-rect 378 543 412 577
-rect 205 463 239 497
-rect 1097 463 1131 497
-rect 378 383 412 417
-rect 471 383 505 417
-rect 907 383 941 417
-rect 1201 383 1235 417
-rect 35 303 69 337
-rect 296 223 330 257
-rect 646 223 680 257
-rect 907 223 941 257
-rect 1097 223 1131 257
-rect 1373 383 1407 417
-<< metal1 >>
-rect 0 1379 1452 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 284 737 342 743
-rect 284 703 296 737
-rect 330 703 342 737
-rect 284 697 342 703
-rect 634 737 692 743
-rect 634 703 646 737
-rect 680 703 692 737
-rect 634 697 692 703
-rect 895 737 953 743
-rect 895 703 907 737
-rect 941 703 953 737
-rect 895 697 953 703
-rect 1085 737 1143 743
-rect 1085 703 1097 737
-rect 1131 703 1143 737
-rect 1085 697 1143 703
-rect 1361 737 1419 743
-rect 1361 703 1373 737
-rect 1407 703 1419 737
-rect 1361 697 1419 703
-rect 35 343 69 697
-rect 100 657 158 663
-rect 296 657 330 697
-rect 100 623 112 657
-rect 146 623 330 657
-rect 100 617 158 623
-rect 193 497 266 503
-rect 193 463 205 497
-rect 239 463 266 497
-rect 193 457 266 463
-rect 23 337 81 343
-rect 23 303 35 337
-rect 69 303 81 337
-rect 23 297 81 303
-rect 296 263 330 623
-rect 459 653 517 659
-rect 459 619 471 653
-rect 505 619 517 653
-rect 459 613 517 619
-rect 366 577 424 583
-rect 366 543 378 577
-rect 412 543 424 577
-rect 366 537 424 543
-rect 378 423 412 537
-rect 471 423 505 613
-rect 366 417 424 423
-rect 366 383 378 417
-rect 412 383 424 417
-rect 366 377 424 383
-rect 459 417 517 423
-rect 459 383 471 417
-rect 505 383 517 417
-rect 459 377 517 383
-rect 646 263 680 697
-rect 907 423 941 697
-rect 969 657 1043 667
-rect 969 623 982 657
-rect 1016 623 1043 657
-rect 969 614 1043 623
-rect 1097 503 1131 697
-rect 1279 657 1337 663
-rect 1279 623 1291 657
-rect 1325 623 1337 657
-rect 1279 617 1337 623
-rect 1085 497 1143 503
-rect 1085 463 1097 497
-rect 1131 463 1143 497
-rect 1085 457 1143 463
-rect 895 417 953 423
-rect 895 383 907 417
-rect 941 383 953 417
-rect 895 377 953 383
-rect 907 263 941 377
-rect 1097 263 1131 457
-rect 1189 417 1247 423
-rect 1189 383 1201 417
-rect 1235 413 1247 417
-rect 1291 413 1325 617
-rect 1373 423 1407 697
-rect 1235 383 1325 413
-rect 1361 417 1419 423
-rect 1361 383 1373 417
-rect 1407 383 1419 417
-rect 1189 377 1247 383
-rect 1361 377 1419 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 634 257 692 263
-rect 634 223 646 257
-rect 680 223 692 257
-rect 634 217 692 223
-rect 895 257 953 263
-rect 895 223 907 257
-rect 941 223 953 257
-rect 895 217 953 223
-rect 1085 257 1143 263
-rect 1085 223 1097 257
-rect 1131 223 1143 257
-rect 1085 217 1143 223
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 1397 68 1397 1 vdd
-rlabel metal1 68 1397 68 1397 1 vdd
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 1309 639 1309 639 1 QN
-port 1 n
-rlabel metal1 1379 495 1379 495 1 Q
-port 2 n
-rlabel locali 205 463 239 497 1 D
-port 3 n
-rlabel locali 982 623 1016 657 1 CK
-port 4 n
-<< end >>
diff --git a/cells/DFFX1/definition.json b/cells/DFFX1/definition.json
deleted file mode 100644
index d0624db..0000000
--- a/cells/DFFX1/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "D flip-flop, positive edge triggered.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFX1",
- "library": "sky130_osu_sc",
- "name": "DFFX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFX1"
-}
diff --git a/cells/DFFXL/DFFXL.gds b/cells/DFFXL/DFFXL.gds
deleted file mode 100644
index 101f56a..0000000
--- a/cells/DFFXL/DFFXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DFFXL/DFFXL.mag b/cells/DFFXL/DFFXL.mag
deleted file mode 100644
index 048fcd9..0000000
--- a/cells/DFFXL/DFFXL.mag
+++ /dev/null
@@ -1,629 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 2712 2709
-<< psdm >>
-rect 0 0 1452 86
-<< nsdm >>
-rect 0 1354 1452 1440
-<< npc >>
-rect 31 363 1397 677
-<< nwell >>
-rect -9 689 1452 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-rect 588 115 618 315
-rect 708 115 738 315
-rect 780 115 810 315
-rect 866 115 896 315
-rect 1056 115 1086 315
-rect 1246 115 1276 243
-rect 1332 115 1362 243
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-rect 588 725 618 1325
-rect 708 725 738 1325
-rect 780 725 810 1325
-rect 866 725 896 1325
-rect 1056 725 1086 1325
-rect 1246 995 1276 1325
-rect 1332 995 1362 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 199 358 315
-rect 268 131 279 199
-rect 347 131 358 199
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 199 516 315
-rect 460 131 471 199
-rect 505 131 516 199
-rect 460 115 516 131
-rect 546 115 588 315
-rect 618 267 708 315
-rect 618 131 629 267
-rect 697 131 708 267
-rect 618 115 708 131
-rect 738 115 780 315
-rect 810 199 866 315
-rect 810 131 821 199
-rect 855 131 866 199
-rect 810 115 866 131
-rect 896 199 949 315
-rect 896 131 907 199
-rect 941 131 949 199
-rect 896 115 949 131
-rect 1003 199 1056 315
-rect 1003 131 1011 199
-rect 1045 131 1056 199
-rect 1003 115 1056 131
-rect 1086 267 1139 315
-rect 1086 131 1097 267
-rect 1131 131 1139 267
-rect 1086 115 1139 131
-rect 1193 199 1246 243
-rect 1193 131 1201 199
-rect 1235 131 1246 199
-rect 1193 115 1246 131
-rect 1276 199 1332 243
-rect 1276 131 1287 199
-rect 1321 131 1332 199
-rect 1276 115 1332 131
-rect 1362 199 1415 243
-rect 1362 131 1373 199
-rect 1407 131 1415 199
-rect 1362 115 1415 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 833 35 1309
-rect 69 833 80 1309
-rect 27 725 80 833
-rect 110 1309 166 1325
-rect 110 833 121 1309
-rect 155 833 166 1309
-rect 110 725 166 833
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 833 279 1309
-rect 347 833 358 1309
-rect 268 725 358 833
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 833 471 1309
-rect 505 833 516 1309
-rect 460 725 516 833
-rect 546 725 588 1325
-rect 618 1309 708 1325
-rect 618 833 629 1309
-rect 697 833 708 1309
-rect 618 725 708 833
-rect 738 725 780 1325
-rect 810 1309 866 1325
-rect 810 833 821 1309
-rect 855 833 866 1309
-rect 810 725 866 833
-rect 896 1309 949 1325
-rect 896 833 907 1309
-rect 941 833 949 1309
-rect 896 725 949 833
-rect 1003 1309 1056 1325
-rect 1003 833 1011 1309
-rect 1045 833 1056 1309
-rect 1003 725 1056 833
-rect 1086 1309 1139 1325
-rect 1086 833 1097 1309
-rect 1131 833 1139 1309
-rect 1193 1309 1246 1325
-rect 1193 1037 1201 1309
-rect 1235 1037 1246 1309
-rect 1193 995 1246 1037
-rect 1276 1309 1332 1325
-rect 1276 1037 1287 1309
-rect 1321 1037 1332 1309
-rect 1276 995 1332 1037
-rect 1362 1309 1415 1325
-rect 1362 1037 1373 1309
-rect 1407 1037 1415 1309
-rect 1362 995 1415 1037
-rect 1086 725 1139 833
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 279 131 347 199
-rect 471 131 505 199
-rect 629 131 697 267
-rect 821 131 855 199
-rect 907 131 941 199
-rect 1011 131 1045 199
-rect 1097 131 1131 267
-rect 1201 131 1235 199
-rect 1287 131 1321 199
-rect 1373 131 1407 199
-<< pdiffc >>
-rect 35 833 69 1309
-rect 121 833 155 1309
-rect 279 833 347 1309
-rect 471 833 505 1309
-rect 629 833 697 1309
-rect 821 833 855 1309
-rect 907 833 941 1309
-rect 1011 833 1045 1309
-rect 1097 833 1131 1309
-rect 1201 1037 1235 1309
-rect 1287 1037 1321 1309
-rect 1373 1037 1407 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-rect 979 1379 1003 1413
-rect 1037 1379 1061 1413
-rect 1115 1379 1139 1413
-rect 1173 1379 1197 1413
-rect 1251 1379 1275 1413
-rect 1309 1379 1333 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-rect 1003 1379 1037 1413
-rect 1139 1379 1173 1413
-rect 1275 1379 1309 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 588 1325 618 1351
-rect 708 1325 738 1351
-rect 780 1325 810 1351
-rect 866 1325 896 1351
-rect 1056 1325 1086 1351
-rect 1246 1325 1276 1351
-rect 1332 1325 1362 1351
-rect 80 693 110 725
-rect 69 673 110 693
-rect 46 663 110 673
-rect 46 657 100 663
-rect 46 623 56 657
-rect 90 623 100 657
-rect 46 607 100 623
-rect 70 383 100 607
-rect 166 513 196 725
-rect 238 668 268 725
-rect 238 652 292 668
-rect 238 618 248 652
-rect 282 618 292 652
-rect 238 602 292 618
-rect 142 497 196 513
-rect 358 508 388 725
-rect 430 663 460 725
-rect 516 663 546 725
-rect 430 653 546 663
-rect 430 619 471 653
-rect 505 619 546 653
-rect 430 609 546 619
-rect 588 508 618 725
-rect 708 668 738 725
-rect 684 652 738 668
-rect 684 618 694 652
-rect 728 618 738 652
-rect 684 602 738 618
-rect 780 513 810 725
-rect 866 673 896 725
-rect 866 657 937 673
-rect 866 643 893 657
-rect 877 623 893 643
-rect 927 623 937 657
-rect 877 607 937 623
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 70 353 110 383
-rect 80 315 110 353
-rect 166 315 196 447
-rect 238 478 714 508
-rect 238 315 268 478
-rect 684 433 714 478
-rect 780 497 834 513
-rect 780 463 790 497
-rect 824 463 834 497
-rect 780 447 834 463
-rect 334 417 388 433
-rect 334 383 344 417
-rect 378 383 388 417
-rect 334 367 388 383
-rect 358 315 388 367
-rect 430 417 546 427
-rect 430 383 471 417
-rect 505 383 546 417
-rect 430 373 546 383
-rect 430 315 460 373
-rect 516 315 546 373
-rect 588 417 642 433
-rect 588 383 598 417
-rect 632 383 642 417
-rect 588 367 642 383
-rect 684 417 738 433
-rect 684 383 694 417
-rect 728 383 738 417
-rect 684 367 738 383
-rect 588 315 618 367
-rect 708 315 738 367
-rect 780 315 810 447
-rect 877 397 907 607
-rect 1056 433 1086 725
-rect 1246 513 1276 995
-rect 1222 497 1276 513
-rect 1222 463 1232 497
-rect 1266 463 1276 497
-rect 1222 447 1276 463
-rect 866 367 907 397
-rect 1032 417 1086 433
-rect 1032 383 1042 417
-rect 1076 383 1086 417
-rect 1032 367 1086 383
-rect 866 315 896 367
-rect 1056 315 1086 367
-rect 1246 243 1276 447
-rect 1332 673 1362 995
-rect 1332 657 1386 673
-rect 1332 623 1342 657
-rect 1376 623 1386 657
-rect 1332 607 1386 623
-rect 1332 243 1362 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-rect 588 89 618 115
-rect 708 89 738 115
-rect 780 89 810 115
-rect 866 89 896 115
-rect 1056 89 1086 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-<< polycont >>
-rect 56 623 90 657
-rect 248 618 282 652
-rect 471 619 505 653
-rect 694 618 728 652
-rect 893 623 927 657
-rect 152 463 186 497
-rect 790 463 824 497
-rect 344 383 378 417
-rect 471 383 505 417
-rect 598 383 632 417
-rect 694 383 728 417
-rect 1232 463 1266 497
-rect 1042 383 1076 417
-rect 1342 623 1376 657
-<< locali >>
-rect 0 1413 1452 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 1003 1413
-rect 1037 1379 1139 1413
-rect 1173 1379 1275 1413
-rect 1309 1379 1452 1413
-rect 35 1309 69 1325
-rect 35 737 69 833
-rect 121 1309 155 1379
-rect 121 817 155 833
-rect 279 1309 347 1325
-rect 279 817 347 833
-rect 471 1309 505 1379
-rect 471 817 505 833
-rect 629 1309 697 1325
-rect 629 817 697 833
-rect 821 1309 855 1379
-rect 821 817 855 833
-rect 907 1309 941 1325
-rect 296 737 330 817
-rect 646 737 680 817
-rect 907 737 941 833
-rect 1011 1309 1045 1379
-rect 1011 817 1045 833
-rect 1097 1309 1131 1325
-rect 1097 737 1131 833
-rect 1201 1309 1235 1325
-rect 40 623 56 657
-rect 90 623 112 657
-rect 248 652 282 668
-rect 455 619 471 653
-rect 505 619 521 653
-rect 694 652 728 668
-rect 1201 657 1235 1037
-rect 1287 1309 1321 1379
-rect 1287 1021 1321 1037
-rect 1373 1309 1407 1325
-rect 1373 737 1407 1037
-rect 248 577 282 618
-rect 877 623 893 657
-rect 927 623 988 657
-rect 1201 623 1291 657
-rect 1325 623 1342 657
-rect 1376 623 1392 657
-rect 694 577 728 618
-rect 893 577 927 623
-rect 34 543 378 577
-rect 412 543 1407 577
-rect 136 463 152 497
-rect 186 463 205 497
-rect 598 417 632 543
-rect 774 463 790 497
-rect 824 463 1097 497
-rect 1131 463 1232 497
-rect 1266 463 1282 497
-rect 327 383 344 417
-rect 455 383 471 417
-rect 505 383 521 417
-rect 678 383 694 417
-rect 728 383 907 417
-rect 1011 383 1042 417
-rect 1076 383 1092 417
-rect 471 337 505 383
-rect 598 367 632 383
-rect 1011 337 1045 383
-rect 69 303 505 337
-rect 663 303 1045 337
-rect 35 267 69 303
-rect 663 283 697 303
-rect 629 267 697 283
-rect 1097 267 1131 283
-rect 296 215 330 223
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 347 215
-rect 279 115 347 131
-rect 471 199 505 215
-rect 471 61 505 131
-rect 629 115 697 131
-rect 821 199 855 215
-rect 821 61 855 131
-rect 907 199 941 223
-rect 907 115 941 131
-rect 1011 199 1045 215
-rect 1011 61 1045 131
-rect 1097 115 1131 131
-rect 1201 199 1235 383
-rect 1201 115 1235 131
-rect 1287 199 1321 215
-rect 1287 61 1321 131
-rect 1373 199 1407 383
-rect 1373 115 1407 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 646 703 680 737
-rect 907 703 941 737
-rect 1097 703 1131 737
-rect 112 623 146 657
-rect 471 619 505 653
-rect 1373 703 1407 737
-rect 988 623 1022 657
-rect 1291 623 1325 657
-rect 378 543 412 577
-rect 205 463 239 497
-rect 1097 463 1131 497
-rect 378 383 412 417
-rect 471 383 505 417
-rect 907 383 941 417
-rect 1201 383 1235 417
-rect 35 303 69 337
-rect 296 223 330 257
-rect 646 223 680 257
-rect 907 223 941 257
-rect 1097 223 1131 257
-rect 1373 383 1407 417
-<< metal1 >>
-rect 0 1379 1452 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 284 737 342 743
-rect 284 703 296 737
-rect 330 703 342 737
-rect 284 697 342 703
-rect 634 737 692 743
-rect 634 703 646 737
-rect 680 703 692 737
-rect 634 697 692 703
-rect 895 737 953 743
-rect 895 703 907 737
-rect 941 703 953 737
-rect 895 697 953 703
-rect 1085 737 1143 743
-rect 1085 703 1097 737
-rect 1131 703 1143 737
-rect 1085 697 1143 703
-rect 1361 737 1419 743
-rect 1361 703 1373 737
-rect 1407 703 1419 737
-rect 1361 697 1419 703
-rect 35 343 69 697
-rect 100 657 158 663
-rect 296 657 330 697
-rect 100 623 112 657
-rect 146 623 330 657
-rect 100 617 158 623
-rect 193 497 266 503
-rect 193 463 205 497
-rect 239 463 266 497
-rect 193 457 266 463
-rect 23 337 81 343
-rect 23 303 35 337
-rect 69 303 81 337
-rect 23 297 81 303
-rect 296 263 330 623
-rect 459 653 517 659
-rect 459 619 471 653
-rect 505 619 517 653
-rect 459 613 517 619
-rect 366 577 424 583
-rect 366 543 378 577
-rect 412 543 424 577
-rect 366 537 424 543
-rect 378 423 412 537
-rect 471 423 505 613
-rect 366 417 424 423
-rect 366 383 378 417
-rect 412 383 424 417
-rect 366 377 424 383
-rect 459 417 517 423
-rect 459 383 471 417
-rect 505 383 517 417
-rect 459 377 517 383
-rect 646 263 680 697
-rect 907 423 941 697
-rect 978 657 1036 669
-rect 978 623 988 657
-rect 1022 623 1036 657
-rect 978 611 1036 623
-rect 1097 503 1131 697
-rect 1279 657 1337 663
-rect 1279 623 1291 657
-rect 1325 623 1337 657
-rect 1279 617 1337 623
-rect 1085 497 1143 503
-rect 1085 463 1097 497
-rect 1131 463 1143 497
-rect 1085 457 1143 463
-rect 895 417 953 423
-rect 895 383 907 417
-rect 941 383 953 417
-rect 895 377 953 383
-rect 907 263 941 377
-rect 1097 263 1131 457
-rect 1189 417 1247 423
-rect 1189 383 1201 417
-rect 1235 413 1247 417
-rect 1291 413 1325 617
-rect 1373 423 1407 697
-rect 1235 383 1325 413
-rect 1361 417 1419 423
-rect 1361 383 1373 417
-rect 1407 383 1419 417
-rect 1189 377 1247 383
-rect 1361 377 1419 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 634 257 692 263
-rect 634 223 646 257
-rect 680 223 692 257
-rect 634 217 692 223
-rect 895 257 953 263
-rect 895 223 907 257
-rect 941 223 953 257
-rect 895 217 953 223
-rect 1085 257 1143 263
-rect 1085 223 1097 257
-rect 1131 223 1143 257
-rect 1085 217 1143 223
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 1397 68 1397 1 vdd
-rlabel metal1 68 1397 68 1397 1 vdd
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 1309 639 1309 639 1 QN
-port 1 n
-rlabel metal1 1379 495 1379 495 1 Q
-port 2 n
-rlabel locali 205 463 239 497 1 D
-port 3 n
-rlabel locali 988 623 1022 657 1 CK
-port 4 n
-<< end >>
diff --git a/cells/DFFXL/definition.json b/cells/DFFXL/definition.json
deleted file mode 100644
index 99bd0a3..0000000
--- a/cells/DFFXL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "D flip-flop, positive edge triggered.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__DFFXL",
- "library": "sky130_osu_sc",
- "name": "DFFXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "CK",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Q",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "QN",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DFFXL"
-}
diff --git a/cells/DLY1/DLY1.gds b/cells/DLY1/DLY1.gds
deleted file mode 100644
index 783f7f9..0000000
--- a/cells/DLY1/DLY1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DLY1/DLY1.mag b/cells/DLY1/DLY1.mag
deleted file mode 100644
index 8642099..0000000
--- a/cells/DLY1/DLY1.mag
+++ /dev/null
@@ -1,183 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906012
-<< checkpaint >>
-rect -1304 -1260 1635 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 141 523
-<< nwell >>
-rect -9 689 375 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 335 1325
-rect 282 765 293 1309
-rect 327 765 335 1309
-rect 282 725 335 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 166 657 282 673
-rect 166 623 176 657
-rect 210 643 282 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 166 413 282 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 207 703 241 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 253 737
-rect 195 697 253 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 195 383 207 417
-rect 241 383 253 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 221 555 221 555 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/DLY1/definition.json b/cells/DLY1/definition.json
deleted file mode 100644
index e3e3c3f..0000000
--- a/cells/DLY1/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Delay cell.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__DLY1",
- "library": "sky130_osu_sc",
- "name": "DLY1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DLY1"
-}
diff --git a/cells/DLY2/DLY2.gds b/cells/DLY2/DLY2.gds
deleted file mode 100644
index 0e76976..0000000
--- a/cells/DLY2/DLY2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DLY2/DLY2.mag b/cells/DLY2/DLY2.mag
deleted file mode 100644
index 037348b..0000000
--- a/cells/DLY2/DLY2.mag
+++ /dev/null
@@ -1,240 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906013
-<< checkpaint >>
-rect -1304 -1260 1812 2709
-<< psdm >>
-rect 0 0 550 86
-<< nsdm >>
-rect 0 1354 550 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 141 523
-<< nwell >>
-rect -9 689 552 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 507 315
-rect 454 131 465 267
-rect 499 131 507 267
-rect 454 115 507 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 507 1325
-rect 454 765 465 1309
-rect 499 765 507 1309
-rect 454 725 507 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 166 657 454 673
-rect 166 623 176 657
-rect 210 643 454 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 166 413 454 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 550 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 550 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-<< metal1 >>
-rect 0 1379 550 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 425 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 425 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 0 0 550 61
-<< labels >>
-rlabel metal1 33 543 67 577 1 A
-port 1 n
-rlabel metal1 395 578 395 578 1 Y
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-<< end >>
diff --git a/cells/DLY2/definition.json b/cells/DLY2/definition.json
deleted file mode 100644
index 6819d04..0000000
--- a/cells/DLY2/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Delay cell.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__DLY2",
- "library": "sky130_osu_sc",
- "name": "DLY2",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DLY2"
-}
diff --git a/cells/DLY3/DLY3.gds b/cells/DLY3/DLY3.gds
deleted file mode 100644
index 4ffca18..0000000
--- a/cells/DLY3/DLY3.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DLY3/DLY3.mag b/cells/DLY3/DLY3.mag
deleted file mode 100644
index 79c3724..0000000
--- a/cells/DLY3/DLY3.mag
+++ /dev/null
@@ -1,299 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906013
-<< checkpaint >>
-rect -1304 -1260 1988 2709
-<< psdm >>
-rect 0 0 726 86
-<< nsdm >>
-rect 0 1354 726 1440
-<< npc >>
-rect 46 523 230 677
-<< nwell >>
-rect -9 689 728 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 679 315
-rect 626 131 637 267
-rect 671 131 679 267
-rect 626 115 679 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 679 1325
-rect 626 765 637 1309
-rect 671 765 679 1309
-rect 626 725 679 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 510 673 540 725
-rect 596 673 626 725
-rect 166 657 626 673
-rect 166 623 176 657
-rect 210 643 626 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 510 443 540 643
-rect 166 413 626 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 510 315 540 413
-rect 596 315 626 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 726 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 726 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 551 1309 585 1325
-rect 551 737 585 765
-rect 637 1309 671 1379
-rect 637 749 671 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 383
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 726 61
-rect 0 0 726 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 551 703 585 737
-rect 125 623 159 657
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-rect 551 383 585 417
-<< metal1 >>
-rect 0 1379 726 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 537 737 597 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 551 737
-rect 585 703 597 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 537 697 597 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 551 423 585 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 539 417 597 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 551 417
-rect 585 383 597 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 539 377 597 383
-rect 0 0 726 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 565 578 565 578 1 Y
-port 1 n
-rlabel locali 83 560 83 560 1 A
-port 2 n
-<< end >>
diff --git a/cells/DLY3/definition.json b/cells/DLY3/definition.json
deleted file mode 100644
index bd209fe..0000000
--- a/cells/DLY3/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Delay cell.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__DLY3",
- "library": "sky130_osu_sc",
- "name": "DLY3",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DLY3"
-}
diff --git a/cells/DLY4/DLY4.gds b/cells/DLY4/DLY4.gds
deleted file mode 100644
index 8b69527..0000000
--- a/cells/DLY4/DLY4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/DLY4/DLY4.mag b/cells/DLY4/DLY4.mag
deleted file mode 100644
index 26ed4d4..0000000
--- a/cells/DLY4/DLY4.mag
+++ /dev/null
@@ -1,363 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906013
-<< checkpaint >>
-rect -1304 -1260 2164 2709
-<< psdm >>
-rect 0 0 902 86
-<< nsdm >>
-rect 0 1354 902 1440
-<< npc >>
-rect 46 608 230 677
-rect 45 523 230 608
-rect 45 522 125 523
-<< nwell >>
-rect -9 689 904 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 690 115 720 315
-rect 776 115 806 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 690 725 720 1325
-rect 776 725 806 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 690 315
-rect 626 131 637 267
-rect 671 131 690 267
-rect 626 115 690 131
-rect 720 267 776 315
-rect 720 131 731 267
-rect 765 131 776 267
-rect 720 115 776 131
-rect 806 267 859 315
-rect 806 131 817 267
-rect 851 131 859 267
-rect 806 115 859 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 690 1325
-rect 626 765 637 1309
-rect 671 765 690 1309
-rect 626 725 690 765
-rect 720 1309 776 1325
-rect 720 765 731 1309
-rect 765 765 776 1309
-rect 720 725 776 765
-rect 806 1309 859 1325
-rect 806 765 817 1309
-rect 851 765 859 1309
-rect 806 725 859 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 731 131 765 267
-rect 817 131 851 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 731 765 765 1309
-rect 817 765 851 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 690 1325 720 1351
-rect 776 1325 806 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 315 110 527
-rect 166 673 196 725
-rect 252 673 282 725
-rect 338 673 368 725
-rect 424 673 454 725
-rect 510 673 540 725
-rect 596 673 626 725
-rect 690 673 720 725
-rect 776 673 806 725
-rect 166 657 806 673
-rect 166 623 176 657
-rect 210 643 806 657
-rect 210 623 220 643
-rect 166 607 220 623
-rect 166 443 196 607
-rect 510 443 540 643
-rect 166 413 806 443
-rect 166 315 196 413
-rect 252 315 282 413
-rect 338 315 368 413
-rect 424 315 454 413
-rect 510 315 540 413
-rect 596 315 626 413
-rect 690 315 720 413
-rect 776 315 806 413
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 690 89 720 115
-rect 776 89 806 115
-<< polycont >>
-rect 66 543 100 577
-rect 176 623 210 657
-<< locali >>
-rect 0 1413 902 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 902 1413
-rect 35 1309 69 1325
-rect 35 657 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 207 1309 241 1325
-rect 207 737 241 765
-rect 293 1309 327 1379
-rect 293 749 327 765
-rect 379 1309 413 1325
-rect 379 737 413 765
-rect 465 1309 499 1379
-rect 465 749 499 765
-rect 551 1309 585 1325
-rect 551 737 585 765
-rect 637 1309 671 1379
-rect 637 749 671 765
-rect 731 1309 765 1325
-rect 731 737 765 765
-rect 817 1309 851 1379
-rect 817 749 851 765
-rect 35 623 125 657
-rect 159 623 176 657
-rect 210 623 226 657
-rect 100 543 116 577
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 383
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 383
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 383
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 731 267 765 383
-rect 731 115 765 131
-rect 817 267 851 283
-rect 817 61 851 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
-<< viali >>
-rect 207 703 241 737
-rect 379 703 413 737
-rect 551 703 585 737
-rect 731 703 765 737
-rect 125 623 159 657
-rect 33 543 66 577
-rect 66 543 67 577
-rect 35 383 69 417
-rect 207 383 241 417
-rect 379 383 413 417
-rect 551 383 585 417
-rect 731 383 765 417
-<< metal1 >>
-rect 0 1379 902 1440
-rect 195 737 253 743
-rect 365 737 425 743
-rect 537 737 597 743
-rect 717 737 777 743
-rect 195 703 207 737
-rect 241 703 379 737
-rect 413 703 551 737
-rect 585 703 731 737
-rect 765 703 777 737
-rect 195 697 253 703
-rect 365 697 425 703
-rect 537 697 597 703
-rect 717 697 777 703
-rect 113 657 171 663
-rect 113 623 125 657
-rect 159 623 171 657
-rect 113 617 171 623
-rect 23 577 77 597
-rect 23 543 33 577
-rect 67 543 77 577
-rect 23 527 77 543
-rect 23 417 81 423
-rect 23 383 35 417
-rect 69 413 81 417
-rect 125 413 159 617
-rect 207 423 241 697
-rect 379 423 413 697
-rect 551 423 585 697
-rect 731 423 765 697
-rect 69 383 159 413
-rect 195 417 253 423
-rect 367 417 425 423
-rect 539 417 597 423
-rect 719 417 777 423
-rect 195 383 207 417
-rect 241 383 379 417
-rect 413 383 551 417
-rect 585 383 731 417
-rect 765 383 777 417
-rect 23 377 81 383
-rect 195 377 253 383
-rect 367 377 425 383
-rect 539 377 597 383
-rect 719 377 777 383
-rect 0 0 902 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 746 582 746 582 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-<< end >>
diff --git a/cells/DLY4/definition.json b/cells/DLY4/definition.json
deleted file mode 100644
index ab583dc..0000000
--- a/cells/DLY4/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Delay cell.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__DLY4",
- "library": "sky130_osu_sc",
- "name": "DLY4",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__DLY4"
-}
diff --git a/cells/FILLX1/FILLX1.gds b/cells/FILLX1/FILLX1.gds
deleted file mode 100644
index 77b0b60..0000000
--- a/cells/FILLX1/FILLX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX1/FILLX1.mag b/cells/FILLX1/FILLX1.mag
deleted file mode 100644
index 4e01560..0000000
--- a/cells/FILLX1/FILLX1.mag
+++ /dev/null
@@ -1,29 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591907041
-<< error_p >>
-rect 41 1440 154 1449
-rect 0 1379 154 1440
-rect 22 1354 154 1379
-rect 41 689 154 1354
-rect 22 61 76 86
-rect 0 0 76 61
-<< psdm >>
-rect 0 0 22 86
-<< nsdm >>
-rect 0 1354 22 1440
-<< nwell >>
-rect -14 689 41 1449
-<< locali >>
-rect 0 1379 22 1440
-rect 0 0 22 61
-<< metal1 >>
-rect 0 1379 22 1440
-rect 0 0 22 61
-<< labels >>
-rlabel locali 11 1407 11 1407 1 vdd
-rlabel metal1 11 1407 11 1407 1 vdd
-rlabel locali 11 28 11 28 1 gnd
-rlabel metal1 11 28 11 28 1 gnd
-<< end >>
diff --git a/cells/FILLX1/definition.json b/cells/FILLX1/definition.json
deleted file mode 100644
index 521da92..0000000
--- a/cells/FILLX1/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX1",
- "library": "sky130_osu_sc",
- "name": "FILLX1",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX1"
-}
diff --git a/cells/FILLX16/FILLX16.gds b/cells/FILLX16/FILLX16.gds
deleted file mode 100644
index 0d6b627..0000000
--- a/cells/FILLX16/FILLX16.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX16/FILLX16.mag b/cells/FILLX16/FILLX16.mag
deleted file mode 100644
index 924d5d4..0000000
--- a/cells/FILLX16/FILLX16.mag
+++ /dev/null
@@ -1,24 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906013
-<< checkpaint >>
-rect -1304 -1260 1615 2709
-<< psdm >>
-rect 0 0 352 86
-<< nsdm >>
-rect 0 1354 352 1440
-<< nwell >>
-rect -9 689 355 1449
-<< locali >>
-rect 0 1379 352 1440
-rect 0 0 352 61
-<< metal1 >>
-rect 0 1379 352 1440
-rect 0 0 352 61
-<< labels >>
-rlabel locali 199 1408 199 1408 1 vdd
-rlabel metal1 199 1408 199 1408 1 vdd
-rlabel locali 196 30 196 30 1 gnd
-rlabel metal1 196 30 196 30 1 gnd
-<< end >>
diff --git a/cells/FILLX16/definition.json b/cells/FILLX16/definition.json
deleted file mode 100644
index 7e66a83..0000000
--- a/cells/FILLX16/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX16",
- "library": "sky130_osu_sc",
- "name": "FILLX16",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX16"
-}
diff --git a/cells/FILLX2/FILLX2.gds b/cells/FILLX2/FILLX2.gds
deleted file mode 100644
index 4d1d702..0000000
--- a/cells/FILLX2/FILLX2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX2/FILLX2.mag b/cells/FILLX2/FILLX2.mag
deleted file mode 100644
index 0620dec..0000000
--- a/cells/FILLX2/FILLX2.mag
+++ /dev/null
@@ -1,29 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591907054
-<< error_p >>
-rect 50 1440 161 1449
-rect 0 1379 161 1440
-rect 44 1354 161 1379
-rect 50 689 161 1354
-rect 44 61 76 86
-rect 0 0 76 61
-<< psdm >>
-rect 0 0 44 86
-<< nsdm >>
-rect 0 1354 44 1440
-<< nwell >>
-rect -7 689 50 1449
-<< locali >>
-rect 0 1379 44 1440
-rect 0 0 44 61
-<< metal1 >>
-rect 0 1379 44 1440
-rect 0 0 44 61
-<< labels >>
-rlabel locali 23 28 23 28 1 gnd
-rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 1408 22 1408 1 vdd
-rlabel metal1 22 1408 22 1408 1 vdd
-<< end >>
diff --git a/cells/FILLX2/definition.json b/cells/FILLX2/definition.json
deleted file mode 100644
index 0d542f6..0000000
--- a/cells/FILLX2/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX2",
- "library": "sky130_osu_sc",
- "name": "FILLX2",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX2"
-}
diff --git a/cells/FILLX32/FILLX32.gds b/cells/FILLX32/FILLX32.gds
deleted file mode 100644
index 8b701b4..0000000
--- a/cells/FILLX32/FILLX32.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX32/FILLX32.mag b/cells/FILLX32/FILLX32.mag
deleted file mode 100644
index bdf266b..0000000
--- a/cells/FILLX32/FILLX32.mag
+++ /dev/null
@@ -1,24 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906013
-<< checkpaint >>
-rect -1304 -1260 1967 2709
-<< psdm >>
-rect 0 0 704 86
-<< nsdm >>
-rect 0 1354 704 1440
-<< nwell >>
-rect -9 689 707 1449
-<< locali >>
-rect 0 1379 704 1440
-rect 0 0 704 61
-<< metal1 >>
-rect 0 1379 704 1440
-rect 0 0 704 61
-<< labels >>
-rlabel locali 363 26 363 26 1 gnd
-rlabel metal1 363 26 363 26 1 gnd
-rlabel locali 374 1406 374 1406 1 vdd
-rlabel metal1 374 1406 374 1406 1 vdd
-<< end >>
diff --git a/cells/FILLX32/definition.json b/cells/FILLX32/definition.json
deleted file mode 100644
index 9bdb284..0000000
--- a/cells/FILLX32/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX32",
- "library": "sky130_osu_sc",
- "name": "FILLX32",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX32"
-}
diff --git a/cells/FILLX4/FILLX4.gds b/cells/FILLX4/FILLX4.gds
deleted file mode 100644
index d6dafb1..0000000
--- a/cells/FILLX4/FILLX4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX4/FILLX4.mag b/cells/FILLX4/FILLX4.mag
deleted file mode 100644
index 08ed274..0000000
--- a/cells/FILLX4/FILLX4.mag
+++ /dev/null
@@ -1,26 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 1356 2709
-<< error_p >>
-rect 61 689 124 1449
-<< psdm >>
-rect 0 0 88 86
-<< nsdm >>
-rect 0 1354 88 1440
-<< nwell >>
-rect -9 689 96 1449
-<< locali >>
-rect 0 1379 88 1440
-rect 0 0 88 61
-<< metal1 >>
-rect 0 1379 88 1440
-rect 0 0 88 61
-<< labels >>
-rlabel locali 72 1409 72 1409 1 vdd
-rlabel metal1 72 1409 72 1409 1 vdd
-rlabel locali 71 28 71 28 1 gnd
-rlabel metal1 71 28 71 28 1 gnd
-<< end >>
diff --git a/cells/FILLX4/definition.json b/cells/FILLX4/definition.json
deleted file mode 100644
index 6aaa9e3..0000000
--- a/cells/FILLX4/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX4",
- "library": "sky130_osu_sc",
- "name": "FILLX4",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX4"
-}
diff --git a/cells/FILLX8/FILLX8.gds b/cells/FILLX8/FILLX8.gds
deleted file mode 100644
index 59c8132..0000000
--- a/cells/FILLX8/FILLX8.gds
+++ /dev/null
Binary files differ
diff --git a/cells/FILLX8/FILLX8.mag b/cells/FILLX8/FILLX8.mag
deleted file mode 100644
index 10c99ee..0000000
--- a/cells/FILLX8/FILLX8.mag
+++ /dev/null
@@ -1,24 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 1439 2709
-<< psdm >>
-rect 0 0 176 86
-<< nsdm >>
-rect 0 1354 176 1440
-<< nwell >>
-rect -9 689 179 1449
-<< locali >>
-rect 0 1379 176 1440
-rect 0 0 176 61
-<< metal1 >>
-rect 0 1379 176 1440
-rect 0 0 176 61
-<< labels >>
-rlabel locali 112 28 112 28 1 gnd
-rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 1411 111 1411 1 vdd
-rlabel metal1 111 1411 111 1411 1 vdd
-<< end >>
diff --git a/cells/FILLX8/definition.json b/cells/FILLX8/definition.json
deleted file mode 100644
index 190f269..0000000
--- a/cells/FILLX8/definition.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "description": "Filler cell.",
- "equation": "",
- "file_prefix": "sky130_osu_sc__FILLX8",
- "library": "sky130_osu_sc",
- "name": "FILLX8",
- "parameters": [],
- "ports": [
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__FILLX8"
-}
diff --git a/cells/INVX1/INVX1.gds b/cells/INVX1/INVX1.gds
deleted file mode 100644
index f19a1de..0000000
--- a/cells/INVX1/INVX1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX1/INVX1.mag b/cells/INVX1/INVX1.mag
deleted file mode 100644
index 96b8803..0000000
--- a/cells/INVX1/INVX1.mag
+++ /dev/null
@@ -1,110 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 64 443 144 517
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 725 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 163 1325
-rect 110 765 121 1309
-rect 155 765 163 1309
-rect 110 725 163 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 513 110 725
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 463 136 497
-rect 80 447 136 463
-rect 80 315 110 447
-rect 80 89 110 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 703 155 737
-rect 53 463 87 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 198 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 122 423 156 697
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 135 576 135 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX1/definition.json b/cells/INVX1/definition.json
deleted file mode 100644
index 2010adb..0000000
--- a/cells/INVX1/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX1",
- "library": "sky130_osu_sc",
- "name": "INVX1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX1"
-}
diff --git a/cells/INVX10/INVX10.gds b/cells/INVX10/INVX10.gds
deleted file mode 100644
index 10c6dfc..0000000
--- a/cells/INVX10/INVX10.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX10/INVX10.mag b/cells/INVX10/INVX10.mag
deleted file mode 100644
index 3e0821f..0000000
--- a/cells/INVX10/INVX10.mag
+++ /dev/null
@@ -1,380 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 2250 2709
-<< psdm >>
-rect 0 0 990 86
-<< nsdm >>
-rect 0 1354 990 1440
-<< npc >>
-rect 64 461 144 534
-rect 70 460 144 461
-<< nwell >>
-rect -9 689 990 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-rect 854 115 884 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 682 725 712 1325
-rect 768 725 798 1325
-rect 854 725 884 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 854 315
-rect 798 131 809 267
-rect 843 131 854 267
-rect 798 115 854 131
-rect 884 267 937 315
-rect 884 131 895 267
-rect 929 131 937 267
-rect 884 115 937 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 682 1325
-rect 626 765 637 1309
-rect 671 765 682 1309
-rect 626 725 682 765
-rect 712 1309 768 1325
-rect 712 765 723 1309
-rect 757 765 768 1309
-rect 712 725 768 765
-rect 798 1309 854 1325
-rect 798 765 809 1309
-rect 843 765 854 1309
-rect 798 725 854 765
-rect 884 1309 937 1325
-rect 884 765 895 1309
-rect 929 765 937 1309
-rect 884 725 937 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-rect 895 131 929 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 723 765 757 1309
-rect 809 765 843 1309
-rect 895 765 929 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-rect 707 1379 731 1413
-rect 765 1379 789 1413
-rect 843 1379 867 1413
-rect 901 1379 925 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-rect 731 1379 765 1413
-rect 867 1379 901 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 682 1325 712 1351
-rect 768 1325 798 1351
-rect 854 1325 884 1351
-rect 80 710 110 725
-rect 166 710 196 725
-rect 252 710 282 725
-rect 338 710 368 725
-rect 424 710 454 725
-rect 510 710 540 725
-rect 596 710 626 725
-rect 682 710 712 725
-rect 768 710 798 725
-rect 854 710 884 725
-rect 80 680 884 710
-rect 80 530 110 680
-rect 80 514 136 530
-rect 80 480 90 514
-rect 124 494 136 514
-rect 424 494 454 680
-rect 768 494 798 680
-rect 124 480 884 494
-rect 80 464 884 480
-rect 80 315 110 464
-rect 166 315 196 464
-rect 252 315 282 464
-rect 338 315 368 464
-rect 424 315 454 464
-rect 510 315 540 464
-rect 596 315 626 464
-rect 682 315 712 464
-rect 768 315 798 464
-rect 854 315 884 464
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-rect 854 89 884 115
-<< polycont >>
-rect 90 480 124 514
-<< locali >>
-rect 0 1413 990 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 731 1413
-rect 765 1379 867 1413
-rect 901 1379 990 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 754 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 754 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 754 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 637 1309 671 1325
-rect 637 754 671 765
-rect 723 1309 757 1379
-rect 723 749 757 765
-rect 809 1309 843 1325
-rect 809 754 843 765
-rect 895 1309 929 1379
-rect 895 749 929 765
-rect 87 480 90 514
-rect 124 480 140 514
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 400
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 400
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 400
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 400
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 809 267 843 400
-rect 809 115 843 131
-rect 895 267 929 283
-rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
-<< viali >>
-rect 121 720 155 754
-rect 293 720 327 754
-rect 465 720 499 754
-rect 637 720 671 754
-rect 809 720 843 754
-rect 53 480 87 514
-rect 121 400 155 434
-rect 293 400 327 434
-rect 465 400 499 434
-rect 637 400 671 434
-rect 809 400 843 434
-<< metal1 >>
-rect 0 1379 990 1440
-rect 109 754 167 760
-rect 281 754 339 760
-rect 453 754 511 760
-rect 625 754 683 760
-rect 797 754 855 760
-rect 109 720 121 754
-rect 155 720 293 754
-rect 327 720 465 754
-rect 499 720 637 754
-rect 671 720 809 754
-rect 843 720 855 754
-rect 109 714 167 720
-rect 281 714 339 720
-rect 453 714 511 720
-rect 625 714 683 720
-rect 797 714 855 720
-rect 42 514 93 534
-rect 42 480 53 514
-rect 87 480 93 514
-rect 42 468 93 480
-rect 121 440 155 714
-rect 293 440 327 714
-rect 464 440 498 714
-rect 636 440 670 714
-rect 808 440 842 714
-rect 109 434 167 440
-rect 281 434 339 440
-rect 453 434 511 440
-rect 625 434 683 440
-rect 797 434 855 440
-rect 109 400 121 434
-rect 155 400 293 434
-rect 327 400 465 434
-rect 499 400 637 434
-rect 671 400 809 434
-rect 843 400 855 434
-rect 109 394 167 400
-rect 281 394 339 400
-rect 453 394 511 400
-rect 625 394 683 400
-rect 797 394 855 400
-rect 0 0 990 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 593 134 593 1 Y
-port 1 n
-rlabel locali 70 497 70 497 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX10/definition.json b/cells/INVX10/definition.json
deleted file mode 100644
index 341a076..0000000
--- a/cells/INVX10/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX10",
- "library": "sky130_osu_sc",
- "name": "INVX10",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX10"
-}
diff --git a/cells/INVX2/INVX2.gds b/cells/INVX2/INVX2.gds
deleted file mode 100644
index ffe6a22..0000000
--- a/cells/INVX2/INVX2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX2/INVX2.mag b/cells/INVX2/INVX2.mag
deleted file mode 100644
index 485dabe..0000000
--- a/cells/INVX2/INVX2.mag
+++ /dev/null
@@ -1,141 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 1547 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 64 443 144 517
-<< nwell >>
-rect -9 689 287 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 249 1325
-rect 196 765 207 1309
-rect 241 765 249 1309
-rect 196 725 249 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 80 663 196 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 124 463 196 477
-rect 80 447 196 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 121 703 155 737
-rect 53 463 87 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX2/definition.json b/cells/INVX2/definition.json
deleted file mode 100644
index 29ef5f1..0000000
--- a/cells/INVX2/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX2",
- "library": "sky130_osu_sc",
- "name": "INVX2",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX2"
-}
diff --git a/cells/INVX3/INVX3.gds b/cells/INVX3/INVX3.gds
deleted file mode 100644
index 80aa98a..0000000
--- a/cells/INVX3/INVX3.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX3/INVX3.mag b/cells/INVX3/INVX3.mag
deleted file mode 100644
index 71b18db..0000000
--- a/cells/INVX3/INVX3.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906014
-<< checkpaint >>
-rect -1304 -1260 1635 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 64 443 144 517
-<< nwell >>
-rect -9 689 375 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 335 1325
-rect 282 765 293 1309
-rect 327 765 335 1309
-rect 282 725 335 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 80 663 282 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 124 463 282 477
-rect 80 447 282 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 252 315 282 447
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 53 463 87 497
-rect 121 383 155 417
-rect 293 383 327 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 109 737 167 743
-rect 281 737 339 743
-rect 109 703 121 737
-rect 155 703 293 737
-rect 327 703 339 737
-rect 109 697 167 703
-rect 281 697 339 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 293 423 327 697
-rect 109 417 167 423
-rect 281 417 339 423
-rect 109 383 121 417
-rect 155 383 293 417
-rect 327 383 339 417
-rect 109 377 167 383
-rect 281 377 339 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX3/definition.json b/cells/INVX3/definition.json
deleted file mode 100644
index b0d4209..0000000
--- a/cells/INVX3/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX3",
- "library": "sky130_osu_sc",
- "name": "INVX3",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX3"
-}
diff --git a/cells/INVX4/INVX4.gds b/cells/INVX4/INVX4.gds
deleted file mode 100644
index 510aa8c..0000000
--- a/cells/INVX4/INVX4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX4/INVX4.mag b/cells/INVX4/INVX4.mag
deleted file mode 100644
index cbbae94..0000000
--- a/cells/INVX4/INVX4.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 1723 2709
-<< psdm >>
-rect 0 0 462 86
-<< nsdm >>
-rect 0 1354 462 1440
-<< npc >>
-rect 64 444 144 517
-rect 70 443 144 444
-<< nwell >>
-rect -9 689 463 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 421 1325
-rect 368 765 379 1309
-rect 413 765 421 1309
-rect 368 725 421 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 80 663 368 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 124 463 368 477
-rect 80 447 368 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 252 315 282 447
-rect 338 315 368 447
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 462 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 462 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 53 463 87 497
-rect 121 383 155 417
-rect 293 383 327 417
-<< metal1 >>
-rect 0 1379 462 1440
-rect 109 737 167 743
-rect 281 737 339 743
-rect 109 703 121 737
-rect 155 703 293 737
-rect 327 703 339 737
-rect 109 697 167 703
-rect 281 697 339 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 293 423 327 697
-rect 109 417 167 423
-rect 281 417 339 423
-rect 109 383 121 417
-rect 155 383 293 417
-rect 327 383 339 417
-rect 109 377 167 383
-rect 281 377 339 383
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX4/definition.json b/cells/INVX4/definition.json
deleted file mode 100644
index 4fd4523..0000000
--- a/cells/INVX4/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX4",
- "library": "sky130_osu_sc",
- "name": "INVX4",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX4"
-}
diff --git a/cells/INVX6/INVX6.gds b/cells/INVX6/INVX6.gds
deleted file mode 100644
index 205ee0f..0000000
--- a/cells/INVX6/INVX6.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX6/INVX6.mag b/cells/INVX6/INVX6.mag
deleted file mode 100644
index 6686a75..0000000
--- a/cells/INVX6/INVX6.mag
+++ /dev/null
@@ -1,257 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 1899 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 64 444 144 517
-rect 70 443 144 444
-<< nwell >>
-rect -9 689 639 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 593 315
-rect 540 131 551 267
-rect 585 131 593 267
-rect 540 115 593 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 593 1325
-rect 540 765 551 1309
-rect 585 765 593 1309
-rect 540 725 593 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 424 693 454 725
-rect 510 693 540 725
-rect 80 663 540 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 424 477 454 663
-rect 124 463 540 477
-rect 80 447 540 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 252 315 282 447
-rect 338 315 368 447
-rect 424 315 454 447
-rect 510 315 540 447
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 383
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 465 703 499 737
-rect 53 463 87 497
-rect 121 383 155 417
-rect 293 383 327 417
-rect 465 383 499 417
-<< metal1 >>
-rect 0 1379 638 1440
-rect 109 737 167 743
-rect 281 737 339 743
-rect 453 737 511 743
-rect 109 703 121 737
-rect 155 703 293 737
-rect 327 703 465 737
-rect 499 703 511 737
-rect 109 697 167 703
-rect 281 697 339 703
-rect 453 697 511 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 293 423 327 697
-rect 464 423 498 697
-rect 109 417 167 423
-rect 281 417 339 423
-rect 453 417 511 423
-rect 109 383 121 417
-rect 155 383 293 417
-rect 327 383 465 417
-rect 499 383 511 417
-rect 109 377 167 383
-rect 281 377 339 383
-rect 453 377 511 383
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX6/definition.json b/cells/INVX6/definition.json
deleted file mode 100644
index 4671903..0000000
--- a/cells/INVX6/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX6",
- "library": "sky130_osu_sc",
- "name": "INVX6",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX6"
-}
diff --git a/cells/INVX8/INVX8.gds b/cells/INVX8/INVX8.gds
deleted file mode 100644
index dec7b20..0000000
--- a/cells/INVX8/INVX8.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVX8/INVX8.mag b/cells/INVX8/INVX8.mag
deleted file mode 100644
index 0db25e4..0000000
--- a/cells/INVX8/INVX8.mag
+++ /dev/null
@@ -1,314 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 2074 2709
-<< psdm >>
-rect 0 0 814 86
-<< nsdm >>
-rect 0 1354 814 1440
-<< npc >>
-rect 64 444 144 517
-rect 70 443 144 444
-<< nwell >>
-rect -9 689 814 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 682 725 712 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 765 315
-rect 712 131 723 267
-rect 757 131 765 267
-rect 712 115 765 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 252 1325
-rect 196 765 207 1309
-rect 241 765 252 1309
-rect 196 725 252 765
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 682 1325
-rect 626 765 637 1309
-rect 671 765 682 1309
-rect 626 725 682 765
-rect 712 1309 765 1325
-rect 712 765 723 1309
-rect 757 765 765 1309
-rect 712 725 765 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 723 765 757 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 682 1325 712 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 338 693 368 725
-rect 424 693 454 725
-rect 510 693 540 725
-rect 596 693 626 725
-rect 682 693 712 725
-rect 80 663 712 693
-rect 80 513 110 663
-rect 80 497 136 513
-rect 80 463 90 497
-rect 124 477 136 497
-rect 424 477 454 663
-rect 124 463 712 477
-rect 80 447 712 463
-rect 80 315 110 447
-rect 166 315 196 447
-rect 252 315 282 447
-rect 338 315 368 447
-rect 424 315 454 447
-rect 510 315 540 447
-rect 596 315 626 447
-rect 682 315 712 447
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 814 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 814 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 749 241 765
-rect 293 1309 327 1325
-rect 293 737 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 637 1309 671 1325
-rect 637 737 671 765
-rect 723 1309 757 1379
-rect 723 749 757 765
-rect 87 463 90 497
-rect 124 463 140 497
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 383
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 383
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
-<< viali >>
-rect 121 703 155 737
-rect 293 703 327 737
-rect 465 703 499 737
-rect 637 703 671 737
-rect 53 463 87 497
-rect 121 383 155 417
-rect 293 383 327 417
-rect 465 383 499 417
-rect 637 383 671 417
-<< metal1 >>
-rect 0 1379 814 1440
-rect 109 737 167 743
-rect 281 737 339 743
-rect 453 737 511 743
-rect 625 737 683 743
-rect 109 703 121 737
-rect 155 703 293 737
-rect 327 703 465 737
-rect 499 703 637 737
-rect 671 703 683 737
-rect 109 697 167 703
-rect 281 697 339 703
-rect 453 697 511 703
-rect 625 697 683 703
-rect 42 497 93 517
-rect 42 463 53 497
-rect 87 463 93 497
-rect 42 451 93 463
-rect 121 423 155 697
-rect 293 423 327 697
-rect 464 423 498 697
-rect 636 423 670 697
-rect 109 417 167 423
-rect 281 417 339 423
-rect 453 417 511 423
-rect 625 417 683 423
-rect 109 383 121 417
-rect 155 383 293 417
-rect 327 383 465 417
-rect 499 383 637 417
-rect 671 383 683 417
-rect 109 377 167 383
-rect 281 377 339 383
-rect 453 377 511 383
-rect 625 377 683 383
-rect 0 0 814 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 70 480 70 480 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVX8/definition.json b/cells/INVX8/definition.json
deleted file mode 100644
index 3ed6618..0000000
--- a/cells/INVX8/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVX8",
- "library": "sky130_osu_sc",
- "name": "INVX8",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVX8"
-}
diff --git a/cells/INVXL/INVXL.gds b/cells/INVXL/INVXL.gds
deleted file mode 100644
index 4adba63..0000000
--- a/cells/INVXL/INVXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/INVXL/INVXL.mag b/cells/INVXL/INVXL.mag
deleted file mode 100644
index d412023..0000000
--- a/cells/INVXL/INVXL.mag
+++ /dev/null
@@ -1,110 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 70 443 144 517
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 243
-<< pmos >>
-rect 80 995 110 1325
-<< ndiff >>
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 163 243
-rect 110 131 121 199
-rect 155 131 163 199
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 163 1325
-rect 110 1037 121 1309
-rect 155 1037 163 1309
-rect 110 995 163 1037
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 513 110 995
-rect 80 497 134 513
-rect 80 463 90 497
-rect 124 463 134 497
-rect 80 447 134 463
-rect 80 243 110 447
-rect 80 89 110 115
-<< polycont >>
-rect 90 463 124 497
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 1021 69 1037
-rect 121 1309 155 1325
-rect 121 737 155 1037
-rect 75 463 90 497
-rect 124 463 140 497
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 703 155 737
-rect 41 463 75 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 198 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 27 497 83 511
-rect 27 463 41 497
-rect 75 463 83 497
-rect 27 451 83 463
-rect 121 423 155 697
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 134 576 134 576 1 Y
-port 1 n
-rlabel locali 41 463 75 497 1 A
-port 2 n
-<< end >>
diff --git a/cells/INVXL/definition.json b/cells/INVXL/definition.json
deleted file mode 100644
index 813c4e8..0000000
--- a/cells/INVXL/definition.json
+++ /dev/null
@@ -1,36 +0,0 @@
-{
- "description": "Inverter.",
- "equation": "Y = ~A",
- "file_prefix": "sky130_osu_sc__INVXL",
- "library": "sky130_osu_sc",
- "name": "INVXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__INVXL"
-}
diff --git a/cells/NAND2X1/NAND2X1.gds b/cells/NAND2X1/NAND2X1.gds
deleted file mode 100644
index 85919f3..0000000
--- a/cells/NAND2X1/NAND2X1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NAND2X1/NAND2X1.mag b/cells/NAND2X1/NAND2X1.mag
deleted file mode 100644
index de95f0d..0000000
--- a/cells/NAND2X1/NAND2X1.mag
+++ /dev/null
@@ -1,146 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 1546 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 46 603 220 677
-<< nwell >>
-rect -9 689 286 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 403 235 515
-rect 182 131 193 403
-rect 227 131 235 403
-rect 182 115 235 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1309 249 1325
-rect 196 765 207 1309
-rect 241 765 249 1309
-rect 196 725 249 765
-<< ndiffc >>
-rect 35 131 69 403
-rect 193 131 227 403
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 765 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 673 110 725
-rect 166 673 196 725
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 657 210 673
-rect 152 623 166 657
-rect 200 623 210 657
-rect 152 607 210 623
-rect 152 515 182 607
-rect 80 89 110 115
-rect 152 89 182 115
-<< polycont >>
-rect 66 623 100 657
-rect 166 623 200 657
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1379
-rect 35 732 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 207 1309 241 1379
-rect 207 732 241 765
-rect 100 623 116 657
-rect 150 623 166 657
-rect 35 403 69 463
-rect 35 115 69 131
-rect 193 403 227 419
-rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 121 703 155 737
-rect 33 623 66 657
-rect 66 623 67 657
-rect 199 623 200 657
-rect 200 623 233 657
-rect 35 463 69 497
-<< metal1 >>
-rect 0 1379 286 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 27 657 83 673
-rect 27 623 33 657
-rect 67 623 83 657
-rect 27 607 83 623
-rect 23 497 81 503
-rect 121 497 155 697
-rect 187 657 243 673
-rect 187 623 199 657
-rect 233 623 243 657
-rect 187 607 243 623
-rect 23 463 35 497
-rect 69 463 155 497
-rect 23 457 81 463
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 139 509 139 509 1 Y
-port 1 n
-rlabel metal1 33 623 67 657 1 A
-port 2 n
-rlabel metal1 199 623 233 657 1 B
-port 3 n
-<< end >>
diff --git a/cells/NAND2X1/definition.json b/cells/NAND2X1/definition.json
deleted file mode 100644
index 54618ee..0000000
--- a/cells/NAND2X1/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input NAND gate.",
- "equation": "Y = !(A & B)",
- "file_prefix": "sky130_osu_sc__NAND2X1",
- "library": "sky130_osu_sc",
- "name": "NAND2X1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NAND2X1"
-}
diff --git a/cells/NAND2XL/NAND2XL.gds b/cells/NAND2XL/NAND2XL.gds
deleted file mode 100644
index f7c6db5..0000000
--- a/cells/NAND2XL/NAND2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NAND2XL/NAND2XL.mag b/cells/NAND2XL/NAND2XL.mag
deleted file mode 100644
index a434bfc..0000000
--- a/cells/NAND2XL/NAND2XL.mag
+++ /dev/null
@@ -1,146 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906015
-<< checkpaint >>
-rect -1304 -1260 1546 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 46 523 216 677
-<< nwell >>
-rect -9 689 286 1449
-<< nmos >>
-rect 80 115 110 367
-rect 152 115 182 367
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-<< ndiff >>
-rect 27 335 80 367
-rect 27 131 35 335
-rect 69 131 80 335
-rect 27 115 80 131
-rect 110 115 152 367
-rect 182 335 235 367
-rect 182 131 193 335
-rect 227 131 235 335
-rect 182 115 235 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 249 1325
-rect 196 1037 207 1309
-rect 241 1037 249 1309
-rect 196 995 249 1037
-<< ndiffc >>
-rect 35 131 69 335
-rect 193 131 227 335
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 207 1037 241 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 80 673 110 995
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 367 110 607
-rect 166 593 196 995
-rect 152 577 206 593
-rect 152 543 162 577
-rect 196 543 206 577
-rect 152 527 206 543
-rect 152 367 182 527
-rect 80 89 110 115
-rect 152 89 182 115
-<< polycont >>
-rect 66 623 100 657
-rect 162 543 196 577
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1379
-rect 35 1021 69 1037
-rect 121 1309 155 1325
-rect 121 737 155 1037
-rect 207 1309 241 1379
-rect 207 1021 241 1037
-rect 100 623 116 657
-rect 146 543 162 577
-rect 35 335 69 383
-rect 35 115 69 131
-rect 193 335 227 351
-rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 121 703 155 737
-rect 34 623 66 657
-rect 66 623 68 657
-rect 189 543 196 577
-rect 196 543 223 577
-rect 35 383 69 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 109 737 167 743
-rect 109 703 121 737
-rect 155 703 167 737
-rect 109 697 167 703
-rect 27 657 84 673
-rect 27 623 34 657
-rect 68 623 84 657
-rect 27 607 84 623
-rect 23 417 81 423
-rect 121 417 155 697
-rect 183 577 240 593
-rect 183 543 189 577
-rect 223 543 240 577
-rect 183 527 240 543
-rect 23 383 35 417
-rect 69 383 155 417
-rect 23 377 81 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 139 509 139 509 1 Y
-port 1 n
-rlabel metal1 34 623 68 657 1 A
-port 2 n
-rlabel metal1 189 543 223 577 1 B
-port 3 n
-<< end >>
diff --git a/cells/NAND2XL/definition.json b/cells/NAND2XL/definition.json
deleted file mode 100644
index fca5a1b..0000000
--- a/cells/NAND2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input NAND gate.",
- "equation": "Y = !(A & B)",
- "file_prefix": "sky130_osu_sc__NAND2XL",
- "library": "sky130_osu_sc",
- "name": "NAND2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NAND2XL"
-}
diff --git a/cells/NAND3X1/NAND3X1.gds b/cells/NAND3X1/NAND3X1.gds
deleted file mode 100644
index f8d0a62..0000000
--- a/cells/NAND3X1/NAND3X1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NAND3X1/NAND3X1.mag b/cells/NAND3X1/NAND3X1.mag
deleted file mode 100644
index 19acf89..0000000
--- a/cells/NAND3X1/NAND3X1.mag
+++ /dev/null
@@ -1,300 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 1901 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 46 603 586 837
-<< nwell >>
-rect -9 689 641 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 350 115 380 515
-rect 436 115 466 515
-rect 522 115 552 515
-<< pmos >>
-rect 80 1125 110 1325
-rect 166 1125 196 1325
-rect 252 1125 282 1325
-rect 350 925 380 1325
-rect 436 925 466 1325
-rect 522 925 552 1325
-<< ndiff >>
-rect 297 454 350 515
-rect 297 315 305 454
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 131 305 315
-rect 339 131 350 454
-rect 282 115 350 131
-rect 380 454 436 515
-rect 380 131 391 454
-rect 425 131 436 454
-rect 380 115 436 131
-rect 466 454 522 515
-rect 466 131 477 454
-rect 511 131 522 454
-rect 466 115 522 131
-rect 552 454 605 515
-rect 552 131 563 454
-rect 597 131 605 454
-rect 552 115 605 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1173 35 1309
-rect 69 1173 80 1309
-rect 27 1125 80 1173
-rect 110 1309 166 1325
-rect 110 1173 121 1309
-rect 155 1173 166 1309
-rect 110 1125 166 1173
-rect 196 1309 252 1325
-rect 196 1173 207 1309
-rect 241 1173 252 1309
-rect 196 1125 252 1173
-rect 282 1309 350 1325
-rect 282 1125 305 1309
-rect 297 969 305 1125
-rect 339 969 350 1309
-rect 297 925 350 969
-rect 380 1309 436 1325
-rect 380 969 391 1309
-rect 425 969 436 1309
-rect 380 925 436 969
-rect 466 1309 522 1325
-rect 466 969 477 1309
-rect 511 969 522 1309
-rect 466 925 522 969
-rect 552 1309 605 1325
-rect 552 969 563 1309
-rect 597 969 605 1309
-rect 552 925 605 969
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 305 131 339 454
-rect 391 131 425 454
-rect 477 131 511 454
-rect 563 131 597 454
-<< pdiffc >>
-rect 35 1173 69 1309
-rect 121 1173 155 1309
-rect 207 1173 241 1309
-rect 305 969 339 1309
-rect 391 969 425 1309
-rect 477 969 511 1309
-rect 563 969 597 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 350 1325 380 1351
-rect 436 1325 466 1351
-rect 522 1325 552 1351
-rect 80 753 110 1125
-rect 56 737 110 753
-rect 56 703 66 737
-rect 100 703 110 737
-rect 56 687 110 703
-rect 80 315 110 687
-rect 166 673 196 1125
-rect 252 906 282 1125
-rect 350 906 380 925
-rect 252 876 380 906
-rect 307 833 337 876
-rect 295 817 349 833
-rect 295 783 305 817
-rect 339 783 349 817
-rect 295 767 349 783
-rect 307 675 337 767
-rect 156 657 210 673
-rect 156 623 166 657
-rect 200 623 210 657
-rect 156 607 210 623
-rect 252 645 380 675
-rect 436 673 466 925
-rect 522 753 552 925
-rect 522 737 576 753
-rect 522 703 532 737
-rect 566 703 576 737
-rect 522 687 576 703
-rect 166 315 196 607
-rect 252 315 282 645
-rect 350 515 380 645
-rect 422 657 476 673
-rect 422 623 432 657
-rect 466 623 476 657
-rect 422 607 476 623
-rect 436 515 466 607
-rect 522 515 552 687
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 350 89 380 115
-rect 436 89 466 115
-rect 522 89 552 115
-<< polycont >>
-rect 66 703 100 737
-rect 305 783 339 817
-rect 166 623 200 657
-rect 532 703 566 737
-rect 432 623 466 657
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1325
-rect 35 897 69 1173
-rect 121 1309 155 1379
-rect 121 1157 155 1173
-rect 207 1309 241 1325
-rect 207 897 241 1173
-rect 305 1309 339 1379
-rect 305 953 339 969
-rect 391 1309 425 1325
-rect 391 897 425 969
-rect 477 1309 511 1379
-rect 477 953 511 969
-rect 563 1309 597 1325
-rect 563 897 597 969
-rect 35 863 597 897
-rect 289 783 305 817
-rect 339 783 355 817
-rect 391 737 425 863
-rect 50 703 66 737
-rect 100 703 116 737
-rect 305 703 425 737
-rect 516 703 532 737
-rect 566 703 582 737
-rect 150 623 166 657
-rect 200 623 216 657
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 543
-rect 121 115 155 131
-rect 207 267 241 463
-rect 207 115 241 131
-rect 305 454 339 703
-rect 416 623 432 657
-rect 466 623 482 657
-rect 305 115 339 131
-rect 391 454 425 463
-rect 391 115 425 131
-rect 477 454 511 543
-rect 477 115 511 131
-rect 563 454 597 470
-rect 563 61 597 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 305 783 339 817
-rect 66 703 100 737
-rect 532 703 566 737
-rect 166 623 200 657
-rect 121 543 155 577
-rect 207 463 241 497
-rect 432 623 466 657
-rect 477 543 511 577
-rect 391 463 425 497
-<< metal1 >>
-rect 0 1379 638 1440
-rect 293 817 351 823
-rect 293 783 305 817
-rect 339 783 436 817
-rect 293 777 351 783
-rect 54 737 112 743
-rect 520 737 578 743
-rect 54 703 66 737
-rect 100 703 532 737
-rect 566 703 578 737
-rect 54 697 112 703
-rect 520 697 578 703
-rect 154 657 212 663
-rect 420 657 478 663
-rect 154 623 166 657
-rect 200 623 432 657
-rect 466 623 478 657
-rect 154 617 212 623
-rect 420 617 478 623
-rect 109 577 167 583
-rect 465 577 523 583
-rect 109 543 121 577
-rect 155 543 477 577
-rect 511 543 523 577
-rect 109 537 167 543
-rect 465 537 523 543
-rect 195 497 253 503
-rect 379 497 437 503
-rect 195 463 207 497
-rect 241 463 391 497
-rect 425 463 437 497
-rect 195 457 253 463
-rect 379 457 437 463
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 183 641 183 641 1 B
-port 1 n
-rlabel locali 84 723 84 723 1 A
-port 2 n
-rlabel locali 409 744 409 744 1 Y
-port 3 n
-rlabel locali 322 800 322 800 1 C
-port 4 n
-<< end >>
diff --git a/cells/NAND3X1/definition.json b/cells/NAND3X1/definition.json
deleted file mode 100644
index e67d97c..0000000
--- a/cells/NAND3X1/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "3-input NAND gate.",
- "equation": "Y = !(A & B & C)",
- "file_prefix": "sky130_osu_sc__NAND3X1",
- "library": "sky130_osu_sc",
- "name": "NAND3X1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "C",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NAND3X1"
-}
diff --git a/cells/NAND3XL/NAND3XL.gds b/cells/NAND3XL/NAND3XL.gds
deleted file mode 100644
index 1ca131b..0000000
--- a/cells/NAND3XL/NAND3XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NAND3XL/NAND3XL.mag b/cells/NAND3XL/NAND3XL.mag
deleted file mode 100644
index e4238f2..0000000
--- a/cells/NAND3XL/NAND3XL.mag
+++ /dev/null
@@ -1,176 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 45 583 317 758
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 515
-rect 152 115 182 515
-rect 224 115 254 515
-<< pmos >>
-rect 80 995 110 1325
-rect 166 995 196 1325
-rect 252 995 282 1325
-<< ndiff >>
-rect 27 403 80 515
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 115 152 515
-rect 182 115 224 515
-rect 254 403 307 515
-rect 254 131 265 403
-rect 299 131 307 403
-rect 254 115 307 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 995 80 1037
-rect 110 1309 166 1325
-rect 110 1037 121 1309
-rect 155 1037 166 1309
-rect 110 995 166 1037
-rect 196 1309 252 1325
-rect 196 1037 207 1309
-rect 241 1037 252 1309
-rect 196 995 252 1037
-rect 282 1309 335 1325
-rect 282 1037 293 1309
-rect 327 1037 335 1309
-rect 282 995 335 1037
-<< ndiffc >>
-rect 35 131 69 403
-rect 265 131 299 403
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 121 1037 155 1309
-rect 207 1037 241 1309
-rect 293 1037 327 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 80 673 110 995
-rect 166 753 196 995
-rect 56 657 110 673
-rect 56 623 66 657
-rect 100 623 110 657
-rect 56 607 110 623
-rect 80 515 110 607
-rect 152 737 206 753
-rect 152 703 162 737
-rect 196 703 206 737
-rect 152 687 206 703
-rect 152 515 182 687
-rect 252 678 282 995
-rect 252 646 306 678
-rect 252 640 262 646
-rect 224 612 262 640
-rect 296 612 306 646
-rect 224 594 306 612
-rect 224 515 254 594
-rect 80 89 110 115
-rect 152 89 182 115
-rect 224 89 254 115
-<< polycont >>
-rect 66 623 100 657
-rect 162 703 196 737
-rect 262 612 296 646
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 817 69 1037
-rect 121 1309 155 1379
-rect 121 1021 155 1037
-rect 207 1309 241 1325
-rect 207 817 241 1037
-rect 293 1309 327 1379
-rect 293 1021 327 1037
-rect 35 783 241 817
-rect 35 737 69 783
-rect 146 703 162 737
-rect 50 623 66 657
-rect 100 623 107 657
-rect 240 612 262 646
-rect 296 612 306 646
-rect 35 403 69 463
-rect 35 115 69 131
-rect 265 403 299 419
-rect 265 61 299 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 35 703 69 737
-rect 196 703 230 737
-rect 107 623 141 657
-rect 306 612 340 646
-rect 35 463 69 497
-<< metal1 >>
-rect 0 1379 374 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 190 737 258 750
-rect 190 703 196 737
-rect 230 703 258 737
-rect 35 503 69 697
-rect 190 677 258 703
-rect 100 657 156 671
-rect 100 623 107 657
-rect 141 623 156 657
-rect 100 611 156 623
-rect 300 646 362 659
-rect 300 612 306 646
-rect 340 612 362 646
-rect 300 599 362 612
-rect 23 497 81 503
-rect 23 463 35 497
-rect 69 463 81 497
-rect 23 457 81 463
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 53 509 53 509 1 Y
-port 1 n
-rlabel locali 107 623 141 657 1 A
-port 2 n
-rlabel locali 196 703 230 737 1 B
-port 3 n
-rlabel locali 306 612 340 646 1 C
-port 4 n
-<< end >>
diff --git a/cells/NAND3XL/definition.json b/cells/NAND3XL/definition.json
deleted file mode 100644
index 2e57614..0000000
--- a/cells/NAND3XL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "3-input NAND gate.",
- "equation": "Y = !(A & B & C)",
- "file_prefix": "sky130_osu_sc__NAND3XL",
- "library": "sky130_osu_sc",
- "name": "NAND3XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "C",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NAND3XL"
-}
diff --git a/cells/NAND4XL/NAND4XL.gds b/cells/NAND4XL/NAND4XL.gds
deleted file mode 100644
index 21641a4..0000000
--- a/cells/NAND4XL/NAND4XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NAND4XL/NAND4XL.mag b/cells/NAND4XL/NAND4XL.mag
deleted file mode 100644
index fb32fb9..0000000
--- a/cells/NAND4XL/NAND4XL.mag
+++ /dev/null
@@ -1,327 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 2052 2709
-<< psdm >>
-rect 0 0 792 86
-<< nsdm >>
-rect 0 1354 792 1440
-<< npc >>
-rect 492 891 566 965
-rect 54 523 752 837
-<< nwell >>
-rect -9 689 792 1449
-<< nmos >>
-rect 80 115 110 367
-rect 166 115 196 367
-rect 252 115 282 367
-rect 338 115 368 367
-rect 424 115 454 367
-rect 510 115 540 367
-rect 596 115 626 367
-rect 682 115 712 367
-<< pmos >>
-rect 252 995 282 1325
-rect 338 995 368 1325
-rect 424 995 454 1325
-rect 510 995 540 1325
-<< ndiff >>
-rect 27 335 80 367
-rect 27 131 35 335
-rect 69 131 80 335
-rect 27 115 80 131
-rect 110 333 166 367
-rect 110 129 121 333
-rect 155 129 166 333
-rect 110 115 166 129
-rect 196 265 252 367
-rect 196 197 207 265
-rect 241 197 252 265
-rect 196 115 252 197
-rect 282 346 338 367
-rect 282 283 293 346
-rect 327 283 338 346
-rect 282 115 338 283
-rect 368 351 424 367
-rect 368 283 379 351
-rect 413 283 424 351
-rect 368 115 424 283
-rect 454 351 510 367
-rect 454 283 465 351
-rect 499 283 510 351
-rect 454 115 510 283
-rect 540 265 596 367
-rect 540 197 551 265
-rect 585 197 596 265
-rect 540 115 596 197
-rect 626 333 682 367
-rect 626 129 637 333
-rect 671 129 682 333
-rect 626 115 682 129
-rect 712 335 765 367
-rect 712 131 723 335
-rect 757 131 765 335
-rect 712 115 765 131
-<< pdiff >>
-rect 199 1309 252 1325
-rect 199 1105 207 1309
-rect 241 1105 252 1309
-rect 199 995 252 1105
-rect 282 1309 338 1325
-rect 282 1105 293 1309
-rect 327 1105 338 1309
-rect 282 995 338 1105
-rect 368 1309 424 1325
-rect 368 1105 379 1309
-rect 413 1105 424 1309
-rect 368 995 424 1105
-rect 454 1309 510 1325
-rect 454 1105 465 1309
-rect 499 1105 510 1309
-rect 454 995 510 1105
-rect 540 1309 593 1325
-rect 540 1105 551 1309
-rect 585 1105 593 1309
-rect 540 995 593 1105
-<< ndiffc >>
-rect 35 131 69 335
-rect 121 129 155 333
-rect 207 197 241 265
-rect 293 283 327 346
-rect 379 283 413 351
-rect 465 283 499 351
-rect 551 197 585 265
-rect 637 129 671 333
-rect 723 131 757 335
-<< pdiffc >>
-rect 207 1105 241 1309
-rect 293 1105 327 1309
-rect 379 1105 413 1309
-rect 465 1105 499 1309
-rect 551 1105 585 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-<< poly >>
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 252 980 282 995
-rect 72 950 282 980
-rect 72 673 102 950
-rect 338 908 368 995
-rect 144 878 368 908
-rect 144 833 174 878
-rect 424 836 454 995
-rect 510 961 540 995
-rect 496 945 562 961
-rect 496 911 512 945
-rect 546 911 562 945
-rect 496 895 562 911
-rect 144 817 210 833
-rect 144 783 160 817
-rect 194 783 210 817
-rect 144 767 210 783
-rect 252 806 454 836
-rect 596 817 662 833
-rect 58 657 124 673
-rect 58 623 74 657
-rect 108 623 124 657
-rect 58 607 124 623
-rect 80 367 110 607
-rect 166 367 196 767
-rect 252 735 282 806
-rect 596 783 612 817
-rect 646 783 662 817
-rect 596 767 662 783
-rect 369 737 423 753
-rect 369 735 379 737
-rect 252 705 379 735
-rect 252 367 282 705
-rect 369 703 379 705
-rect 413 735 423 737
-rect 413 705 540 735
-rect 413 703 423 705
-rect 369 687 423 703
-rect 369 577 423 593
-rect 369 566 379 577
-rect 338 543 379 566
-rect 413 566 423 577
-rect 413 543 454 566
-rect 338 527 454 543
-rect 338 367 368 527
-rect 424 367 454 527
-rect 510 367 540 705
-rect 596 367 626 767
-rect 682 657 748 673
-rect 682 623 698 657
-rect 732 623 748 657
-rect 682 607 748 623
-rect 682 367 712 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 512 911 546 945
-rect 160 783 194 817
-rect 74 623 108 657
-rect 612 783 646 817
-rect 379 703 413 737
-rect 379 543 413 577
-rect 698 623 732 657
-<< locali >>
-rect 0 1413 792 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 792 1413
-rect 207 1309 241 1379
-rect 207 1089 241 1105
-rect 293 1309 327 1325
-rect 293 1055 327 1105
-rect 379 1309 413 1379
-rect 379 1089 413 1105
-rect 465 1309 499 1325
-rect 465 1055 499 1105
-rect 551 1309 585 1379
-rect 551 1089 585 1105
-rect 293 1021 379 1055
-rect 413 1021 499 1055
-rect 496 911 512 945
-rect 546 911 562 945
-rect 148 783 160 817
-rect 194 783 612 817
-rect 646 783 662 817
-rect 58 703 379 737
-rect 413 705 701 737
-rect 735 705 748 737
-rect 413 703 748 705
-rect 58 623 74 657
-rect 108 623 122 657
-rect 156 623 698 657
-rect 732 623 748 657
-rect 58 543 379 577
-rect 413 543 512 577
-rect 546 543 748 577
-rect 293 401 499 435
-rect 35 335 69 351
-rect 35 61 69 131
-rect 121 333 155 349
-rect 293 346 327 401
-rect 207 265 241 281
-rect 293 267 327 283
-rect 379 267 413 283
-rect 465 351 499 401
-rect 465 267 499 283
-rect 637 333 671 349
-rect 551 265 585 281
-rect 207 163 585 197
-rect 121 95 671 129
-rect 723 335 757 351
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 792 61
-rect 0 0 792 27
-<< viali >>
-rect 379 1021 413 1055
-rect 512 911 546 945
-rect 114 783 148 817
-rect 701 705 735 739
-rect 122 623 156 657
-rect 512 543 546 577
-rect 379 351 413 367
-rect 379 333 413 351
-<< metal1 >>
-rect 0 1379 792 1440
-rect 367 1055 425 1061
-rect 367 1021 379 1055
-rect 413 1021 425 1055
-rect 367 1015 425 1021
-rect 101 817 160 831
-rect 101 783 114 817
-rect 148 783 160 817
-rect 101 773 160 783
-rect 108 657 167 669
-rect 108 623 122 657
-rect 156 623 167 657
-rect 108 610 167 623
-rect 379 373 413 1015
-rect 500 945 558 957
-rect 500 911 512 945
-rect 546 911 558 945
-rect 500 899 558 911
-rect 512 589 546 899
-rect 690 739 748 751
-rect 690 705 701 739
-rect 735 705 748 739
-rect 690 693 748 705
-rect 506 577 552 589
-rect 506 543 512 577
-rect 546 543 552 577
-rect 506 531 552 543
-rect 367 367 425 373
-rect 367 333 379 367
-rect 413 333 425 367
-rect 367 327 425 333
-rect 0 0 792 61
-<< labels >>
-rlabel metal1 396 907 396 907 1 Y
-port 1 n
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel nwell 512 911 546 945 1 A
-port 2 n
-rlabel locali 701 705 735 739 1 B
-port 3 n
-rlabel locali 114 783 148 817 1 C
-port 4 n
-rlabel locali 122 623 156 657 1 D
-port 5 n
-<< end >>
diff --git a/cells/NAND4XL/definition.json b/cells/NAND4XL/definition.json
deleted file mode 100644
index 762a7ee..0000000
--- a/cells/NAND4XL/definition.json
+++ /dev/null
@@ -1,54 +0,0 @@
-{
- "description": "4-input NAND gate.",
- "equation": "Y = A & B & C & D",
- "file_prefix": "sky130_osu_sc__NAND4XL",
- "library": "sky130_osu_sc",
- "name": "NAND4XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "C",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "D",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NAND4XL"
-}
diff --git a/cells/NOR2X1/NOR2X1.gds b/cells/NOR2X1/NOR2X1.gds
deleted file mode 100644
index 17c3900..0000000
--- a/cells/NOR2X1/NOR2X1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NOR2X1/NOR2X1.mag b/cells/NOR2X1/NOR2X1.mag
deleted file mode 100644
index c30603c..0000000
--- a/cells/NOR2X1/NOR2X1.mag
+++ /dev/null
@@ -1,189 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 1722 2709
-<< psdm >>
-rect 0 0 462 86
-<< nsdm >>
-rect 0 1354 462 1440
-<< npc >>
-rect 46 523 378 677
-<< nwell >>
-rect -9 689 462 1449
-<< nmos >>
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-<< ndiff >>
-rect 199 267 252 315
-rect 199 131 207 267
-rect 241 131 252 267
-rect 199 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1254 252 1325
-rect 196 778 207 1254
-rect 241 778 252 1254
-rect 196 725 252 778
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 421 1325
-rect 368 765 379 1309
-rect 413 765 421 1309
-rect 368 725 421 765
-<< ndiffc >>
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 778 241 1254
-rect 293 765 327 1309
-rect 379 765 413 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 80 593 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 166 663 282 693
-rect 166 657 220 663
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 89 110 527
-rect 190 477 220 607
-rect 338 593 368 725
-rect 314 577 368 593
-rect 314 543 324 577
-rect 358 543 368 577
-rect 314 527 368 543
-rect 190 447 282 477
-rect 252 315 282 447
-rect 338 315 368 527
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 176 623 210 657
-rect 66 543 100 577
-rect 324 543 358 577
-<< locali >>
-rect 0 1413 462 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 462 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 327 1343
-rect 121 749 155 765
-rect 207 1254 241 1270
-rect 207 737 241 778
-rect 293 749 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 165 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 324 577
-rect 358 543 370 577
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 207 703 241 737
-rect 131 623 165 657
-rect 370 543 404 577
-rect 293 383 327 417
-<< metal1 >>
-rect 0 1379 462 1440
-rect 195 737 253 743
-rect 195 703 207 737
-rect 241 703 327 737
-rect 195 697 253 703
-rect 117 657 175 673
-rect 117 623 131 657
-rect 165 623 175 657
-rect 117 611 175 623
-rect 293 423 327 703
-rect 360 577 418 590
-rect 360 543 370 577
-rect 404 543 418 577
-rect 360 529 418 543
-rect 281 417 339 423
-rect 281 383 293 417
-rect 327 383 339 417
-rect 281 377 339 383
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 311 579 311 579 1 Y
-port 1 n
-rlabel locali 370 543 404 577 1 B
-port 2 n
-rlabel locali 131 623 165 657 1 A
-port 3 n
-<< end >>
diff --git a/cells/NOR2X1/definition.json b/cells/NOR2X1/definition.json
deleted file mode 100644
index b5d6f4a..0000000
--- a/cells/NOR2X1/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input NOR gate.",
- "equation": "Y = !(A | B)",
- "file_prefix": "sky130_osu_sc__NOR2X1",
- "library": "sky130_osu_sc",
- "name": "NOR2X1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NOR2X1"
-}
diff --git a/cells/NOR2XL/NOR2XL.gds b/cells/NOR2XL/NOR2XL.gds
deleted file mode 100644
index a60898a..0000000
--- a/cells/NOR2XL/NOR2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/NOR2XL/NOR2XL.mag b/cells/NOR2XL/NOR2XL.mag
deleted file mode 100644
index b8154d4..0000000
--- a/cells/NOR2XL/NOR2XL.mag
+++ /dev/null
@@ -1,146 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 1546 2709
-<< psdm >>
-rect 0 0 286 86
-<< nsdm >>
-rect 0 1354 286 1440
-<< npc >>
-rect 46 443 216 597
-<< nwell >>
-rect -9 689 286 1449
-<< nmos >>
-rect 80 115 110 243
-rect 166 115 196 243
-<< pmos >>
-rect 80 725 110 1325
-rect 152 725 182 1325
-<< ndiff >>
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 243
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 249 243
-rect 196 131 207 199
-rect 241 131 249 199
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 725 152 1325
-rect 182 1309 235 1325
-rect 182 765 193 1309
-rect 227 765 235 1309
-rect 182 725 235 765
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-<< pdiffc >>
-rect 35 765 69 1309
-rect 193 765 227 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 152 1325 182 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 243 110 527
-rect 152 513 182 725
-rect 152 497 206 513
-rect 152 463 162 497
-rect 196 463 206 497
-rect 152 447 206 463
-rect 166 243 196 447
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 66 543 100 577
-rect 162 463 196 497
-<< locali >>
-rect 0 1413 286 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 286 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 193 1309 227 1379
-rect 193 749 227 765
-rect 100 543 116 577
-rect 146 463 162 497
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 383
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 35 703 69 737
-rect 34 543 66 577
-rect 66 543 68 577
-rect 194 463 196 497
-rect 196 463 228 497
-rect 121 383 155 417
-<< metal1 >>
-rect 0 1379 286 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 155 737
-rect 23 697 81 703
-rect 27 577 75 597
-rect 27 543 34 577
-rect 68 543 75 577
-rect 27 527 75 543
-rect 121 423 155 703
-rect 185 497 234 523
-rect 185 463 194 497
-rect 228 463 234 497
-rect 185 451 234 463
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 139 509 139 509 1 Y
-port 1 n
-rlabel metal1 34 543 68 577 1 A
-port 2 n
-rlabel metal1 194 463 228 497 1 B
-port 3 n
-<< end >>
diff --git a/cells/NOR2XL/definition.json b/cells/NOR2XL/definition.json
deleted file mode 100644
index 4061ab4..0000000
--- a/cells/NOR2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input NOR gate.",
- "equation": "Y = !(A | B)",
- "file_prefix": "sky130_osu_sc__NOR2XL",
- "library": "sky130_osu_sc",
- "name": "NOR2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__NOR2XL"
-}
diff --git a/cells/OAI21XL/OAI21XL.gds b/cells/OAI21XL/OAI21XL.gds
deleted file mode 100644
index 45916f5..0000000
--- a/cells/OAI21XL/OAI21XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/OAI21XL/OAI21XL.mag b/cells/OAI21XL/OAI21XL.mag
deleted file mode 100644
index 2b020c4..0000000
--- a/cells/OAI21XL/OAI21XL.mag
+++ /dev/null
@@ -1,189 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906016
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 443 317 677
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 367
-rect 166 115 196 367
-rect 252 115 282 367
-<< pmos >>
-rect 80 725 110 1325
-rect 152 725 182 1325
-rect 250 995 280 1325
-<< ndiff >>
-rect 27 335 80 367
-rect 27 131 35 335
-rect 69 131 80 335
-rect 27 115 80 131
-rect 110 267 166 367
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 335 252 367
-rect 196 131 207 335
-rect 241 131 252 335
-rect 196 115 252 131
-rect 282 335 335 367
-rect 282 131 293 335
-rect 327 131 335 335
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 725 152 1325
-rect 182 1309 250 1325
-rect 182 765 193 1309
-rect 227 995 250 1309
-rect 280 1309 333 1325
-rect 280 1037 291 1309
-rect 325 1037 333 1309
-rect 280 995 333 1037
-rect 227 765 235 995
-rect 182 725 235 765
-<< ndiffc >>
-rect 35 131 69 335
-rect 121 131 155 267
-rect 207 131 241 335
-rect 293 131 327 335
-<< pdiffc >>
-rect 35 765 69 1309
-rect 193 765 227 1309
-rect 291 1037 325 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 152 1325 182 1351
-rect 250 1325 280 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 367 110 527
-rect 152 513 182 725
-rect 250 673 280 995
-rect 250 657 306 673
-rect 252 623 262 657
-rect 296 623 306 657
-rect 252 607 306 623
-rect 152 497 206 513
-rect 152 463 162 497
-rect 196 463 206 497
-rect 152 447 206 463
-rect 166 367 196 447
-rect 252 367 282 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 543 100 577
-rect 262 623 296 657
-rect 162 463 196 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 193 1309 227 1379
-rect 193 749 227 765
-rect 291 1309 325 1325
-rect 291 737 325 1037
-rect 199 623 215 657
-rect 249 623 262 657
-rect 296 623 312 657
-rect 50 543 66 577
-rect 100 543 116 577
-rect 146 463 162 497
-rect 196 463 212 497
-rect 35 383 241 417
-rect 35 335 69 383
-rect 207 335 241 383
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 115 241 131
-rect 293 335 327 383
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 35 703 69 737
-rect 291 703 325 737
-rect 215 623 249 657
-rect 66 543 100 577
-rect 162 463 196 497
-rect 293 383 327 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 23 737 81 743
-rect 279 737 337 743
-rect 23 703 35 737
-rect 69 704 291 737
-rect 69 703 81 704
-rect 23 697 81 703
-rect 279 703 291 704
-rect 325 703 337 737
-rect 279 697 337 703
-rect 190 657 265 663
-rect 190 623 215 657
-rect 249 623 265 657
-rect 190 617 265 623
-rect 41 577 116 583
-rect 41 543 66 577
-rect 100 543 116 577
-rect 41 537 116 543
-rect 137 497 212 503
-rect 137 463 162 497
-rect 196 463 212 497
-rect 137 457 212 463
-rect 293 423 327 697
-rect 281 417 339 423
-rect 281 383 293 417
-rect 327 383 339 417
-rect 281 377 339 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 308 591 308 591 1 Y
-port 1 n
-rlabel locali 83 560 83 560 1 A0
-port 2 n
-rlabel locali 179 480 179 480 1 A1
-port 3 n
-rlabel locali 232 640 232 640 1 B1
-port 4 n
-<< end >>
diff --git a/cells/OAI21XL/definition.json b/cells/OAI21XL/definition.json
deleted file mode 100644
index 7e5dac6..0000000
--- a/cells/OAI21XL/definition.json
+++ /dev/null
@@ -1,48 +0,0 @@
-{
- "description": "2-1 and-or-invert",
- "equation": "(!A0 * !A1) + (!B0)",
- "file_prefix": "sky130_osu_sc__OAI21XL",
- "library": "sky130_osu_sc",
- "name": "OAI21XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A0",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "A1",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B1",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__OAI21XL"
-}
diff --git a/cells/OR2X1/OR2X1.gds b/cells/OR2X1/OR2X1.gds
deleted file mode 100644
index 9ff1b3d..0000000
--- a/cells/OR2X1/OR2X1.gds
+++ /dev/null
Binary files differ
diff --git a/cells/OR2X1/OR2X1.mag b/cells/OR2X1/OR2X1.mag
deleted file mode 100644
index ce74e49..0000000
--- a/cells/OR2X1/OR2X1.mag
+++ /dev/null
@@ -1,234 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1810 2709
-<< psdm >>
-rect 0 0 550 86
-<< nsdm >>
-rect 0 1354 550 1440
-<< npc >>
-rect 46 443 489 677
-<< nwell >>
-rect -9 689 550 1449
-<< nmos >>
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-<< ndiff >>
-rect 199 267 252 315
-rect 199 131 207 267
-rect 241 131 252 267
-rect 199 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 507 315
-rect 454 131 465 267
-rect 499 131 507 267
-rect 454 115 507 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1254 252 1325
-rect 196 778 207 1254
-rect 241 778 252 1254
-rect 196 725 252 778
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 507 1325
-rect 454 765 465 1309
-rect 499 765 507 1309
-rect 454 725 507 765
-<< ndiffc >>
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 778 241 1254
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 80 593 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 166 663 282 693
-rect 166 657 220 663
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 89 110 527
-rect 190 477 220 607
-rect 338 593 368 725
-rect 314 577 368 593
-rect 314 543 324 577
-rect 358 543 368 577
-rect 314 527 368 543
-rect 190 447 282 477
-rect 252 315 282 447
-rect 338 315 368 527
-rect 424 513 454 725
-rect 424 497 478 513
-rect 424 463 434 497
-rect 468 463 478 497
-rect 424 447 478 463
-rect 424 315 454 447
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-<< polycont >>
-rect 176 623 210 657
-rect 66 543 100 577
-rect 324 543 358 577
-rect 434 463 468 497
-<< locali >>
-rect 0 1413 550 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 550 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 327 1343
-rect 121 749 155 765
-rect 207 1254 241 1270
-rect 207 737 241 778
-rect 293 749 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 165 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 324 577
-rect 358 543 370 577
-rect 327 463 434 497
-rect 468 463 484 497
-rect 293 417 327 463
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 383
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 383
-rect 465 115 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
-<< viali >>
-rect 207 703 241 737
-rect 465 703 499 737
-rect 131 623 165 657
-rect 370 543 404 577
-rect 293 463 327 497
-rect 293 383 327 417
-rect 465 383 499 417
-<< metal1 >>
-rect 0 1379 550 1440
-rect 195 737 253 743
-rect 453 737 511 743
-rect 195 703 207 737
-rect 241 703 327 737
-rect 195 697 253 703
-rect 117 657 175 673
-rect 117 623 131 657
-rect 165 623 175 657
-rect 117 611 175 623
-rect 293 503 327 703
-rect 453 703 465 737
-rect 499 703 511 737
-rect 453 697 511 703
-rect 360 577 418 590
-rect 360 543 370 577
-rect 404 543 418 577
-rect 360 529 418 543
-rect 281 497 339 503
-rect 281 463 293 497
-rect 327 463 339 497
-rect 281 457 338 463
-rect 293 423 327 457
-rect 465 423 499 697
-rect 281 417 339 423
-rect 281 383 293 417
-rect 327 383 339 417
-rect 281 377 339 383
-rect 453 417 511 423
-rect 453 383 465 417
-rect 499 383 511 417
-rect 453 377 511 383
-rect 0 0 550 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 478 576 478 576 1 Y
-port 1 n
-rlabel locali 370 543 404 577 1 B
-port 2 n
-rlabel locali 131 623 165 657 1 A
-port 3 n
-<< end >>
diff --git a/cells/OR2X1/definition.json b/cells/OR2X1/definition.json
deleted file mode 100644
index caf6595..0000000
--- a/cells/OR2X1/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input OR gate.",
- "equation": "Y = A | B",
- "file_prefix": "sky130_osu_sc__OR2X1",
- "library": "sky130_osu_sc",
- "name": "OR2X1",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__OR2X1"
-}
diff --git a/cells/OR2X2/OR2X2.gds b/cells/OR2X2/OR2X2.gds
deleted file mode 100644
index a04dd73..0000000
--- a/cells/OR2X2/OR2X2.gds
+++ /dev/null
Binary files differ
diff --git a/cells/OR2X2/OR2X2.mag b/cells/OR2X2/OR2X2.mag
deleted file mode 100644
index 39da729..0000000
--- a/cells/OR2X2/OR2X2.mag
+++ /dev/null
@@ -1,258 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1898 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 46 443 488 677
-<< nwell >>
-rect -9 689 638 1449
-<< nmos >>
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-<< ndiff >>
-rect 199 267 252 315
-rect 199 131 207 267
-rect 241 131 252 267
-rect 199 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 593 315
-rect 540 131 551 267
-rect 585 131 593 267
-rect 540 115 593 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1254 252 1325
-rect 196 778 207 1254
-rect 241 778 252 1254
-rect 196 725 252 778
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 593 1325
-rect 540 765 551 1309
-rect 585 765 593 1309
-rect 540 725 593 765
-<< ndiffc >>
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 778 241 1254
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 80 593 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 166 663 282 693
-rect 166 657 220 663
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 89 110 527
-rect 190 477 220 607
-rect 338 593 368 725
-rect 314 577 368 593
-rect 314 543 324 577
-rect 358 543 368 577
-rect 314 527 368 543
-rect 190 447 282 477
-rect 252 315 282 447
-rect 338 315 368 527
-rect 424 693 454 725
-rect 510 693 540 725
-rect 424 663 540 693
-rect 424 513 454 663
-rect 424 497 478 513
-rect 424 463 434 497
-rect 468 477 478 497
-rect 468 463 540 477
-rect 424 447 540 463
-rect 424 315 454 447
-rect 510 315 540 447
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-<< polycont >>
-rect 176 623 210 657
-rect 66 543 100 577
-rect 324 543 358 577
-rect 434 463 468 497
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 327 1343
-rect 121 749 155 765
-rect 207 1254 241 1270
-rect 207 737 241 778
-rect 293 749 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 165 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 324 577
-rect 358 543 370 577
-rect 327 463 434 497
-rect 468 463 484 497
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 463
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 383
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 207 703 241 737
-rect 465 703 499 737
-rect 131 623 165 657
-rect 370 543 404 577
-rect 293 463 327 497
-rect 465 383 499 417
-<< metal1 >>
-rect 0 1379 638 1440
-rect 195 737 253 743
-rect 453 737 511 743
-rect 195 703 207 737
-rect 241 703 327 737
-rect 195 697 253 703
-rect 117 657 175 673
-rect 117 623 131 657
-rect 165 623 175 657
-rect 117 611 175 623
-rect 293 503 327 703
-rect 453 703 465 737
-rect 499 703 511 737
-rect 453 697 511 703
-rect 360 577 418 590
-rect 360 543 370 577
-rect 404 543 418 577
-rect 360 529 418 543
-rect 281 497 339 503
-rect 281 463 293 497
-rect 327 463 339 497
-rect 281 457 338 463
-rect 465 423 499 697
-rect 453 417 511 423
-rect 453 383 465 417
-rect 499 383 511 417
-rect 453 377 511 383
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 478 576 478 576 1 Y
-port 1 n
-rlabel locali 370 543 404 577 1 B
-port 2 n
-rlabel locali 131 623 165 657 1 A
-port 3 n
-<< end >>
diff --git a/cells/OR2X2/definition.json b/cells/OR2X2/definition.json
deleted file mode 100644
index e6b5b39..0000000
--- a/cells/OR2X2/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input OR gate.",
- "equation": "Y = A | B",
- "file_prefix": "sky130_osu_sc__OR2X2",
- "library": "sky130_osu_sc",
- "name": "OR2X2",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__OR2X2"
-}
diff --git a/cells/OR2X4/OR2X4.gds b/cells/OR2X4/OR2X4.gds
deleted file mode 100644
index 1f0315a..0000000
--- a/cells/OR2X4/OR2X4.gds
+++ /dev/null
Binary files differ
diff --git a/cells/OR2X4/OR2X4.mag b/cells/OR2X4/OR2X4.mag
deleted file mode 100644
index dcdee9b..0000000
--- a/cells/OR2X4/OR2X4.mag
+++ /dev/null
@@ -1,315 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 2074 2709
-<< psdm >>
-rect 0 0 814 86
-<< nsdm >>
-rect 0 1354 814 1440
-<< npc >>
-rect 46 443 488 677
-<< nwell >>
-rect -9 689 814 1449
-<< nmos >>
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 252 725 282 1325
-rect 338 725 368 1325
-rect 424 725 454 1325
-rect 510 725 540 1325
-rect 596 725 626 1325
-rect 682 725 712 1325
-<< ndiff >>
-rect 199 267 252 315
-rect 199 131 207 267
-rect 241 131 252 267
-rect 199 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 765 315
-rect 712 131 723 267
-rect 757 131 765 267
-rect 712 115 765 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 1254 252 1325
-rect 196 778 207 1254
-rect 241 778 252 1254
-rect 196 725 252 778
-rect 282 1309 338 1325
-rect 282 765 293 1309
-rect 327 765 338 1309
-rect 282 725 338 765
-rect 368 1309 424 1325
-rect 368 765 379 1309
-rect 413 765 424 1309
-rect 368 725 424 765
-rect 454 1309 510 1325
-rect 454 765 465 1309
-rect 499 765 510 1309
-rect 454 725 510 765
-rect 540 1309 596 1325
-rect 540 765 551 1309
-rect 585 765 596 1309
-rect 540 725 596 765
-rect 626 1309 682 1325
-rect 626 765 637 1309
-rect 671 765 682 1309
-rect 626 725 682 765
-rect 712 1309 765 1325
-rect 712 765 723 1309
-rect 757 765 765 1309
-rect 712 725 765 765
-<< ndiffc >>
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 207 778 241 1254
-rect 293 765 327 1309
-rect 379 765 413 1309
-rect 465 765 499 1309
-rect 551 765 585 1309
-rect 637 765 671 1309
-rect 723 765 757 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-rect 571 1379 595 1413
-rect 629 1379 653 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-rect 595 1379 629 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 252 1325 282 1351
-rect 338 1325 368 1351
-rect 424 1325 454 1351
-rect 510 1325 540 1351
-rect 596 1325 626 1351
-rect 682 1325 712 1351
-rect 80 593 110 725
-rect 166 693 196 725
-rect 252 693 282 725
-rect 166 663 282 693
-rect 166 657 220 663
-rect 166 623 176 657
-rect 210 623 220 657
-rect 166 607 220 623
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 89 110 527
-rect 190 477 220 607
-rect 338 593 368 725
-rect 314 577 368 593
-rect 314 543 324 577
-rect 358 543 368 577
-rect 314 527 368 543
-rect 190 447 282 477
-rect 252 315 282 447
-rect 338 315 368 527
-rect 424 693 454 725
-rect 510 693 540 725
-rect 596 693 626 725
-rect 682 693 712 725
-rect 424 663 712 693
-rect 424 513 454 663
-rect 424 497 478 513
-rect 424 463 434 497
-rect 468 477 478 497
-rect 468 463 712 477
-rect 424 447 712 463
-rect 424 315 454 447
-rect 510 315 540 447
-rect 596 315 626 447
-rect 682 315 712 447
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 176 623 210 657
-rect 66 543 100 577
-rect 324 543 358 577
-rect 434 463 468 497
-<< locali >>
-rect 0 1413 814 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 595 1413
-rect 629 1379 814 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 327 1343
-rect 121 749 155 765
-rect 207 1254 241 1270
-rect 207 737 241 778
-rect 293 749 327 765
-rect 379 1309 413 1379
-rect 379 749 413 765
-rect 465 1309 499 1325
-rect 465 737 499 765
-rect 551 1309 585 1379
-rect 551 749 585 765
-rect 637 1309 671 1325
-rect 637 737 671 765
-rect 723 1309 757 1379
-rect 723 749 757 765
-rect 165 623 176 657
-rect 210 623 226 657
-rect 50 543 66 577
-rect 100 543 324 577
-rect 358 543 370 577
-rect 327 463 434 497
-rect 468 463 484 497
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 463
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 383
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 383
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
-<< viali >>
-rect 207 703 241 737
-rect 465 703 499 737
-rect 637 703 671 737
-rect 131 623 165 657
-rect 370 543 404 577
-rect 293 463 327 497
-rect 465 383 499 417
-rect 637 383 671 417
-<< metal1 >>
-rect 0 1379 814 1440
-rect 195 737 253 743
-rect 453 737 511 743
-rect 625 737 683 743
-rect 195 703 207 737
-rect 241 703 327 737
-rect 195 697 253 703
-rect 117 657 175 673
-rect 117 623 131 657
-rect 165 623 175 657
-rect 117 611 175 623
-rect 293 503 327 703
-rect 453 703 465 737
-rect 499 703 637 737
-rect 671 703 683 737
-rect 453 697 511 703
-rect 625 697 683 703
-rect 360 577 418 590
-rect 360 543 370 577
-rect 404 543 418 577
-rect 360 529 418 543
-rect 281 497 339 503
-rect 281 463 293 497
-rect 327 463 339 497
-rect 281 457 338 463
-rect 465 423 499 697
-rect 637 423 671 697
-rect 453 417 511 423
-rect 625 417 683 423
-rect 453 383 465 417
-rect 499 383 637 417
-rect 671 383 683 417
-rect 453 377 511 383
-rect 625 377 683 383
-rect 0 0 814 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 478 576 478 576 1 Y
-port 1 n
-rlabel locali 370 543 404 577 1 B
-port 2 n
-rlabel locali 131 623 165 657 1 A
-port 3 n
-<< end >>
diff --git a/cells/OR2X4/definition.json b/cells/OR2X4/definition.json
deleted file mode 100644
index 5e49023..0000000
--- a/cells/OR2X4/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input OR gate.",
- "equation": "Y = A | B",
- "file_prefix": "sky130_osu_sc__OR2X4",
- "library": "sky130_osu_sc",
- "name": "OR2X4",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__OR2X4"
-}
diff --git a/cells/OR2XL/OR2XL.gds b/cells/OR2XL/OR2XL.gds
deleted file mode 100644
index b2bcc77..0000000
--- a/cells/OR2XL/OR2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/OR2XL/OR2XL.mag b/cells/OR2XL/OR2XL.mag
deleted file mode 100644
index 3bffb0b..0000000
--- a/cells/OR2XL/OR2XL.mag
+++ /dev/null
@@ -1,185 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1634 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 46 363 316 597
-<< nwell >>
-rect -9 689 374 1449
-<< nmos >>
-rect 80 115 110 243
-rect 166 115 196 243
-rect 252 115 282 243
-<< pmos >>
-rect 80 725 110 1325
-rect 152 725 182 1325
-rect 250 995 280 1325
-<< ndiff >>
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 243
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 252 243
-rect 196 131 207 199
-rect 241 131 252 199
-rect 196 115 252 131
-rect 282 199 335 243
-rect 282 131 293 199
-rect 327 131 335 199
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 1037 35 1309
-rect 69 1037 80 1309
-rect 27 725 80 1037
-rect 110 725 152 1325
-rect 182 1309 250 1325
-rect 182 765 193 1309
-rect 227 995 250 1309
-rect 280 1309 333 1325
-rect 280 1037 291 1309
-rect 325 1037 333 1309
-rect 280 995 333 1037
-rect 227 765 235 995
-rect 182 725 235 765
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-rect 293 131 327 199
-<< pdiffc >>
-rect 35 1037 69 1309
-rect 193 765 227 1309
-rect 291 1037 325 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 152 1325 182 1351
-rect 250 1325 280 1351
-rect 80 593 110 725
-rect 56 577 110 593
-rect 56 543 66 577
-rect 100 543 110 577
-rect 56 527 110 543
-rect 80 243 110 527
-rect 152 513 182 725
-rect 152 497 206 513
-rect 152 463 162 497
-rect 196 463 206 497
-rect 152 447 206 463
-rect 166 243 196 447
-rect 250 433 280 995
-rect 250 417 306 433
-rect 252 383 262 417
-rect 296 383 306 417
-rect 252 367 306 383
-rect 252 243 282 367
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 543 100 577
-rect 162 463 196 497
-rect 262 383 296 417
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 737 69 1037
-rect 193 1309 227 1379
-rect 193 749 227 765
-rect 291 1309 325 1325
-rect 291 737 325 1037
-rect 100 543 116 577
-rect 146 463 162 497
-rect 155 383 262 417
-rect 296 383 312 417
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 383
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 293 199 327 303
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 35 703 69 737
-rect 291 703 325 737
-rect 33 543 66 577
-rect 66 543 67 577
-rect 195 463 196 497
-rect 196 463 229 497
-rect 121 383 155 417
-rect 293 303 327 337
-<< metal1 >>
-rect 0 1379 374 1440
-rect 23 737 81 743
-rect 279 737 337 743
-rect 23 703 35 737
-rect 69 703 155 737
-rect 23 697 81 703
-rect 26 577 73 598
-rect 26 543 33 577
-rect 67 543 73 577
-rect 26 516 73 543
-rect 121 423 155 703
-rect 279 703 291 737
-rect 325 703 337 737
-rect 279 697 337 703
-rect 188 497 235 526
-rect 188 463 195 497
-rect 229 463 235 497
-rect 188 445 235 463
-rect 109 417 167 423
-rect 109 383 121 417
-rect 155 383 167 417
-rect 109 377 167 383
-rect 293 343 327 697
-rect 281 337 339 343
-rect 281 303 293 337
-rect 327 303 339 337
-rect 281 297 339 303
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 306 576 306 576 1 Y
-port 1 n
-rlabel metal1 33 543 67 577 1 A
-port 2 n
-rlabel npc 195 463 229 497 1 B
-port 3 n
-<< end >>
diff --git a/cells/OR2XL/definition.json b/cells/OR2XL/definition.json
deleted file mode 100644
index 12fe0ed..0000000
--- a/cells/OR2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input OR gate.",
- "equation": "Y = A | B",
- "file_prefix": "sky130_osu_sc__OR2XL",
- "library": "sky130_osu_sc",
- "name": "OR2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__OR2XL"
-}
diff --git a/cells/TBUFXL/TBUFXL.gds b/cells/TBUFXL/TBUFXL.gds
deleted file mode 100644
index d89bcbf..0000000
--- a/cells/TBUFXL/TBUFXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/TBUFXL/TBUFXL.mag b/cells/TBUFXL/TBUFXL.mag
deleted file mode 100644
index e53c119..0000000
--- a/cells/TBUFXL/TBUFXL.mag
+++ /dev/null
@@ -1,180 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591907010
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 30 443 302 677
-<< nwell >>
-rect -9 689 375 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 321 315
-rect 268 131 279 267
-rect 313 131 321 267
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 725 238 1325
-rect 268 1309 321 1325
-rect 268 765 279 1309
-rect 313 765 321 1309
-rect 268 725 321 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 313 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 279 765 313 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 80 693 110 725
-rect 70 663 110 693
-rect 70 593 100 663
-rect 40 577 100 593
-rect 40 543 50 577
-rect 84 543 100 577
-rect 40 527 100 543
-rect 70 364 100 527
-rect 166 513 196 725
-rect 142 497 196 513
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 238 673 268 725
-rect 238 657 292 673
-rect 238 623 248 657
-rect 282 623 292 657
-rect 238 607 292 623
-rect 70 334 196 364
-rect 80 315 110 334
-rect 166 315 196 334
-rect 238 315 268 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 50 543 84 577
-rect 152 463 186 497
-rect 248 623 282 657
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 279 1309 313 1325
-rect 279 737 313 765
-rect 219 623 248 657
-rect 282 623 298 657
-rect 34 543 50 577
-rect 84 543 113 577
-rect 69 463 152 497
-rect 186 463 202 497
-rect 35 267 69 463
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 313 383
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 35 703 69 737
-rect 279 703 313 737
-rect 185 623 219 657
-rect 113 543 147 577
-rect 35 463 69 497
-rect 279 383 313 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 267 737 325 743
-rect 267 703 279 737
-rect 313 703 325 737
-rect 267 697 325 703
-rect 35 503 69 697
-rect 158 657 231 663
-rect 158 623 185 657
-rect 219 623 231 657
-rect 158 617 231 623
-rect 101 577 174 583
-rect 101 543 113 577
-rect 147 543 174 577
-rect 101 537 174 543
-rect 23 497 81 503
-rect 23 463 35 497
-rect 69 463 81 497
-rect 23 457 81 463
-rect 279 423 313 697
-rect 267 417 325 423
-rect 267 383 279 417
-rect 313 383 325 417
-rect 267 377 325 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 292 576 292 576 1 Y
-port 1 n
-rlabel locali 185 623 219 657 1 A
-port 2 n
-rlabel locali 113 543 147 577 1 OE
-port 3 n
-<< end >>
diff --git a/cells/TBUFXL/definition.json b/cells/TBUFXL/definition.json
deleted file mode 100644
index d71e017..0000000
--- a/cells/TBUFXL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "Active high tri-state buffer.",
- "equation": "Y = A",
- "file_prefix": "sky130_osu_sc__TBUFXL",
- "library": "sky130_osu_sc",
- "name": "TBUFXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "OE",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__TBUFXL"
-}
diff --git a/cells/TIEHI/TIEHI.gds b/cells/TIEHI/TIEHI.gds
deleted file mode 100644
index fd00f9f..0000000
--- a/cells/TIEHI/TIEHI.gds
+++ /dev/null
Binary files differ
diff --git a/cells/TIEHI/TIEHI.mag b/cells/TIEHI/TIEHI.mag
deleted file mode 100644
index 639aa6b..0000000
--- a/cells/TIEHI/TIEHI.mag
+++ /dev/null
@@ -1,97 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 70 363 144 437
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 725 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 163 1325
-rect 110 765 121 1309
-rect 155 765 163 1309
-rect 110 725 163 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 433 110 725
-rect 80 417 134 433
-rect 80 383 90 417
-rect 124 383 134 417
-rect 80 367 134 383
-rect 80 315 110 367
-rect 80 89 110 115
-<< polycont >>
-rect 90 383 124 417
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 737 155 765
-rect 74 383 90 417
-rect 124 383 155 417
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 703 155 737
-<< metal1 >>
-rect 0 1379 198 1440
-rect 94 737 167 743
-rect 94 703 121 737
-rect 155 703 167 737
-rect 94 697 167 703
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 138 720 138 720 1 Y
-port 1 n
-<< end >>
diff --git a/cells/TIEHI/definition.json b/cells/TIEHI/definition.json
deleted file mode 100644
index d8d750f..0000000
--- a/cells/TIEHI/definition.json
+++ /dev/null
@@ -1,30 +0,0 @@
-{
- "description": "TIEHI gate.",
- "equation": "Y = 1",
- "file_prefix": "sky130_osu_sc__TIEHI",
- "library": "sky130_osu_sc",
- "name": "TIEHI",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__TIEHI"
-}
diff --git a/cells/TIELO/TIELO.gds b/cells/TIELO/TIELO.gds
deleted file mode 100644
index df7b76a..0000000
--- a/cells/TIELO/TIELO.gds
+++ /dev/null
Binary files differ
diff --git a/cells/TIELO/TIELO.mag b/cells/TIELO/TIELO.mag
deleted file mode 100644
index 6e8707f..0000000
--- a/cells/TIELO/TIELO.mag
+++ /dev/null
@@ -1,97 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1459 2709
-<< psdm >>
-rect 0 0 198 86
-<< nsdm >>
-rect 0 1354 198 1440
-<< npc >>
-rect 70 603 144 677
-<< nwell >>
-rect -9 689 199 1449
-<< nmos >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 725 110 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 163 1325
-rect 110 765 121 1309
-rect 155 765 163 1309
-rect 110 725 163 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 80 673 110 725
-rect 80 657 134 673
-rect 80 623 90 657
-rect 124 623 134 657
-rect 80 607 134 623
-rect 80 315 110 607
-rect 80 89 110 115
-<< polycont >>
-rect 90 623 124 657
-<< locali >>
-rect 0 1413 198 1440
-rect 0 1379 51 1413
-rect 85 1379 198 1413
-rect 35 1309 69 1379
-rect 35 749 69 765
-rect 121 1309 155 1325
-rect 121 657 155 765
-rect 74 623 90 657
-rect 124 623 155 657
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 303
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 303 155 337
-<< metal1 >>
-rect 0 1379 198 1440
-rect 94 337 167 343
-rect 94 303 121 337
-rect 155 303 167 337
-rect 94 297 167 303
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 138 320 138 320 1 Y
-port 1 n
-<< end >>
diff --git a/cells/TIELO/definition.json b/cells/TIELO/definition.json
deleted file mode 100644
index de1b477..0000000
--- a/cells/TIELO/definition.json
+++ /dev/null
@@ -1,30 +0,0 @@
-{
- "description": "TIELO gate.",
- "equation": "Y = 0",
- "file_prefix": "sky130_osu_sc__TIELO",
- "library": "sky130_osu_sc",
- "name": "TIELO",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__TIELO"
-}
diff --git a/cells/TNBUFXL/TNBUFXL.gds b/cells/TNBUFXL/TNBUFXL.gds
deleted file mode 100644
index eac0ebe..0000000
--- a/cells/TNBUFXL/TNBUFXL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/TNBUFXL/TNBUFXL.mag b/cells/TNBUFXL/TNBUFXL.mag
deleted file mode 100644
index cb5395e..0000000
--- a/cells/TNBUFXL/TNBUFXL.mag
+++ /dev/null
@@ -1,182 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906017
-<< checkpaint >>
-rect -1304 -1260 1635 2709
-<< psdm >>
-rect 0 0 374 86
-<< nsdm >>
-rect 0 1354 374 1440
-<< npc >>
-rect 30 443 302 677
-<< nwell >>
-rect -9 689 375 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 321 315
-rect 268 131 279 267
-rect 313 131 321 267
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 725 238 1325
-rect 268 1309 321 1325
-rect 268 765 279 1309
-rect 313 765 321 1309
-rect 268 725 321 765
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 313 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 279 765 313 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 70 663 196 693
-rect 238 673 268 725
-rect 70 593 100 663
-rect 40 577 100 593
-rect 40 543 50 577
-rect 84 543 100 577
-rect 40 527 100 543
-rect 70 360 100 527
-rect 238 657 292 673
-rect 238 623 248 657
-rect 282 623 292 657
-rect 238 607 292 623
-rect 142 497 196 513
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 70 330 110 360
-rect 80 315 110 330
-rect 166 315 196 447
-rect 238 315 268 607
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 50 543 84 577
-rect 248 623 282 657
-rect 152 463 186 497
-<< locali >>
-rect 0 1413 374 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 374 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 279 1309 313 1325
-rect 279 737 313 765
-rect 216 623 248 657
-rect 282 623 298 657
-rect 34 543 50 577
-rect 84 543 113 577
-rect 69 463 152 497
-rect 186 463 202 497
-rect 35 267 69 463
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 313 383
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 35 703 69 737
-rect 279 703 313 737
-rect 182 623 216 657
-rect 113 543 147 577
-rect 35 463 69 497
-rect 279 383 313 417
-<< metal1 >>
-rect 0 1379 374 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 267 737 325 743
-rect 267 703 279 737
-rect 313 703 325 737
-rect 267 697 325 703
-rect 35 503 69 697
-rect 155 657 228 663
-rect 155 623 182 657
-rect 216 623 228 657
-rect 155 617 228 623
-rect 101 577 174 583
-rect 101 543 113 577
-rect 147 543 174 577
-rect 101 537 174 543
-rect 23 497 81 503
-rect 23 463 35 497
-rect 69 463 81 497
-rect 23 457 81 463
-rect 279 423 313 697
-rect 267 417 325 423
-rect 267 383 279 417
-rect 313 383 325 417
-rect 267 377 325 383
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 292 576 292 576 1 Y
-port 1 n
-rlabel locali 113 543 147 577 1 OE
-port 2 n
-rlabel locali 182 623 216 657 1 A
-port 3 n
-<< end >>
diff --git a/cells/TNBUFXL/definition.json b/cells/TNBUFXL/definition.json
deleted file mode 100644
index 54f6aad..0000000
--- a/cells/TNBUFXL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "Active high tri-state inverting buffer.",
- "equation": "Y = !A",
- "file_prefix": "sky130_osu_sc__TNBUFXL",
- "library": "sky130_osu_sc",
- "name": "TNBUFXL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "OE",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__TNBUFXL"
-}
diff --git a/cells/XNOR2XL/XNOR2XL.gds b/cells/XNOR2XL/XNOR2XL.gds
deleted file mode 100644
index 76ed366..0000000
--- a/cells/XNOR2XL/XNOR2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/XNOR2XL/XNOR2XL.mag b/cells/XNOR2XL/XNOR2XL.mag
deleted file mode 100644
index c3db7cf..0000000
--- a/cells/XNOR2XL/XNOR2XL.mag
+++ /dev/null
@@ -1,295 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906018
-<< checkpaint >>
-rect -1304 -1260 1898 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 29 363 596 678
-<< nwell >>
-rect -9 689 638 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 358 315
-rect 268 131 279 267
-rect 347 131 358 267
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 267 516 315
-rect 460 131 471 267
-rect 505 131 516 267
-rect 460 115 516 131
-rect 546 267 599 315
-rect 546 131 557 267
-rect 591 131 599 267
-rect 546 115 599 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 765 279 1309
-rect 347 765 358 1309
-rect 268 725 358 765
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 765 471 1309
-rect 505 765 516 1309
-rect 460 725 516 765
-rect 546 1309 599 1325
-rect 546 766 557 1309
-rect 591 766 599 1309
-rect 546 725 599 766
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 347 267
-rect 471 131 505 267
-rect 557 131 591 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 279 765 347 1309
-rect 471 765 505 1309
-rect 557 766 591 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 80 693 110 725
-rect 70 663 110 693
-rect 70 593 100 663
-rect 40 577 100 593
-rect 40 543 50 577
-rect 84 543 100 577
-rect 40 527 100 543
-rect 70 360 100 527
-rect 166 513 196 725
-rect 142 497 196 513
-rect 142 463 152 497
-rect 186 463 196 497
-rect 142 447 196 463
-rect 238 433 268 725
-rect 358 679 388 725
-rect 334 657 388 679
-rect 334 623 344 657
-rect 378 623 388 657
-rect 334 607 388 623
-rect 238 417 292 433
-rect 238 383 248 417
-rect 282 383 292 417
-rect 238 367 292 383
-rect 70 330 196 360
-rect 80 315 110 330
-rect 166 315 196 330
-rect 238 315 268 367
-rect 358 315 388 607
-rect 430 593 460 725
-rect 516 693 546 725
-rect 516 673 556 693
-rect 516 663 586 673
-rect 526 657 586 663
-rect 526 623 542 657
-rect 576 623 586 657
-rect 526 607 586 623
-rect 430 577 484 593
-rect 430 543 440 577
-rect 474 543 484 577
-rect 430 527 484 543
-rect 430 442 484 458
-rect 430 408 440 442
-rect 474 408 484 442
-rect 430 392 484 408
-rect 430 315 460 392
-rect 526 360 556 607
-rect 516 330 556 360
-rect 516 315 546 330
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 50 543 84 577
-rect 152 463 186 497
-rect 344 623 378 657
-rect 248 383 282 417
-rect 542 623 576 657
-rect 440 543 474 577
-rect 440 408 474 442
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 279 1309 347 1325
-rect 279 737 347 765
-rect 471 1309 505 1379
-rect 471 749 505 765
-rect 557 1309 591 1325
-rect 279 732 296 737
-rect 330 732 347 737
-rect 557 737 591 766
-rect 35 623 344 657
-rect 378 623 542 657
-rect 576 623 592 657
-rect 34 543 50 577
-rect 84 543 440 577
-rect 474 543 490 577
-rect 69 463 152 497
-rect 186 463 591 497
-rect 35 267 69 463
-rect 440 442 474 463
-rect 232 383 248 417
-rect 282 383 298 417
-rect 440 392 474 408
-rect 248 351 298 383
-rect 557 351 591 383
-rect 248 317 591 351
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 347 283
-rect 279 115 347 131
-rect 471 267 505 283
-rect 471 61 505 131
-rect 557 267 591 317
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 557 703 591 737
-rect 542 623 576 657
-rect 50 543 84 577
-rect 35 463 69 497
-rect 557 383 591 417
-rect 296 223 330 257
-<< metal1 >>
-rect 0 1379 638 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 725 81 737
-rect 284 737 342 743
-rect 69 703 196 725
-rect 23 697 196 703
-rect 284 703 296 737
-rect 330 703 342 737
-rect 545 737 603 743
-rect 545 725 557 737
-rect 284 697 342 703
-rect 440 703 557 725
-rect 591 703 603 737
-rect 440 697 603 703
-rect 38 577 111 583
-rect 38 543 50 577
-rect 84 543 111 577
-rect 38 537 111 543
-rect 23 497 81 503
-rect 162 497 196 697
-rect 23 463 35 497
-rect 69 463 196 497
-rect 23 457 81 463
-rect 296 263 330 697
-rect 440 445 474 697
-rect 515 657 588 663
-rect 515 623 542 657
-rect 576 623 588 657
-rect 515 617 588 623
-rect 440 423 591 445
-rect 440 417 603 423
-rect 545 383 557 417
-rect 591 383 603 417
-rect 545 377 603 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 313 517 313 517 1 Y
-port 1 n
-rlabel locali 67 560 67 560 1 A
-port 2 n
-rlabel locali 559 640 559 640 1 B
-port 3 n
-<< end >>
diff --git a/cells/XNOR2XL/definition.json b/cells/XNOR2XL/definition.json
deleted file mode 100644
index 10155eb..0000000
--- a/cells/XNOR2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input inverting XOR gate.",
- "equation": "Y = !(A ^ B)",
- "file_prefix": "sky130_osu_sc__XNOR2XL",
- "library": "sky130_osu_sc",
- "name": "XNOR2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__XNOR2XL"
-}
diff --git a/cells/XOR2XL/XOR2XL.gds b/cells/XOR2XL/XOR2XL.gds
deleted file mode 100644
index f6fba97..0000000
--- a/cells/XOR2XL/XOR2XL.gds
+++ /dev/null
Binary files differ
diff --git a/cells/XOR2XL/XOR2XL.mag b/cells/XOR2XL/XOR2XL.mag
deleted file mode 100644
index 607b927..0000000
--- a/cells/XOR2XL/XOR2XL.mag
+++ /dev/null
@@ -1,299 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1591906018
-<< checkpaint >>
-rect -1304 -1260 1898 2709
-<< psdm >>
-rect 0 0 638 86
-<< nsdm >>
-rect 0 1354 638 1440
-<< npc >>
-rect 30 363 596 677
-<< nwell >>
-rect -9 689 638 1449
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-<< pmos >>
-rect 80 725 110 1325
-rect 166 725 196 1325
-rect 238 725 268 1325
-rect 358 725 388 1325
-rect 430 725 460 1325
-rect 516 725 546 1325
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 358 315
-rect 268 131 279 267
-rect 347 131 358 267
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 267 516 315
-rect 460 131 471 267
-rect 505 131 516 267
-rect 460 115 516 131
-rect 546 267 599 315
-rect 546 131 557 267
-rect 591 131 599 267
-rect 546 115 599 131
-<< pdiff >>
-rect 27 1309 80 1325
-rect 27 765 35 1309
-rect 69 765 80 1309
-rect 27 725 80 765
-rect 110 1309 166 1325
-rect 110 765 121 1309
-rect 155 765 166 1309
-rect 110 725 166 765
-rect 196 725 238 1325
-rect 268 1309 358 1325
-rect 268 765 279 1309
-rect 347 765 358 1309
-rect 268 725 358 765
-rect 388 725 430 1325
-rect 460 1309 516 1325
-rect 460 765 471 1309
-rect 505 765 516 1309
-rect 460 725 516 765
-rect 546 1309 599 1325
-rect 546 766 557 1309
-rect 591 766 599 1309
-rect 546 725 599 766
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 347 267
-rect 471 131 505 267
-rect 557 131 591 267
-<< pdiffc >>
-rect 35 765 69 1309
-rect 121 765 155 1309
-rect 279 765 347 1309
-rect 471 765 505 1309
-rect 557 766 591 1309
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1379 51 1413
-rect 85 1379 109 1413
-rect 163 1379 187 1413
-rect 221 1379 245 1413
-rect 299 1379 323 1413
-rect 357 1379 381 1413
-rect 435 1379 459 1413
-rect 493 1379 517 1413
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1379 85 1413
-rect 187 1379 221 1413
-rect 323 1379 357 1413
-rect 459 1379 493 1413
-<< poly >>
-rect 80 1325 110 1351
-rect 166 1325 196 1351
-rect 238 1325 268 1351
-rect 358 1325 388 1351
-rect 430 1325 460 1351
-rect 516 1325 546 1351
-rect 80 693 110 725
-rect 166 693 196 725
-rect 70 663 196 693
-rect 70 513 100 663
-rect 142 577 196 593
-rect 142 543 152 577
-rect 186 543 196 577
-rect 142 527 196 543
-rect 40 497 100 513
-rect 40 463 50 497
-rect 84 463 100 497
-rect 40 447 100 463
-rect 70 360 100 447
-rect 70 335 110 360
-rect 80 315 110 335
-rect 166 315 196 527
-rect 238 433 268 725
-rect 358 679 388 725
-rect 334 657 388 679
-rect 334 623 344 657
-rect 378 623 388 657
-rect 334 607 388 623
-rect 238 417 292 433
-rect 238 383 248 417
-rect 282 383 292 417
-rect 238 367 292 383
-rect 238 315 268 367
-rect 358 315 388 607
-rect 430 593 460 725
-rect 516 693 546 725
-rect 516 673 556 693
-rect 516 663 586 673
-rect 526 657 586 663
-rect 526 623 542 657
-rect 576 623 586 657
-rect 526 607 586 623
-rect 430 577 484 593
-rect 430 543 440 577
-rect 474 543 484 577
-rect 430 527 484 543
-rect 430 445 484 461
-rect 430 411 440 445
-rect 474 411 484 445
-rect 430 395 484 411
-rect 430 315 460 395
-rect 526 360 556 607
-rect 516 335 556 360
-rect 516 315 546 335
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 152 543 186 577
-rect 50 463 84 497
-rect 344 623 378 657
-rect 248 383 282 417
-rect 542 623 576 657
-rect 440 543 474 577
-rect 440 411 474 445
-<< locali >>
-rect 0 1413 638 1440
-rect 0 1379 51 1413
-rect 85 1379 187 1413
-rect 221 1379 323 1413
-rect 357 1379 459 1413
-rect 493 1379 638 1413
-rect 35 1309 69 1325
-rect 35 737 69 765
-rect 121 1309 155 1379
-rect 121 749 155 765
-rect 279 1309 347 1325
-rect 279 737 347 765
-rect 471 1309 505 1379
-rect 471 749 505 765
-rect 557 1309 591 1325
-rect 279 732 296 737
-rect 330 732 347 737
-rect 557 737 591 766
-rect 35 623 344 657
-rect 378 623 542 657
-rect 576 623 592 657
-rect 69 543 152 577
-rect 186 543 440 577
-rect 474 543 490 577
-rect 34 463 50 497
-rect 84 463 591 497
-rect 440 445 474 463
-rect 232 383 248 417
-rect 282 383 298 417
-rect 440 395 474 411
-rect 35 267 69 383
-rect 248 351 298 383
-rect 557 351 591 383
-rect 248 317 591 351
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 347 283
-rect 279 115 347 131
-rect 471 267 505 283
-rect 471 61 505 131
-rect 557 267 591 317
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 35 703 69 737
-rect 296 703 330 737
-rect 557 703 591 737
-rect 542 623 576 657
-rect 35 543 69 577
-rect 50 463 84 497
-rect 35 383 69 417
-rect 557 383 591 417
-rect 296 223 330 257
-<< metal1 >>
-rect 0 1379 638 1440
-rect 23 737 81 743
-rect 23 703 35 737
-rect 69 703 81 737
-rect 23 697 81 703
-rect 284 737 342 743
-rect 545 737 603 743
-rect 284 703 296 737
-rect 330 703 342 737
-rect 284 697 342 703
-rect 430 703 557 737
-rect 591 703 603 737
-rect 430 697 603 703
-rect 35 583 69 697
-rect 23 577 81 583
-rect 23 543 35 577
-rect 69 543 196 577
-rect 23 537 196 543
-rect 38 497 111 503
-rect 38 463 50 497
-rect 84 463 111 497
-rect 38 457 111 463
-rect 162 423 196 537
-rect 23 417 196 423
-rect 23 383 35 417
-rect 69 383 196 417
-rect 23 377 81 383
-rect 296 263 330 697
-rect 430 457 464 697
-rect 515 657 588 663
-rect 515 623 542 657
-rect 576 623 588 657
-rect 515 617 588 623
-rect 430 423 591 457
-rect 430 417 603 423
-rect 545 383 557 417
-rect 591 383 603 417
-rect 545 377 603 383
-rect 284 257 342 263
-rect 284 223 296 257
-rect 330 223 342 257
-rect 284 217 342 223
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1396 68 1396 1 vdd
-rlabel metal1 68 1396 68 1396 1 vdd
-rlabel metal1 313 517 313 517 1 Y
-port 1 n
-rlabel locali 67 480 67 480 1 A
-port 2 n
-rlabel locali 559 640 559 640 1 B
-port 3 n
-<< end >>
diff --git a/cells/XOR2XL/definition.json b/cells/XOR2XL/definition.json
deleted file mode 100644
index 2010b37..0000000
--- a/cells/XOR2XL/definition.json
+++ /dev/null
@@ -1,42 +0,0 @@
-{
- "description": "2-input XOR gate.",
- "equation": "Y = A ^ B",
- "file_prefix": "sky130_osu_sc__XOR2XL",
- "library": "sky130_osu_sc",
- "name": "XOR2XL",
- "parameters": [],
- "ports": [
-  [
-   "signal",
-   "A",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "B",
-   "input",
-   ""
-  ],
-  [
-   "signal",
-   "Y",
-   "output",
-   ""
-  ],
-  [
-   "power",
-   "VDD",
-   "input",
-   "supply1"
-  ],
-  [
-   "power",
-   "GND",
-   "input",
-   "supply0"
-  ]
- ],
- "type": "cell",
- "verilog_name": "sky130_osu_sc__XOR2XL"
-}
diff --git a/gds/sky130_osu_sc.gds b/gds/sky130_osu_sc.gds
new file mode 100644
index 0000000..53b486e
--- /dev/null
+++ b/gds/sky130_osu_sc.gds
Binary files differ
diff --git a/lef/sky130_osu_sc.lef b/lef/sky130_osu_sc.lef
new file mode 100644
index 0000000..6206120
--- /dev/null
+++ b/lef/sky130_osu_sc.lef
@@ -0,0 +1,6455 @@
+VERSION 5.6 ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+MACRO ADDFX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ADDFX1 0 0 ;
+  SIZE 7.04 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.535146 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.535146 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.64375 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.64375 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.32 2.285 0.71 2.515 ;
+      LAYER li1 ;
+        RECT 0.4 1.915 6.835 2.085 ;
+        RECT 2.33 2.315 2.66 2.485 ;
+        RECT 2.41 1.915 2.58 2.485 ;
+        RECT 0.32 2.315 0.65 2.485 ;
+        RECT 0.4 1.915 0.57 2.485 ;
+      LAYER mcon ;
+        RECT 0.4 2.315 0.57 2.485 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.531031 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.531031 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.637917 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.637917 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.32 3.085 0.71 3.315 ;
+      LAYER li1 ;
+        RECT 3.215 2.715 6.835 2.885 ;
+        RECT 3.215 2.715 3.39 3.29 ;
+        RECT 0.32 3.115 3.39 3.285 ;
+        RECT 1.66 2.715 1.995 2.885 ;
+        RECT 1.74 2.715 1.91 3.285 ;
+      LAYER mcon ;
+        RECT 0.4 3.115 0.57 3.285 ;
+    END
+  END B
+  PIN CI
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.760722 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.634667 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 1.371333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.803333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.096333 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 2.77 2.285 3.06 2.515 ;
+        RECT 1.93 2.315 3.06 2.485 ;
+        RECT 1.93 2.285 2.22 2.515 ;
+        RECT 0.32 2.685 0.71 2.915 ;
+      LAYER li1 ;
+        RECT 2.83 2.315 6.835 2.485 ;
+        RECT 0.82 2.315 2.16 2.485 ;
+        RECT 0.32 2.715 0.99 2.885 ;
+        RECT 0.82 2.315 0.99 2.885 ;
+      LAYER mcon ;
+        RECT 0.4 2.715 0.57 2.885 ;
+        RECT 1.99 2.315 2.16 2.485 ;
+        RECT 2.83 2.315 3 2.485 ;
+    END
+  END CI
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 7.04 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 7.04 0.305 ;
+        RECT 6.235 0 6.405 1.075 ;
+        RECT 5.225 0 5.395 1.075 ;
+        RECT 3.115 0 3.285 1.075 ;
+        RECT 2.255 0 2.425 1.415 ;
+        RECT 0.605 0 0.775 1.075 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 7.04 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 7.04 7.2 ;
+        RECT 6.235 5.105 6.405 7.2 ;
+        RECT 5.225 4.085 5.395 7.2 ;
+        RECT 3.115 4.425 3.285 7.2 ;
+        RECT 2.255 4.085 2.425 7.2 ;
+        RECT 0.605 4.425 0.775 7.2 ;
+    END
+  END vdd
+  PIN CO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 6.605 3.885 6.895 4.115 ;
+        RECT 6.605 1.085 6.895 1.315 ;
+        RECT 6.665 1.085 6.835 4.115 ;
+      LAYER li1 ;
+        RECT 6.665 0.575 6.835 1.285 ;
+        RECT 6.665 3.915 6.835 6.625 ;
+      LAYER mcon ;
+        RECT 6.665 3.915 6.835 4.085 ;
+        RECT 6.665 1.115 6.835 1.285 ;
+    END
+  END CO
+  PIN S
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.655 3.885 5.945 4.115 ;
+        RECT 5.655 1.085 5.945 1.315 ;
+        RECT 5.655 1.085 5.825 4.115 ;
+      LAYER li1 ;
+        RECT 5.715 0.575 5.885 1.285 ;
+        RECT 5.715 3.915 5.885 6.625 ;
+      LAYER mcon ;
+        RECT 5.715 3.915 5.885 4.085 ;
+        RECT 5.715 1.115 5.885 1.285 ;
+    END
+  END S
+  OBS
+    LAYER met1 ;
+      RECT 6.005 3.085 6.295 3.315 ;
+      RECT 6.06 1.485 6.235 3.315 ;
+      RECT 6 1.485 6.29 1.715 ;
+      RECT 3.915 3.88 4.205 4.11 ;
+      RECT 3.975 1.485 4.145 4.11 ;
+      RECT 3.915 1.485 4.205 1.715 ;
+      RECT 1.405 3.885 1.695 4.115 ;
+      RECT 1.465 1.485 1.635 4.115 ;
+      RECT 1.405 1.485 1.695 1.715 ;
+    LAYER mcon ;
+      RECT 6.065 3.115 6.235 3.285 ;
+      RECT 6.06 1.515 6.23 1.685 ;
+      RECT 3.975 1.515 4.145 1.685 ;
+      RECT 3.975 3.91 4.145 4.08 ;
+      RECT 1.465 1.515 1.635 1.685 ;
+      RECT 1.465 3.915 1.635 4.085 ;
+    LAYER li1 ;
+      RECT 1.465 3.515 1.635 6.625 ;
+      RECT 1.465 3.515 6.665 3.685 ;
+      RECT 6.495 3.115 6.665 3.685 ;
+      RECT 3.76 3.115 3.93 3.685 ;
+      RECT 6.415 3.115 6.745 3.285 ;
+      RECT 3.68 3.115 4.015 3.285 ;
+      RECT 3.975 1.515 6.23 1.685 ;
+      RECT 3.975 0.57 4.145 1.685 ;
+      RECT 2.685 1.26 3.715 1.43 ;
+      RECT 3.545 0.57 3.715 1.43 ;
+      RECT 2.685 0.57 2.855 1.43 ;
+      RECT 3.545 3.91 3.715 6.625 ;
+      RECT 2.685 3.91 2.855 6.625 ;
+      RECT 2.685 3.91 3.715 4.08 ;
+      RECT 0.175 1.26 1.205 1.43 ;
+      RECT 1.035 0.57 1.205 1.43 ;
+      RECT 0.175 0.575 0.345 1.43 ;
+      RECT 1.035 3.915 1.205 6.625 ;
+      RECT 0.175 3.915 0.345 6.625 ;
+      RECT 0.175 3.915 1.205 4.085 ;
+      RECT 5.905 3.115 6.235 3.285 ;
+      RECT 3.975 3.91 4.145 6.625 ;
+      RECT 1.465 0.57 1.635 1.685 ;
+  END
+END ADDFX1
+
+MACRO ADDFXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ADDFXL 0 0 ;
+  SIZE 7.04 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.467854 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.467854 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.564583 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.564583 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.32 2.285 0.71 2.515 ;
+      LAYER li1 ;
+        RECT 0.4 1.915 5.885 2.085 ;
+        RECT 2.33 2.315 2.66 2.485 ;
+        RECT 2.41 1.915 2.58 2.485 ;
+        RECT 0.32 2.315 0.65 2.485 ;
+        RECT 0.4 1.915 0.57 2.485 ;
+      LAYER mcon ;
+        RECT 0.4 2.315 0.57 2.485 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.46374 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.46374 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.55875 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.55875 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.32 3.085 0.71 3.315 ;
+      LAYER li1 ;
+        RECT 3.215 2.715 5.885 2.885 ;
+        RECT 3.215 2.715 3.39 3.29 ;
+        RECT 0.32 3.115 3.39 3.285 ;
+        RECT 1.66 2.715 1.995 2.885 ;
+        RECT 1.74 2.715 1.91 3.285 ;
+      LAYER mcon ;
+        RECT 0.4 3.115 0.57 3.285 ;
+    END
+  END B
+  PIN CI
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.760722 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.634667 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 1.371333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.803333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.096333 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 2.77 2.285 3.06 2.515 ;
+        RECT 1.93 2.315 3.06 2.485 ;
+        RECT 1.93 2.285 2.22 2.515 ;
+        RECT 0.32 2.685 0.71 2.915 ;
+      LAYER li1 ;
+        RECT 2.83 2.315 5.885 2.485 ;
+        RECT 0.82 2.315 2.16 2.485 ;
+        RECT 0.32 2.715 0.99 2.885 ;
+        RECT 0.82 2.315 0.99 2.885 ;
+      LAYER mcon ;
+        RECT 0.4 2.715 0.57 2.885 ;
+        RECT 1.99 2.315 2.16 2.485 ;
+        RECT 2.83 2.315 3 2.485 ;
+    END
+  END CI
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 7.04 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 7.04 0.305 ;
+        RECT 6.235 0 6.405 1.075 ;
+        RECT 5.225 0 5.395 1.075 ;
+        RECT 3.115 0 3.285 1.075 ;
+        RECT 2.255 0 2.425 1.415 ;
+        RECT 0.605 0 0.775 1.075 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 7.04 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 7.04 7.2 ;
+        RECT 6.235 5.105 6.405 7.2 ;
+        RECT 5.225 4.085 5.395 7.2 ;
+        RECT 3.115 4.425 3.285 7.2 ;
+        RECT 2.255 4.085 2.425 7.2 ;
+        RECT 0.605 4.425 0.775 7.2 ;
+    END
+  END vdd
+  PIN CO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 6.605 3.885 6.895 4.115 ;
+        RECT 6.605 1.085 6.895 1.315 ;
+        RECT 6.665 1.085 6.835 4.115 ;
+      LAYER li1 ;
+        RECT 6.665 0.575 6.835 1.285 ;
+        RECT 6.665 3.915 6.835 6.625 ;
+      LAYER mcon ;
+        RECT 6.665 3.915 6.835 4.085 ;
+        RECT 6.665 1.115 6.835 1.285 ;
+    END
+  END CO
+  PIN S
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.655 3.885 5.945 4.115 ;
+        RECT 5.655 1.085 5.945 1.315 ;
+        RECT 5.715 1.085 5.885 4.115 ;
+      LAYER li1 ;
+        RECT 5.715 0.575 5.885 1.285 ;
+        RECT 5.715 3.915 5.885 6.625 ;
+      LAYER mcon ;
+        RECT 5.715 3.915 5.885 4.085 ;
+        RECT 5.715 1.115 5.885 1.285 ;
+    END
+  END S
+  OBS
+    LAYER met1 ;
+      RECT 6.03 3.085 6.32 3.315 ;
+      RECT 6.085 1.485 6.26 3.315 ;
+      RECT 6.025 1.485 6.315 1.715 ;
+      RECT 3.915 3.88 4.205 4.11 ;
+      RECT 3.975 1.485 4.145 4.11 ;
+      RECT 3.915 1.485 4.205 1.715 ;
+      RECT 1.405 3.885 1.695 4.115 ;
+      RECT 1.465 1.485 1.635 4.115 ;
+      RECT 1.405 1.485 1.695 1.715 ;
+    LAYER mcon ;
+      RECT 6.09 3.115 6.26 3.285 ;
+      RECT 6.085 1.515 6.255 1.685 ;
+      RECT 3.975 1.515 4.145 1.685 ;
+      RECT 3.975 3.91 4.145 4.08 ;
+      RECT 1.465 1.515 1.635 1.685 ;
+      RECT 1.465 3.915 1.635 4.085 ;
+    LAYER li1 ;
+      RECT 1.465 3.515 1.635 6.625 ;
+      RECT 1.465 3.515 6.745 3.685 ;
+      RECT 3.76 3.115 3.93 3.685 ;
+      RECT 3.68 3.115 4.015 3.285 ;
+      RECT 3.975 1.515 6.255 1.685 ;
+      RECT 3.975 0.57 4.145 1.685 ;
+      RECT 2.685 1.26 3.715 1.43 ;
+      RECT 3.545 0.57 3.715 1.43 ;
+      RECT 2.685 0.57 2.855 1.43 ;
+      RECT 3.545 3.91 3.715 6.625 ;
+      RECT 2.685 3.91 2.855 6.625 ;
+      RECT 2.685 3.91 3.715 4.08 ;
+      RECT 0.175 1.26 1.205 1.43 ;
+      RECT 1.035 0.57 1.205 1.43 ;
+      RECT 0.175 0.575 0.345 1.43 ;
+      RECT 1.035 3.915 1.205 6.625 ;
+      RECT 0.175 3.915 0.345 6.625 ;
+      RECT 0.175 3.915 1.205 4.085 ;
+      RECT 5.905 3.115 6.26 3.285 ;
+      RECT 3.975 3.91 4.145 6.625 ;
+      RECT 1.465 0.57 1.635 1.685 ;
+  END
+END ADDFXL
+
+MACRO ADDHX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ADDHX1 0 0 ;
+  SIZE 4.18 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.442 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.442 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.548333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.548333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 3.645 3.085 4.01 3.315 ;
+      LAYER li1 ;
+        RECT 2.265 3.115 3.94 3.285 ;
+        RECT 2.265 2.715 2.435 3.285 ;
+        RECT 1.22 2.715 2.435 2.885 ;
+      LAYER mcon ;
+        RECT 3.77 3.115 3.94 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.51 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.51 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.628333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.628333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 3.645 2.685 4.01 2.915 ;
+      LAYER li1 ;
+        RECT 2.87 2.715 3.94 2.885 ;
+        RECT 2.87 2.315 3.04 2.885 ;
+        RECT 0.74 2.315 3.04 2.485 ;
+      LAYER mcon ;
+        RECT 3.77 2.715 3.94 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 4.18 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 4.18 0.305 ;
+        RECT 2.475 0 2.645 1.415 ;
+        RECT 0.665 0 0.835 1.415 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 4.18 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 4.18 7.2 ;
+        RECT 2.965 4.085 3.135 7.2 ;
+        RECT 1.525 4.085 1.695 7.2 ;
+        RECT 0.665 4.085 0.835 7.2 ;
+    END
+  END vdd
+  PIN CO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.955 3.885 2.245 4.115 ;
+        RECT 1.925 1.885 2.215 2.115 ;
+        RECT 1.985 1.885 2.155 4.115 ;
+      LAYER li1 ;
+        RECT 2.015 3.915 2.185 6.625 ;
+        RECT 1.985 0.575 2.155 2.085 ;
+      LAYER mcon ;
+        RECT 1.985 1.915 2.155 2.085 ;
+        RECT 2.015 3.915 2.185 4.085 ;
+    END
+  END CO
+  PIN S
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.115 3.885 0.405 4.115 ;
+        RECT 0.115 1.885 0.405 2.115 ;
+        RECT 0.175 1.885 0.345 4.115 ;
+      LAYER li1 ;
+        RECT 0.175 0.575 0.345 2.085 ;
+        RECT 0.175 3.915 0.345 6.625 ;
+      LAYER mcon ;
+        RECT 0.175 3.915 0.345 4.085 ;
+        RECT 0.175 1.915 0.345 2.085 ;
+    END
+  END S
+  OBS
+    LAYER met1 ;
+      RECT 3.705 1.885 3.995 2.115 ;
+      RECT 2.845 1.885 3.135 2.115 ;
+      RECT 2.845 1.91 3.995 2.085 ;
+      RECT 3.695 3.885 3.985 4.115 ;
+      RECT 2.475 3.885 2.765 4.115 ;
+      RECT 2.475 3.915 3.985 4.085 ;
+      RECT 3.335 2.285 3.505 4.085 ;
+      RECT 3.275 2.285 3.565 2.515 ;
+      RECT 1.035 3.885 1.325 4.115 ;
+      RECT 1.095 3.085 1.265 4.115 ;
+      RECT 1.035 3.085 1.625 3.315 ;
+      RECT 1.455 1.885 1.625 3.315 ;
+      RECT 1.395 1.885 1.685 2.115 ;
+    LAYER mcon ;
+      RECT 3.765 1.915 3.935 2.085 ;
+      RECT 3.755 3.915 3.925 4.085 ;
+      RECT 3.335 2.315 3.505 2.485 ;
+      RECT 2.905 1.915 3.075 2.085 ;
+      RECT 2.535 3.915 2.705 4.085 ;
+      RECT 1.455 1.915 1.625 2.085 ;
+      RECT 1.095 3.115 1.265 3.285 ;
+      RECT 1.095 3.915 1.265 4.085 ;
+    LAYER li1 ;
+      RECT 2.535 3.515 2.705 6.625 ;
+      RECT 0.515 3.515 2.705 3.685 ;
+      RECT 0.515 3.115 0.685 3.685 ;
+      RECT 0.185 3.115 0.685 3.285 ;
+      RECT 3.765 0.575 3.935 2.085 ;
+      RECT 3.755 3.915 3.925 6.625 ;
+      RECT 3.335 0.575 3.505 2.485 ;
+      RECT 2.905 0.575 3.075 2.085 ;
+      RECT 1.095 3.115 2.05 3.285 ;
+      RECT 1.455 0.575 1.625 2.085 ;
+      RECT 1.095 3.915 1.265 6.625 ;
+  END
+END ADDHX1
+
+MACRO ADDHXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ADDHXL 0 0 ;
+  SIZE 4.18 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.442 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.442 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.548333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.548333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 3.645 3.085 4.01 3.315 ;
+      LAYER li1 ;
+        RECT 2.265 3.115 3.94 3.285 ;
+        RECT 2.265 2.715 2.435 3.285 ;
+        RECT 1.22 2.715 2.435 2.885 ;
+      LAYER mcon ;
+        RECT 3.77 3.115 3.94 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.51 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.51 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.628333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.628333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 3.645 2.685 4.01 2.915 ;
+      LAYER li1 ;
+        RECT 2.87 2.715 3.94 2.885 ;
+        RECT 2.87 2.315 3.04 2.885 ;
+        RECT 0.74 2.315 3.04 2.485 ;
+      LAYER mcon ;
+        RECT 3.77 2.715 3.94 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 4.18 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 4.18 0.305 ;
+        RECT 2.475 0 2.645 1.415 ;
+        RECT 0.665 0 0.835 1.415 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 4.18 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 4.18 7.2 ;
+        RECT 2.965 4.085 3.135 7.2 ;
+        RECT 1.525 4.085 1.695 7.2 ;
+        RECT 0.665 4.085 0.835 7.2 ;
+    END
+  END vdd
+  PIN CO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.955 3.885 2.245 4.115 ;
+        RECT 1.925 1.885 2.215 2.115 ;
+        RECT 1.985 1.885 2.155 4.115 ;
+      LAYER li1 ;
+        RECT 2.015 3.915 2.185 6.625 ;
+        RECT 1.985 0.575 2.155 2.085 ;
+      LAYER mcon ;
+        RECT 1.985 1.915 2.155 2.085 ;
+        RECT 2.015 3.915 2.185 4.085 ;
+    END
+  END CO
+  PIN S
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.115 3.885 0.405 4.115 ;
+        RECT 0.115 1.885 0.405 2.115 ;
+        RECT 0.175 1.885 0.345 4.115 ;
+      LAYER li1 ;
+        RECT 0.175 0.575 0.345 2.085 ;
+        RECT 0.175 3.915 0.345 6.625 ;
+      LAYER mcon ;
+        RECT 0.175 3.915 0.345 4.085 ;
+        RECT 0.175 1.915 0.345 2.085 ;
+    END
+  END S
+  OBS
+    LAYER met1 ;
+      RECT 3.705 1.885 3.995 2.115 ;
+      RECT 2.845 1.885 3.135 2.115 ;
+      RECT 2.845 1.91 3.995 2.085 ;
+      RECT 3.695 3.885 3.985 4.115 ;
+      RECT 2.475 3.885 2.765 4.115 ;
+      RECT 2.475 3.915 3.985 4.085 ;
+      RECT 3.335 2.285 3.505 4.085 ;
+      RECT 3.275 2.285 3.565 2.515 ;
+      RECT 1.035 3.885 1.325 4.115 ;
+      RECT 1.095 3.085 1.265 4.115 ;
+      RECT 1.035 3.085 1.625 3.315 ;
+      RECT 1.455 1.885 1.625 3.315 ;
+      RECT 1.395 1.885 1.685 2.115 ;
+    LAYER mcon ;
+      RECT 3.765 1.915 3.935 2.085 ;
+      RECT 3.755 3.915 3.925 4.085 ;
+      RECT 3.335 2.315 3.505 2.485 ;
+      RECT 2.905 1.915 3.075 2.085 ;
+      RECT 2.535 3.915 2.705 4.085 ;
+      RECT 1.455 1.915 1.625 2.085 ;
+      RECT 1.095 3.115 1.265 3.285 ;
+      RECT 1.095 3.915 1.265 4.085 ;
+    LAYER li1 ;
+      RECT 2.535 3.515 2.705 6.625 ;
+      RECT 0.185 3.515 2.705 3.685 ;
+      RECT 3.765 0.575 3.935 2.085 ;
+      RECT 3.755 3.915 3.925 6.625 ;
+      RECT 3.335 0.575 3.505 2.485 ;
+      RECT 2.905 0.575 3.075 2.085 ;
+      RECT 1.095 3.115 2.05 3.285 ;
+      RECT 1.455 0.575 1.625 2.085 ;
+      RECT 1.095 3.915 1.265 6.625 ;
+  END
+END ADDHXL
+
+MACRO AND2X1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND2X1 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 0.965 0 1.135 2.01 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 1.455 0.575 1.625 2.085 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.395 1.885 1.685 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+      LAYER mcon ;
+        RECT 1.455 1.915 1.625 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.915 0.775 3.715 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.775 2.085 ;
+    LAYER mcon ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.615 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+  END
+END AND2X1
+
+MACRO AND2X2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND2X2 0 0 ;
+  SIZE 2.31 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.31 0.305 ;
+        RECT 1.885 0 2.055 1.415 ;
+        RECT 0.965 0 1.135 2.01 ;
+      LAYER met1 ;
+        RECT 0 0 2.31 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.31 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.31 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 1.455 0.575 1.625 2.085 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.395 1.885 1.685 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 1.455 1.885 1.635 2.315 ;
+      LAYER mcon ;
+        RECT 1.455 1.915 1.625 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.915 0.775 3.715 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.775 2.085 ;
+    LAYER mcon ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.615 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+  END
+END AND2X2
+
+MACRO AND2X4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND2X4 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.745 0 2.915 1.415 ;
+        RECT 1.885 0 2.055 1.415 ;
+        RECT 0.965 0 1.135 2.01 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.255 1.885 2.545 2.115 ;
+        RECT 2.325 1.885 2.495 3.715 ;
+        RECT 1.405 3.515 2.555 3.685 ;
+        RECT 1.395 1.915 2.545 2.085 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.395 1.885 1.685 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 1.455 1.885 1.635 2.315 ;
+      LAYER li1 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+        RECT 2.315 0.575 2.485 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 1.455 0.575 1.625 2.085 ;
+      LAYER mcon ;
+        RECT 1.455 1.915 1.625 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 2.315 1.915 2.485 2.085 ;
+        RECT 2.325 3.515 2.495 3.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.915 0.775 3.715 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.775 2.085 ;
+    LAYER mcon ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.615 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+  END
+END AND2X4
+
+MACRO AND2X8
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND2X8 0 0 ;
+  SIZE 4.84 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.84 0.305 ;
+        RECT 4.465 0 4.635 1.415 ;
+        RECT 3.605 0 3.775 1.415 ;
+        RECT 2.745 0 2.915 1.415 ;
+        RECT 1.885 0 2.055 1.415 ;
+        RECT 0.965 0 1.135 2.01 ;
+      LAYER met1 ;
+        RECT 0 0 4.84 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.84 7.2 ;
+        RECT 4.475 3.745 4.645 7.2 ;
+        RECT 3.615 3.745 3.785 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.84 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 4.48 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 4.045 3.515 4.215 6.625 ;
+        RECT 4.035 0.575 4.205 2.085 ;
+        RECT 3.185 3.515 3.355 6.625 ;
+        RECT 3.175 0.575 3.345 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+        RECT 2.315 0.575 2.485 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 1.455 0.575 1.625 2.085 ;
+      LAYER met1 ;
+        RECT 3.985 3.485 4.275 3.715 ;
+        RECT 3.975 1.885 4.265 2.115 ;
+        RECT 4.045 1.885 4.215 3.715 ;
+        RECT 1.405 3.515 4.275 3.685 ;
+        RECT 1.395 1.915 4.265 2.085 ;
+        RECT 3.125 3.485 3.415 3.715 ;
+        RECT 3.115 1.885 3.405 2.115 ;
+        RECT 3.185 1.885 3.355 3.715 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.255 1.885 2.545 2.115 ;
+        RECT 2.325 1.885 2.495 3.715 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.395 1.885 1.685 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 1.455 1.885 1.635 2.315 ;
+      LAYER mcon ;
+        RECT 1.455 1.915 1.625 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 2.315 1.915 2.485 2.085 ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 3.175 1.915 3.345 2.085 ;
+        RECT 3.185 3.515 3.355 3.685 ;
+        RECT 4.035 1.915 4.205 2.085 ;
+        RECT 4.045 3.515 4.215 3.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.915 0.775 3.715 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.775 2.085 ;
+    LAYER mcon ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.615 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+  END
+END AND2X8
+
+MACRO AND2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND2XL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 0.965 0 1.135 1.755 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.035 5.105 1.205 7.2 ;
+        RECT 0.175 5.105 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.4365 LAYER met1 ;
+      ANTENNAGATEAREA 0.4365 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.161627 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.161627 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.268041 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.268041 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.066208 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.4365 LAYER met1 ;
+      ANTENNAGATEAREA 0.4365 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.161627 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.161627 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.268041 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.268041 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.066208 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 1.455 0.575 1.625 2.085 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.395 1.885 1.685 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+      LAYER mcon ;
+        RECT 1.455 1.915 1.625 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 2.315 0.775 3.715 ;
+      RECT 0.115 2.285 0.405 2.515 ;
+      RECT 0.115 2.315 0.775 2.485 ;
+    LAYER mcon ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.175 2.315 0.345 2.485 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.55 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+  END
+END AND2XL
+
+MACRO AND3XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AND3XL 0 0 ;
+  SIZE 2.31 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.145936 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.145936 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.23379 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.23379 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 3.115 0.72 3.285 ;
+      LAYER met1 ;
+        RECT 0.5 3.03 0.79 3.355 ;
+      LAYER mcon ;
+        RECT 0.55 3.115 0.72 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.153699 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.153699 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.242922 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.242922 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.73 3.515 1.225 3.685 ;
+      LAYER met1 ;
+        RECT 1.025 3.44 1.315 3.765 ;
+      LAYER mcon ;
+        RECT 1.055 3.515 1.225 3.685 ;
+    END
+  END B
+  PIN C
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.155251 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.155251 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.244749 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.244749 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 1.21 3.115 1.54 3.285 ;
+        RECT 1.29 2.945 1.46 3.285 ;
+      LAYER met1 ;
+        RECT 1.21 2.86 1.54 3.21 ;
+      LAYER mcon ;
+        RECT 1.29 2.945 1.46 3.115 ;
+    END
+  END C
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0.005 2.31 0.305 ;
+        RECT 0 0 2.25 0.305 ;
+        RECT 1.325 0 1.5 1.075 ;
+        RECT 1.325 0 1.495 2.095 ;
+      LAYER met1 ;
+        RECT 0 0 2.31 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.31 7.2 ;
+        RECT 1.465 5.105 1.635 7.2 ;
+        RECT 0.605 5.105 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.31 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.42925 LAYER met1 ;
+    ANTENNADIFFAREA 0.53 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.119729 LAYER met1 ;
+      ANTENNAMAXAREACAR 1.751965 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 2.160116 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.175 2.315 1.975 2.485 ;
+        RECT 0.175 0.575 0.345 2.485 ;
+        RECT 1.035 3.915 1.205 6.625 ;
+        RECT 0.175 3.915 1.205 4.085 ;
+        RECT 0.175 3.515 0.345 6.625 ;
+      LAYER met1 ;
+        RECT 0.115 3.485 0.405 3.715 ;
+        RECT 0.115 1.885 0.405 2.115 ;
+        RECT 0.175 1.885 0.345 3.715 ;
+      LAYER mcon ;
+        RECT 0.175 3.515 0.345 3.685 ;
+        RECT 0.175 1.915 0.345 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 1.835 3.485 2.125 3.715 ;
+      RECT 1.895 1.885 2.065 3.715 ;
+      RECT 1.755 1.885 2.065 2.115 ;
+    LAYER mcon ;
+      RECT 1.895 3.515 2.065 3.685 ;
+      RECT 1.815 1.915 1.985 2.085 ;
+    LAYER li1 ;
+      RECT 1.895 3.515 2.065 6.625 ;
+      RECT 1.815 0.575 1.985 2.085 ;
+  END
+END AND3XL
+
+MACRO ANT
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ANT 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.325 LAYER met1 ;
+    ANTENNADIFFAREA 1.325 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 2.200002 LAYER met1 ;
+      ANTENNAMAXAREACAR 2.261 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 2.405393 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 2.716667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.175 3.085 0.54 3.315 ;
+      LAYER li1 ;
+        RECT 0.175 1.915 0.775 2.085 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.155 3.115 0.485 3.285 ;
+        RECT 0.175 0.575 0.345 6.625 ;
+      LAYER mcon ;
+        RECT 0.235 3.115 0.405 3.285 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+    END
+  END vdd
+END ANT
+
+MACRO ANTFILL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN ANTFILL 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 0.22 3.085 0.585 3.315 ;
+      LAYER li1 ;
+        RECT 0.175 1.915 0.775 2.085 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.155 3.115 0.53 3.285 ;
+        RECT 0.175 3.005 0.39 3.39 ;
+        RECT 0.175 0.575 0.345 6.625 ;
+      LAYER mcon ;
+        RECT 0.28 3.115 0.45 3.285 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+END ANTFILL
+
+MACRO AOI21XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN AOI21XL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.639 LAYER met1 ;
+      ANTENNAGATEAREA 0.639 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.045227 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.22 3.115 0.55 3.285 ;
+      LAYER met1 ;
+        RECT 0.175 3.085 0.55 3.315 ;
+      LAYER mcon ;
+        RECT 0.3 3.115 0.47 3.285 ;
+    END
+  END A0
+  PIN A1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.639 LAYER met1 ;
+      ANTENNAGATEAREA 0.639 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.045227 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.74 2.715 1.07 2.885 ;
+      LAYER met1 ;
+        RECT 0.695 2.685 1.07 2.915 ;
+      LAYER mcon ;
+        RECT 0.82 2.715 0.99 2.885 ;
+    END
+  END A1
+  PIN B0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.546 LAYER met1 ;
+      ANTENNAGATEAREA 0.546 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.217949 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.217949 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.318681 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.318681 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.05293 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995 2.315 1.695 2.485 ;
+      LAYER met1 ;
+        RECT 0.95 2.285 1.325 2.515 ;
+      LAYER mcon ;
+        RECT 1.075 2.315 1.245 2.485 ;
+    END
+  END B0
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.455 0 1.625 1.075 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 0.605 4 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.1769 LAYER met1 ;
+    ANTENNADIFFAREA 0.3819 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 3.915 1.635 6.625 ;
+        RECT 0.965 0.575 1.135 1.685 ;
+      LAYER met1 ;
+        RECT 1.405 3.885 1.695 4.115 ;
+        RECT 1.465 1.515 1.635 4.115 ;
+        RECT 0.905 1.515 1.635 1.685 ;
+        RECT 0.905 1.485 1.195 1.715 ;
+      LAYER mcon ;
+        RECT 0.965 1.515 1.135 1.685 ;
+        RECT 1.465 3.915 1.635 4.085 ;
+    END
+  END Y
+  OBS
+    LAYER li1 ;
+      RECT 1.035 3.515 1.205 6.625 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+      RECT 0.175 3.515 1.205 3.685 ;
+  END
+END AOI21XL
+
+MACRO BUFX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFX1 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFX1
+
+MACRO BUFX2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFX2 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFX2
+
+MACRO BUFX4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFX4 0 0 ;
+  SIZE 2.75 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.75 0.305 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.75 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.75 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.75 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 1.915 2.125 2.085 ;
+        RECT 0.975 3.515 2.125 3.685 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFX4
+
+MACRO BUFX6
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFX6 0 0 ;
+  SIZE 3.63 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 0.58 2.885 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 3.63 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 3.63 0.305 ;
+        RECT 3.185 0 3.355 1.415 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 3.63 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 3.63 7.2 ;
+        RECT 3.185 3.745 3.355 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 3.36 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.685 3.485 2.985 3.715 ;
+        RECT 2.695 1.885 2.985 2.115 ;
+        RECT 2.755 1.885 2.925 3.715 ;
+        RECT 0.975 1.915 2.985 2.085 ;
+        RECT 0.975 3.515 2.985 3.685 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER li1 ;
+        RECT 2.755 0.575 2.925 2.085 ;
+        RECT 2.755 3.515 2.925 6.625 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+        RECT 2.755 3.515 2.925 3.685 ;
+        RECT 2.755 1.915 2.925 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFX6
+
+MACRO BUFX8
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFX8 0 0 ;
+  SIZE 4.51 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.51 0.305 ;
+        RECT 4.085 0 4.255 1.415 ;
+        RECT 3.185 0 3.355 1.415 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 4.51 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.51 7.2 ;
+        RECT 4.085 3.745 4.255 7.2 ;
+        RECT 3.185 3.745 3.355 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.51 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 4.48 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 3.655 0.575 3.825 2.085 ;
+        RECT 3.655 3.515 3.825 6.625 ;
+        RECT 2.755 0.575 2.925 2.085 ;
+        RECT 2.755 3.515 2.925 6.625 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 3.585 3.485 3.885 3.715 ;
+        RECT 3.595 1.885 3.885 2.115 ;
+        RECT 3.655 1.885 3.825 3.715 ;
+        RECT 0.975 1.915 3.885 2.085 ;
+        RECT 0.975 3.515 3.885 3.685 ;
+        RECT 2.685 3.485 2.985 3.715 ;
+        RECT 2.695 1.885 2.985 2.115 ;
+        RECT 2.755 1.885 2.925 3.715 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+        RECT 2.755 3.515 2.925 3.685 ;
+        RECT 2.755 1.915 2.925 2.085 ;
+        RECT 3.655 3.515 3.825 3.685 ;
+        RECT 3.655 1.915 3.825 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFX8
+
+MACRO BUFXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN BUFXL 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.20786 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.20786 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.343523 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.343523 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.16 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.125 2.655 0.415 2.945 ;
+      LAYER mcon ;
+        RECT 0.16 2.715 0.33 2.885 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 0.605 0 0.775 1.075 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 0.605 5.105 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END BUFXL
+
+MACRO CLKBUFX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN CLKBUFX1 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END CLKBUFX1
+
+MACRO CLKINVX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN CLKINVX1 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.12325 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.12325 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.201667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.201667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.61 1.885 0.78 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END CLKINVX1
+
+MACRO CLKINVX2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN CLKINVX2 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.061625 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.061625 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.100833 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.100833 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END CLKINVX2
+
+MACRO CLKINVX4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN CLKINVX4 0 0 ;
+  SIZE 2.31 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.030813 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.030813 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.050417 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.050417 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.31 0.305 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.31 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.31 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.31 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.545 3.515 1.695 3.685 ;
+        RECT 0.545 1.915 1.695 2.085 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+    END
+  END Y
+END CLKINVX4
+
+MACRO DECAPX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DECAPX1 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.605 0 0.775 2.435 ;
+        RECT 0.175 0 0.345 2.435 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.605 4.765 0.775 7.2 ;
+        RECT 0.175 4.765 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+END DECAPX1
+
+MACRO DECAPXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DECAPXL 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.605 5.785 0.775 7.2 ;
+        RECT 0.175 5.785 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+END DECAPXL
+
+MACRO DFFNXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DFFNXL 0 0 ;
+  SIZE 7.26 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.14875 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.14875 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.231667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.231667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.68 2.315 1.205 2.485 ;
+      LAYER met1 ;
+        RECT 0.975 2.285 1.34 2.515 ;
+      LAYER mcon ;
+        RECT 1.035 2.315 1.205 2.485 ;
+    END
+  END D
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.71929 LAYER met1 ;
+      ANTENNAMAXAREACAR 2.125619 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.773732 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 2.599709 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 6.005 3.115 6.96 3.285 ;
+        RECT 6.005 3.115 6.175 6.625 ;
+        RECT 6.005 0.575 6.175 2.085 ;
+      LAYER met1 ;
+        RECT 6.395 3.085 6.685 3.315 ;
+        RECT 6.455 1.915 6.625 3.315 ;
+        RECT 5.945 1.915 6.625 2.065 ;
+        RECT 5.945 1.885 6.235 2.115 ;
+      LAYER mcon ;
+        RECT 6.005 1.915 6.175 2.085 ;
+        RECT 6.455 3.115 6.625 3.285 ;
+    END
+  END QN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 7.26 0.305 ;
+        RECT 6.435 0 6.605 1.075 ;
+        RECT 5.055 0 5.225 1.075 ;
+        RECT 4.105 0 4.275 1.075 ;
+        RECT 2.355 0 2.525 1.075 ;
+        RECT 0.605 0 0.775 1.075 ;
+      LAYER met1 ;
+        RECT 0 0 7.26 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 7.26 7.2 ;
+        RECT 6.435 5.105 6.605 7.2 ;
+        RECT 5.055 4.085 5.225 7.2 ;
+        RECT 4.105 4.085 4.275 7.2 ;
+        RECT 2.355 4.085 2.525 7.2 ;
+        RECT 0.605 4.085 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 7.26 7.2 ;
+    END
+  END vdd
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 6.865 0.575 7.035 2.085 ;
+        RECT 6.865 3.515 7.035 6.625 ;
+      LAYER met1 ;
+        RECT 6.805 3.485 7.095 3.715 ;
+        RECT 6.805 1.885 7.095 2.115 ;
+        RECT 6.865 1.885 7.035 3.715 ;
+      LAYER mcon ;
+        RECT 6.865 3.515 7.035 3.685 ;
+        RECT 6.865 1.915 7.035 2.085 ;
+    END
+  END Q
+  OBS
+    LAYER met1 ;
+      RECT 5.425 3.485 5.715 3.715 ;
+      RECT 5.485 1.085 5.655 3.715 ;
+      RECT 5.425 2.285 5.715 2.515 ;
+      RECT 5.425 1.085 5.715 1.315 ;
+      RECT 4.475 2.685 4.765 2.915 ;
+      RECT 4.535 1.085 4.705 2.915 ;
+      RECT 4.475 1.085 4.765 1.315 ;
+      RECT 3.17 3.485 3.46 3.715 ;
+      RECT 3.23 1.085 3.4 3.715 ;
+      RECT 3.17 1.085 3.46 1.315 ;
+      RECT 2.295 3.065 2.585 3.295 ;
+      RECT 2.355 1.885 2.525 3.295 ;
+      RECT 2.295 1.885 2.585 2.115 ;
+      RECT 1.83 2.685 2.12 2.915 ;
+      RECT 1.89 1.885 2.06 2.915 ;
+      RECT 1.83 1.885 2.12 2.115 ;
+      RECT 1.42 3.485 1.71 3.715 ;
+      RECT 1.48 1.085 1.65 3.715 ;
+      RECT 0.5 3.085 0.79 3.315 ;
+      RECT 0.5 3.115 1.65 3.285 ;
+      RECT 1.42 1.085 1.71 1.315 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.175 1.485 0.345 3.715 ;
+      RECT 0.115 1.485 0.405 1.715 ;
+      RECT 3.545 1.935 3.805 2.255 ;
+    LAYER mcon ;
+      RECT 5.485 1.115 5.655 1.285 ;
+      RECT 5.485 2.315 5.655 2.485 ;
+      RECT 5.485 3.515 5.655 3.685 ;
+      RECT 4.535 1.115 4.705 1.285 ;
+      RECT 4.535 2.715 4.705 2.885 ;
+      RECT 3.6 1.995 3.77 2.165 ;
+      RECT 3.23 1.115 3.4 1.285 ;
+      RECT 3.23 3.515 3.4 3.685 ;
+      RECT 2.355 1.915 2.525 2.085 ;
+      RECT 2.355 3.095 2.525 3.265 ;
+      RECT 1.89 1.915 2.06 2.085 ;
+      RECT 1.89 2.715 2.06 2.885 ;
+      RECT 1.48 1.115 1.65 1.285 ;
+      RECT 1.48 3.515 1.65 3.685 ;
+      RECT 0.56 3.115 0.73 3.285 ;
+      RECT 0.175 1.515 0.345 1.685 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 5.055 1.915 5.46 2.085 ;
+      RECT 5.055 1.515 5.225 2.085 ;
+      RECT 3.315 1.515 5.225 1.685 ;
+      RECT 3.315 0.575 3.485 1.685 ;
+      RECT 3.145 0.575 3.485 1.415 ;
+      RECT 3.54 1.915 3.77 2.165 ;
+      RECT 3.39 1.915 4.715 2.085 ;
+      RECT 4.535 2.715 4.705 6.625 ;
+      RECT 3.47 2.715 3.64 3.34 ;
+      RECT 1.24 2.715 1.41 3.34 ;
+      RECT 1.24 2.715 4.705 2.885 ;
+      RECT 2.99 1.835 3.16 2.885 ;
+      RECT 3.145 4.085 3.485 6.625 ;
+      RECT 3.23 3.515 3.4 6.625 ;
+      RECT 2.275 1.915 2.605 2.085 ;
+      RECT 2.355 1.515 2.525 2.085 ;
+      RECT 0.175 1.515 2.525 1.685 ;
+      RECT 0.175 0.575 0.345 1.685 ;
+      RECT 1.48 0.575 1.65 1.285 ;
+      RECT 1.395 0.575 1.735 1.075 ;
+      RECT 1.395 4.085 1.735 6.625 ;
+      RECT 1.48 3.515 1.65 6.625 ;
+      RECT 3.87 2.315 6.41 2.485 ;
+      RECT 5.485 0.575 5.655 1.415 ;
+      RECT 5.485 3.515 5.655 6.625 ;
+      RECT 4.535 0.575 4.705 1.285 ;
+      RECT 2.275 3.095 2.605 3.265 ;
+      RECT 1.635 1.915 2.06 2.085 ;
+      RECT 0.2 3.115 0.73 3.285 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END DFFNXL
+
+MACRO DFFRXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DFFRXL 0 0 ;
+  SIZE 8.69 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN CK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 0.15 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.152581 LAYER met1 ;
+      ANTENNAMAXAREACAR 1.024636 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 1.802061 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 1.226061 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.192667 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 4.495 3.085 4.86 3.315 ;
+        RECT 2.32 2.685 2.61 2.915 ;
+        RECT 2.32 1.885 2.61 2.115 ;
+        RECT 2.38 1.885 2.55 2.915 ;
+      LAYER li1 ;
+        RECT 1.095 2.715 8.445 2.885 ;
+        RECT 4.63 3.115 5.205 3.285 ;
+        RECT 4.955 2.715 5.125 3.285 ;
+        RECT 3.96 2.715 4.13 3.34 ;
+        RECT 3.48 1.835 3.65 2.885 ;
+        RECT 1.73 2.715 1.9 3.34 ;
+        RECT 2.125 1.915 2.55 2.085 ;
+      LAYER mcon ;
+        RECT 2.38 2.715 2.55 2.885 ;
+        RECT 2.38 1.915 2.55 2.085 ;
+        RECT 4.63 3.115 4.8 3.285 ;
+    END
+  END CK
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.14875 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.14875 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.231667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.231667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 1.465 2.285 1.83 2.515 ;
+      LAYER li1 ;
+        RECT 1.17 2.315 1.695 2.485 ;
+      LAYER mcon ;
+        RECT 1.525 2.315 1.695 2.485 ;
+    END
+  END D
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.643652 LAYER met1 ;
+      ANTENNAMAXAREACAR 2.125619 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.453385 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 2.599709 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 7.805 3.085 8.095 3.315 ;
+        RECT 7.865 1.515 8.035 3.315 ;
+        RECT 7.355 1.515 8.035 1.665 ;
+        RECT 7.355 1.485 7.645 1.715 ;
+      LAYER li1 ;
+        RECT 7.415 3.115 8.37 3.285 ;
+        RECT 7.415 3.115 7.585 6.625 ;
+        RECT 7.415 0.575 7.585 1.685 ;
+      LAYER mcon ;
+        RECT 7.415 1.515 7.585 1.685 ;
+        RECT 7.865 3.115 8.035 3.285 ;
+    END
+  END QN
+  PIN RN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.13175 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.13175 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.211667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.211667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 5.855 3.085 6.145 3.315 ;
+        RECT 5.915 0.8 6.085 3.315 ;
+      LAYER li1 ;
+        RECT 5.62 3.115 6.085 3.285 ;
+      LAYER mcon ;
+        RECT 5.915 3.115 6.085 3.285 ;
+    END
+  END RN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 8.69 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 8.69 0.305 ;
+        RECT 7.845 0 8.015 1.075 ;
+        RECT 6.895 0 7.065 1.075 ;
+        RECT 5.975 0 6.145 1.075 ;
+        RECT 4.595 0 4.765 1.075 ;
+        RECT 2.845 0 3.015 1.075 ;
+        RECT 1.035 0 1.205 1.075 ;
+        RECT 0.175 0 0.345 1.075 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 8.69 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 8.69 7.2 ;
+        RECT 7.845 5.105 8.015 7.2 ;
+        RECT 5.975 3.745 6.145 7.2 ;
+        RECT 4.595 4.085 4.765 7.2 ;
+        RECT 2.845 4.085 3.015 7.2 ;
+        RECT 1.095 3.745 1.265 7.2 ;
+    END
+  END vdd
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 8.215 3.485 8.505 3.715 ;
+        RECT 8.215 1.885 8.505 2.115 ;
+        RECT 8.275 1.885 8.445 3.715 ;
+      LAYER li1 ;
+        RECT 8.275 0.575 8.445 2.085 ;
+        RECT 8.275 3.515 8.445 6.625 ;
+      LAYER mcon ;
+        RECT 8.275 3.515 8.445 3.685 ;
+        RECT 8.275 1.915 8.445 2.085 ;
+    END
+  END Q
+  OBS
+    LAYER met1 ;
+      RECT 6.705 3.485 6.995 3.715 ;
+      RECT 6.765 2.315 6.935 3.715 ;
+      RECT 6.55 2.285 6.84 2.515 ;
+      RECT 0.235 3.915 6.54 4.085 ;
+      RECT 6.37 3.085 6.54 4.085 ;
+      RECT 5.545 1.085 5.715 4.085 ;
+      RECT 0.235 1.885 0.405 4.085 ;
+      RECT 5.485 3.485 5.775 3.715 ;
+      RECT 6.31 3.085 6.6 3.315 ;
+      RECT 0.175 1.885 0.465 2.115 ;
+      RECT 5.485 1.085 5.775 1.315 ;
+      RECT 4.965 3.485 5.255 3.715 ;
+      RECT 5.025 1.085 5.195 3.715 ;
+      RECT 4.965 1.885 5.255 2.115 ;
+      RECT 4.965 1.085 5.255 1.315 ;
+      RECT 3.66 3.485 3.95 3.715 ;
+      RECT 3.72 1.085 3.89 3.715 ;
+      RECT 3.66 1.085 3.95 1.315 ;
+      RECT 2.785 3.065 3.075 3.295 ;
+      RECT 2.845 1.885 3.015 3.295 ;
+      RECT 2.785 1.885 3.075 2.115 ;
+      RECT 1.91 3.485 2.2 3.715 ;
+      RECT 1.97 1.085 2.14 3.715 ;
+      RECT 0.99 3.085 1.28 3.315 ;
+      RECT 0.99 3.115 2.14 3.285 ;
+      RECT 1.91 1.085 2.2 1.315 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.485 0.775 3.715 ;
+      RECT 0.545 1.485 0.835 1.715 ;
+    LAYER mcon ;
+      RECT 6.765 3.515 6.935 3.685 ;
+      RECT 6.61 2.315 6.78 2.485 ;
+      RECT 6.37 3.115 6.54 3.285 ;
+      RECT 5.545 1.115 5.715 1.285 ;
+      RECT 5.545 3.515 5.715 3.685 ;
+      RECT 5.025 1.115 5.195 1.285 ;
+      RECT 5.025 1.915 5.195 2.085 ;
+      RECT 5.025 3.515 5.195 3.685 ;
+      RECT 3.72 1.115 3.89 1.285 ;
+      RECT 3.72 3.515 3.89 3.685 ;
+      RECT 2.845 1.915 3.015 2.085 ;
+      RECT 2.845 3.095 3.015 3.265 ;
+      RECT 1.97 1.115 2.14 1.285 ;
+      RECT 1.97 3.515 2.14 3.685 ;
+      RECT 1.05 3.115 1.22 3.285 ;
+      RECT 0.605 1.515 0.775 1.685 ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.235 1.915 0.405 2.085 ;
+    LAYER li1 ;
+      RECT 4.36 2.315 7.82 2.485 ;
+      RECT 6.61 1.515 6.78 2.485 ;
+      RECT 6.465 0.575 6.635 1.685 ;
+      RECT 5.94 1.915 6.44 2.085 ;
+      RECT 5.94 1.515 6.11 2.085 ;
+      RECT 3.805 1.515 6.11 1.685 ;
+      RECT 3.805 0.575 3.975 1.685 ;
+      RECT 3.635 0.575 3.975 1.415 ;
+      RECT 3.635 4.085 3.975 6.625 ;
+      RECT 3.72 3.515 3.89 6.625 ;
+      RECT 2.765 1.915 3.095 2.085 ;
+      RECT 2.845 1.515 3.015 2.085 ;
+      RECT 0.605 1.515 3.015 1.685 ;
+      RECT 0.605 0.575 0.775 1.685 ;
+      RECT 1.97 0.575 2.14 1.285 ;
+      RECT 1.885 0.575 2.225 1.075 ;
+      RECT 1.885 4.085 2.225 6.625 ;
+      RECT 1.97 3.515 2.14 6.625 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+      RECT 0.175 3.515 0.775 3.685 ;
+      RECT 0.235 1.915 0.58 2.085 ;
+      RECT 0.235 1.885 0.405 2.085 ;
+      RECT 6.765 3.515 6.935 6.625 ;
+      RECT 6.37 3.115 6.87 3.285 ;
+      RECT 5.545 0.575 5.715 1.285 ;
+      RECT 5.545 3.515 5.715 6.625 ;
+      RECT 5.025 0.575 5.195 1.285 ;
+      RECT 3.88 1.915 5.195 2.085 ;
+      RECT 5.025 3.515 5.195 6.625 ;
+      RECT 2.765 3.095 3.095 3.265 ;
+      RECT 0.73 3.115 1.22 3.285 ;
+  END
+END DFFRXL
+
+MACRO DFFSXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DFFSXL 0 0 ;
+  SIZE 8.14 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN CK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 0.15 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.104672 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.976727 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 1.745697 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 1.169697 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.192667 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 4.49 3.08 4.855 3.315 ;
+        RECT 2.32 2.685 2.61 2.915 ;
+        RECT 2.32 1.885 2.61 2.115 ;
+        RECT 2.38 1.885 2.55 2.915 ;
+      LAYER li1 ;
+        RECT 1.085 2.715 7.965 2.885 ;
+        RECT 4.625 3.115 5.205 3.285 ;
+        RECT 4.955 2.715 5.125 3.285 ;
+        RECT 3.96 2.715 4.13 3.34 ;
+        RECT 3.48 1.835 3.65 2.885 ;
+        RECT 1.73 2.715 1.9 3.34 ;
+        RECT 2.125 1.915 2.55 2.085 ;
+      LAYER mcon ;
+        RECT 2.38 2.715 2.55 2.885 ;
+        RECT 2.38 1.915 2.55 2.085 ;
+        RECT 4.625 3.115 4.795 3.285 ;
+    END
+  END CK
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.1275 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.1275 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.206667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.206667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.915 2.285 1.28 2.515 ;
+      LAYER li1 ;
+        RECT 1.05 2.315 1.5 2.485 ;
+      LAYER mcon ;
+        RECT 1.05 2.315 1.22 2.485 ;
+    END
+  END D
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.61745 LAYER met1 ;
+    ANTENNADIFFAREA 0.1802 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3495 LAYER met1 ;
+      ANTENNAGATEAREA 0.3495 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.689774 LAYER met1 ;
+      ANTENNAMAXAREACAR 2.089127 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.760449 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 2.555079 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.08269 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 7.325 3.085 7.615 3.315 ;
+        RECT 7.385 1.915 7.555 3.315 ;
+        RECT 6.875 1.915 7.555 2.065 ;
+        RECT 6.875 1.885 7.165 2.115 ;
+      LAYER li1 ;
+        RECT 6.935 3.115 7.89 3.285 ;
+        RECT 6.935 3.115 7.105 6.625 ;
+        RECT 6.935 0.575 7.105 2.085 ;
+      LAYER mcon ;
+        RECT 6.935 1.915 7.105 2.085 ;
+        RECT 7.385 3.115 7.555 3.285 ;
+    END
+  END QN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 8.14 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 8.14 0.305 ;
+        RECT 7.365 0 7.535 1.075 ;
+        RECT 5.615 0 5.785 1.075 ;
+        RECT 4.595 0 4.765 1.075 ;
+        RECT 2.845 0 3.015 1.075 ;
+        RECT 1.035 0 1.205 1.075 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 8.14 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 8.14 7.2 ;
+        RECT 7.365 5.105 7.535 7.2 ;
+        RECT 6.405 5.105 6.575 7.2 ;
+        RECT 5.545 5.105 5.715 7.2 ;
+        RECT 4.595 4.085 4.765 7.2 ;
+        RECT 2.845 4.085 3.015 7.2 ;
+        RECT 1.095 4.085 1.265 7.2 ;
+        RECT 0.175 5.105 0.345 7.2 ;
+    END
+  END vdd
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.61745 LAYER met1 ;
+    ANTENNADIFFAREA 0.1802 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 7.735 3.485 8.025 3.715 ;
+        RECT 7.735 1.885 8.025 2.115 ;
+        RECT 7.795 1.885 7.965 3.715 ;
+      LAYER li1 ;
+        RECT 7.795 0.575 7.965 2.085 ;
+        RECT 7.795 3.515 7.965 6.625 ;
+      LAYER mcon ;
+        RECT 7.795 3.515 7.965 3.685 ;
+        RECT 7.795 1.915 7.965 2.085 ;
+    END
+  END Q
+  OBS
+    LAYER met1 ;
+      RECT 5.915 3.485 6.205 3.715 ;
+      RECT 5.975 2.315 6.145 3.715 ;
+      RECT 6.345 2.285 6.635 2.515 ;
+      RECT 5.975 2.315 6.635 2.485 ;
+      RECT 6.335 3.885 6.625 4.115 ;
+      RECT 0.125 3.885 0.415 4.115 ;
+      RECT 0.125 3.915 6.625 4.085 ;
+      RECT 4.965 3.485 5.255 3.715 ;
+      RECT 5.025 1.085 5.195 3.715 ;
+      RECT 4.965 1.885 5.255 2.115 ;
+      RECT 4.965 1.085 5.255 1.315 ;
+      RECT 3.66 3.485 3.95 3.715 ;
+      RECT 3.72 1.085 3.89 3.715 ;
+      RECT 3.66 1.085 3.95 1.315 ;
+      RECT 2.785 3.065 3.075 3.295 ;
+      RECT 2.845 1.885 3.015 3.295 ;
+      RECT 2.785 1.885 3.075 2.115 ;
+      RECT 1.91 3.485 2.2 3.715 ;
+      RECT 1.97 1.085 2.14 3.715 ;
+      RECT 0.99 3.085 1.28 3.315 ;
+      RECT 0.99 3.115 2.14 3.285 ;
+      RECT 1.91 1.085 2.2 1.315 ;
+      RECT 0.545 3.485 0.835 3.715 ;
+      RECT 0.605 1.915 0.775 3.715 ;
+      RECT 0.185 1.915 0.775 2.115 ;
+      RECT 0.185 1.885 0.475 2.115 ;
+    LAYER mcon ;
+      RECT 6.405 2.315 6.575 2.485 ;
+      RECT 6.395 3.915 6.565 4.085 ;
+      RECT 5.975 3.515 6.145 3.685 ;
+      RECT 5.025 1.115 5.195 1.285 ;
+      RECT 5.025 1.915 5.195 2.085 ;
+      RECT 5.025 3.515 5.195 3.685 ;
+      RECT 3.72 1.115 3.89 1.285 ;
+      RECT 3.72 3.515 3.89 3.685 ;
+      RECT 2.845 1.915 3.015 2.085 ;
+      RECT 2.845 3.095 3.015 3.265 ;
+      RECT 1.97 1.115 2.14 1.285 ;
+      RECT 1.97 3.515 2.14 3.685 ;
+      RECT 1.05 3.115 1.22 3.285 ;
+      RECT 0.605 3.515 0.775 3.685 ;
+      RECT 0.245 1.915 0.415 2.085 ;
+      RECT 0.185 3.915 0.355 4.085 ;
+    LAYER li1 ;
+      RECT 4.36 2.315 7.34 2.485 ;
+      RECT 6.405 0.575 6.575 2.485 ;
+      RECT 5.6 1.975 6.02 2.145 ;
+      RECT 5.6 1.515 5.77 2.145 ;
+      RECT 3.805 1.515 5.77 1.685 ;
+      RECT 3.805 0.575 3.975 1.685 ;
+      RECT 3.635 0.575 3.975 1.415 ;
+      RECT 3.635 4.085 3.975 6.625 ;
+      RECT 3.72 3.515 3.89 6.625 ;
+      RECT 2.765 1.915 3.095 2.085 ;
+      RECT 0.245 0.575 0.415 2.085 ;
+      RECT 2.845 1.515 3.015 2.085 ;
+      RECT 0.245 1.515 3.015 1.685 ;
+      RECT 1.885 4.085 2.225 6.625 ;
+      RECT 1.97 3.515 2.14 6.625 ;
+      RECT 6.315 3.915 6.645 4.085 ;
+      RECT 5.975 3.515 6.145 6.625 ;
+      RECT 5.025 0.575 5.195 1.335 ;
+      RECT 3.88 1.915 5.195 2.085 ;
+      RECT 5.025 3.515 5.195 6.625 ;
+      RECT 2.765 3.095 3.095 3.265 ;
+      RECT 1.885 0.575 2.225 1.33 ;
+      RECT 0.73 3.115 1.22 3.285 ;
+      RECT 0.605 3.515 0.775 6.625 ;
+      RECT 0.105 3.915 0.435 4.085 ;
+  END
+END DFFSXL
+
+MACRO DFFXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DFFXL 0 0 ;
+  SIZE 7.26 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN CK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 0.15 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.118066 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.990121 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 1.761455 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 1.185455 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.192667 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 4.89 3.055 5.18 3.345 ;
+        RECT 1.83 2.685 2.12 2.915 ;
+        RECT 1.83 1.885 2.12 2.115 ;
+        RECT 1.89 1.885 2.06 2.915 ;
+      LAYER li1 ;
+        RECT 0.17 2.715 7.035 2.885 ;
+        RECT 4.385 3.115 5.11 3.285 ;
+        RECT 4.465 2.715 4.635 3.285 ;
+        RECT 3.47 2.715 3.64 3.34 ;
+        RECT 2.99 1.835 3.16 2.885 ;
+        RECT 1.24 2.715 1.41 3.34 ;
+        RECT 1.635 1.915 2.06 2.085 ;
+      LAYER mcon ;
+        RECT 1.89 2.715 2.06 2.885 ;
+        RECT 1.89 1.915 2.06 2.085 ;
+        RECT 4.94 3.115 5.11 3.285 ;
+    END
+  END CK
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.145917 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.145917 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.228333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.228333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 0.965 2.285 1.33 2.515 ;
+      LAYER li1 ;
+        RECT 0.68 2.315 1.195 2.485 ;
+      LAYER mcon ;
+        RECT 1.025 2.315 1.195 2.485 ;
+    END
+  END D
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 1.71929 LAYER met1 ;
+      ANTENNAMAXAREACAR 2.125619 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.773732 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 2.599709 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER met1 ;
+        RECT 6.395 3.085 6.685 3.315 ;
+        RECT 6.455 1.915 6.625 3.315 ;
+        RECT 5.945 1.915 6.625 2.065 ;
+        RECT 5.945 1.885 6.235 2.115 ;
+      LAYER li1 ;
+        RECT 6.005 3.115 6.96 3.285 ;
+        RECT 6.005 3.115 6.175 6.625 ;
+        RECT 6.005 0.575 6.175 2.085 ;
+      LAYER mcon ;
+        RECT 6.005 1.915 6.175 2.085 ;
+        RECT 6.455 3.115 6.625 3.285 ;
+    END
+  END QN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 7.26 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 7.26 0.305 ;
+        RECT 6.435 0 6.605 1.075 ;
+        RECT 5.055 0 5.225 1.075 ;
+        RECT 4.105 0 4.275 1.075 ;
+        RECT 2.355 0 2.525 1.075 ;
+        RECT 0.605 0 0.775 1.075 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 7.26 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 7.26 7.2 ;
+        RECT 6.435 5.105 6.605 7.2 ;
+        RECT 5.055 4.085 5.225 7.2 ;
+        RECT 4.105 4.085 4.275 7.2 ;
+        RECT 2.355 4.085 2.525 7.2 ;
+        RECT 0.605 4.085 0.775 7.2 ;
+    END
+  END vdd
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 6.805 3.485 7.095 3.715 ;
+        RECT 6.805 1.885 7.095 2.115 ;
+        RECT 6.865 1.885 7.035 3.715 ;
+      LAYER li1 ;
+        RECT 6.865 0.575 7.035 2.085 ;
+        RECT 6.865 3.515 7.035 6.625 ;
+      LAYER mcon ;
+        RECT 6.865 3.515 7.035 3.685 ;
+        RECT 6.865 1.915 7.035 2.085 ;
+    END
+  END Q
+  OBS
+    LAYER met1 ;
+      RECT 5.425 3.485 5.715 3.715 ;
+      RECT 5.485 1.085 5.655 3.715 ;
+      RECT 5.425 2.285 5.715 2.515 ;
+      RECT 5.425 1.085 5.715 1.315 ;
+      RECT 4.475 3.485 4.765 3.715 ;
+      RECT 4.535 1.085 4.705 3.715 ;
+      RECT 4.475 1.885 4.765 2.115 ;
+      RECT 4.475 1.085 4.765 1.315 ;
+      RECT 3.17 3.485 3.46 3.715 ;
+      RECT 3.23 1.085 3.4 3.715 ;
+      RECT 3.17 1.085 3.46 1.315 ;
+      RECT 2.295 3.065 2.585 3.295 ;
+      RECT 2.355 1.885 2.525 3.295 ;
+      RECT 2.295 1.885 2.585 2.115 ;
+      RECT 1.42 3.485 1.71 3.715 ;
+      RECT 1.48 1.085 1.65 3.715 ;
+      RECT 0.5 3.085 0.79 3.315 ;
+      RECT 0.5 3.115 1.65 3.285 ;
+      RECT 1.42 1.085 1.71 1.315 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.175 1.485 0.345 3.715 ;
+      RECT 0.115 1.485 0.405 1.715 ;
+    LAYER mcon ;
+      RECT 5.485 1.115 5.655 1.285 ;
+      RECT 5.485 2.315 5.655 2.485 ;
+      RECT 5.485 3.515 5.655 3.685 ;
+      RECT 4.535 1.115 4.705 1.285 ;
+      RECT 4.535 1.915 4.705 2.085 ;
+      RECT 4.535 3.515 4.705 3.685 ;
+      RECT 3.23 1.115 3.4 1.285 ;
+      RECT 3.23 3.515 3.4 3.685 ;
+      RECT 2.355 1.915 2.525 2.085 ;
+      RECT 2.355 3.095 2.525 3.265 ;
+      RECT 1.48 1.115 1.65 1.285 ;
+      RECT 1.48 3.515 1.65 3.685 ;
+      RECT 0.56 3.115 0.73 3.285 ;
+      RECT 0.175 1.515 0.345 1.685 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 5.055 1.915 5.46 2.085 ;
+      RECT 5.055 1.515 5.225 2.085 ;
+      RECT 3.315 1.515 5.225 1.685 ;
+      RECT 3.315 0.575 3.485 1.685 ;
+      RECT 3.145 0.575 3.485 1.415 ;
+      RECT 3.145 4.085 3.485 6.625 ;
+      RECT 3.23 3.515 3.4 6.625 ;
+      RECT 2.275 1.915 2.605 2.085 ;
+      RECT 2.355 1.515 2.525 2.085 ;
+      RECT 0.175 1.515 2.525 1.685 ;
+      RECT 0.175 0.575 0.345 1.685 ;
+      RECT 1.48 0.575 1.65 1.285 ;
+      RECT 1.395 0.575 1.735 1.075 ;
+      RECT 1.395 4.085 1.735 6.625 ;
+      RECT 1.48 3.515 1.65 6.625 ;
+      RECT 3.87 2.315 6.41 2.485 ;
+      RECT 5.485 0.575 5.655 1.415 ;
+      RECT 5.485 3.515 5.655 6.625 ;
+      RECT 4.535 0.575 4.705 1.285 ;
+      RECT 3.39 1.915 4.705 2.085 ;
+      RECT 4.535 3.515 4.705 6.625 ;
+      RECT 2.275 3.095 2.605 3.265 ;
+      RECT 0.2 3.115 0.73 3.285 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END DFFXL
+
+MACRO DLY1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DLY1 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END DLY1
+
+MACRO DLY2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DLY2 0 0 ;
+  SIZE 2.75 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.75 0.305 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.75 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.75 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.75 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 1.915 2.125 2.085 ;
+        RECT 0.975 3.515 2.125 3.685 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END DLY2
+
+MACRO DLY3
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DLY3 0 0 ;
+  SIZE 3.63 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 0.58 2.885 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 3.63 0.305 ;
+      LAYER li1 ;
+        RECT 0 0 3.63 0.305 ;
+        RECT 3.185 0 3.355 1.415 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 6.895 3.63 7.2 ;
+      LAYER li1 ;
+        RECT 0 6.895 3.63 7.2 ;
+        RECT 3.185 3.745 3.355 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 3.36 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.685 3.485 2.985 3.715 ;
+        RECT 2.695 1.885 2.985 2.115 ;
+        RECT 2.755 1.885 2.925 3.715 ;
+        RECT 0.975 1.915 2.985 2.085 ;
+        RECT 0.975 3.515 2.985 3.685 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER li1 ;
+        RECT 2.755 0.575 2.925 2.085 ;
+        RECT 2.755 3.515 2.925 6.625 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+        RECT 2.755 3.515 2.925 3.685 ;
+        RECT 2.755 1.915 2.925 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END DLY3
+
+MACRO DLY4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN DLY4 0 0 ;
+  SIZE 4.51 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.51 0.305 ;
+        RECT 4.085 0 4.255 1.415 ;
+        RECT 3.185 0 3.355 1.415 ;
+        RECT 2.325 0 2.495 1.415 ;
+        RECT 1.465 0 1.635 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 4.51 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.51 7.2 ;
+        RECT 4.085 3.745 4.255 7.2 ;
+        RECT 3.185 3.745 3.355 7.2 ;
+        RECT 2.325 3.745 2.495 7.2 ;
+        RECT 1.465 3.745 1.635 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.51 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.117583 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.195 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.115 2.635 0.385 2.985 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 4.48 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 3.655 0.575 3.825 2.085 ;
+        RECT 3.655 3.515 3.825 6.625 ;
+        RECT 2.755 0.575 2.925 2.085 ;
+        RECT 2.755 3.515 2.925 6.625 ;
+        RECT 1.895 0.575 2.065 2.085 ;
+        RECT 1.895 3.515 2.065 6.625 ;
+        RECT 1.035 0.575 1.205 2.085 ;
+        RECT 1.035 3.515 1.205 6.625 ;
+      LAYER met1 ;
+        RECT 3.585 3.485 3.885 3.715 ;
+        RECT 3.595 1.885 3.885 2.115 ;
+        RECT 3.655 1.885 3.825 3.715 ;
+        RECT 0.975 1.915 3.885 2.085 ;
+        RECT 0.975 3.515 3.885 3.685 ;
+        RECT 2.685 3.485 2.985 3.715 ;
+        RECT 2.695 1.885 2.985 2.115 ;
+        RECT 2.755 1.885 2.925 3.715 ;
+        RECT 1.825 3.485 2.125 3.715 ;
+        RECT 1.835 1.885 2.125 2.115 ;
+        RECT 1.895 1.885 2.065 3.715 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+        RECT 0.975 1.885 1.265 2.115 ;
+        RECT 1.035 1.885 1.205 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.035 1.915 1.205 2.085 ;
+        RECT 1.895 3.515 2.065 3.685 ;
+        RECT 1.895 1.915 2.065 2.085 ;
+        RECT 2.755 3.515 2.925 3.685 ;
+        RECT 2.755 1.915 2.925 2.085 ;
+        RECT 3.655 3.515 3.825 3.685 ;
+        RECT 3.655 1.915 3.825 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.565 3.085 0.855 3.315 ;
+      RECT 0.625 1.915 0.795 3.315 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+      RECT 0.115 1.915 0.795 2.065 ;
+    LAYER mcon ;
+      RECT 0.625 3.115 0.795 3.285 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+    LAYER li1 ;
+      RECT 0.175 3.115 0.345 6.625 ;
+      RECT 0.175 3.115 1.13 3.285 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END DLY4
+
+MACRO FILLX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX1 0 0 ;
+  SIZE 0.11 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.11 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 0.11 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.11 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.11 7.2 ;
+    END
+  END vdd
+END FILLX1
+
+MACRO FILLX16
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX16 0 0 ;
+  SIZE 1.76 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.76 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 1.76 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.76 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.76 7.2 ;
+    END
+  END vdd
+END FILLX16
+
+MACRO FILLX2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX2 0 0 ;
+  SIZE 0.22 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.22 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 0.22 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.22 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.22 7.2 ;
+    END
+  END vdd
+END FILLX2
+
+MACRO FILLX32
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX32 0 0 ;
+  SIZE 3.52 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.52 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 3.52 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.52 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.52 7.2 ;
+    END
+  END vdd
+END FILLX32
+
+MACRO FILLX4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX4 0 0 ;
+  SIZE 0.44 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.44 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 0.44 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.44 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.44 7.2 ;
+    END
+  END vdd
+END FILLX4
+
+MACRO FILLX8
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN FILLX8 0 0 ;
+  SIZE 0.88 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.88 0.305 ;
+      LAYER met1 ;
+        RECT 0 0 0.88 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.88 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.88 7.2 ;
+    END
+  END vdd
+END FILLX8
+
+MACRO INVX1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX1 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.12325 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.12325 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.201667 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.201667 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.61 1.885 0.78 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END INVX1
+
+MACRO INVX10
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX10 0 0 ;
+  SIZE 4.95 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 6 LAYER met1 ;
+      ANTENNAGATEAREA 6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.012325 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.012325 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.020167 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.020167 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.004817 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.4 0.7 2.57 ;
+      LAYER met1 ;
+        RECT 0.21 2.34 0.465 2.67 ;
+      LAYER mcon ;
+        RECT 0.265 2.4 0.435 2.57 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.95 0.305 ;
+        RECT 4.475 0 4.645 1.415 ;
+        RECT 3.615 0 3.785 1.415 ;
+        RECT 2.755 0 2.925 1.415 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 4.95 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.95 7.2 ;
+        RECT 4.475 3.745 4.645 7.2 ;
+        RECT 3.615 3.745 3.785 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.95 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 5.6 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 4.045 0.575 4.215 2.17 ;
+        RECT 4.045 3.6 4.215 6.625 ;
+        RECT 3.185 0.575 3.355 2.17 ;
+        RECT 3.185 3.6 3.355 6.625 ;
+        RECT 2.325 0.575 2.495 2.17 ;
+        RECT 2.325 3.6 2.495 6.625 ;
+        RECT 1.465 0.575 1.635 2.17 ;
+        RECT 1.465 3.6 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.17 ;
+        RECT 0.605 3.6 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 3.985 3.57 4.275 3.8 ;
+        RECT 3.985 1.97 4.275 2.2 ;
+        RECT 4.04 1.97 4.21 3.8 ;
+        RECT 0.545 3.6 4.275 3.77 ;
+        RECT 0.545 2 4.275 2.17 ;
+        RECT 3.125 3.57 3.415 3.8 ;
+        RECT 3.125 1.97 3.415 2.2 ;
+        RECT 3.18 1.97 3.35 3.8 ;
+        RECT 2.265 3.57 2.555 3.8 ;
+        RECT 2.265 1.97 2.555 2.2 ;
+        RECT 2.32 1.97 2.49 3.8 ;
+        RECT 1.405 3.57 1.695 3.8 ;
+        RECT 1.405 1.97 1.695 2.2 ;
+        RECT 1.465 1.97 1.635 3.8 ;
+        RECT 0.545 3.57 0.835 3.8 ;
+        RECT 0.545 1.97 0.835 2.2 ;
+        RECT 0.605 1.97 0.775 3.8 ;
+      LAYER mcon ;
+        RECT 0.605 3.6 0.775 3.77 ;
+        RECT 0.605 2 0.775 2.17 ;
+        RECT 1.465 3.6 1.635 3.77 ;
+        RECT 1.465 2 1.635 2.17 ;
+        RECT 2.325 3.6 2.495 3.77 ;
+        RECT 2.325 2 2.495 2.17 ;
+        RECT 3.185 3.6 3.355 3.77 ;
+        RECT 3.185 2 3.355 2.17 ;
+        RECT 4.045 3.6 4.215 3.77 ;
+        RECT 4.045 2 4.215 2.17 ;
+    END
+  END Y
+END INVX10
+
+MACRO INVX2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX2 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.061625 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.061625 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.100833 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.100833 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END INVX2
+
+MACRO INVX3
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX3 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.8 LAYER met1 ;
+      ANTENNAGATEAREA 1.8 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.041083 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.041083 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.067222 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.067222 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.016056 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.18 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.545 3.515 1.695 3.685 ;
+        RECT 0.545 1.915 1.695 2.085 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+    END
+  END Y
+END INVX3
+
+MACRO INVX4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX4 0 0 ;
+  SIZE 2.31 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 2.4 LAYER met1 ;
+      ANTENNAGATEAREA 2.4 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.030813 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.030813 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.050417 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.050417 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.012042 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.31 0.305 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.31 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.31 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.31 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.545 3.515 1.695 3.685 ;
+        RECT 0.545 1.915 1.695 2.085 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+    END
+  END Y
+END INVX4
+
+MACRO INVX6
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX6 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 3.6 LAYER met1 ;
+      ANTENNAGATEAREA 3.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.020542 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.020542 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.033611 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.033611 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.008028 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.755 0 2.925 1.415 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 3.36 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325 0.575 2.495 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.265 1.885 2.555 2.115 ;
+        RECT 2.32 1.885 2.49 3.715 ;
+        RECT 0.545 3.515 2.555 3.685 ;
+        RECT 0.545 1.915 2.555 2.085 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 2.325 1.915 2.495 2.085 ;
+    END
+  END Y
+END INVX6
+
+MACRO INVX8
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVX8 0 0 ;
+  SIZE 4.07 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 4.8 LAYER met1 ;
+      ANTENNAGATEAREA 4.8 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.015406 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.015406 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.025208 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.025208 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.006021 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.265 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.21 2.255 0.465 2.585 ;
+      LAYER mcon ;
+        RECT 0.265 2.315 0.435 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.07 0.305 ;
+        RECT 3.615 0 3.785 1.415 ;
+        RECT 2.755 0 2.925 1.415 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 4.07 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.07 7.2 ;
+        RECT 3.615 3.745 3.785 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 1.035 3.745 1.205 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.07 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 4.48 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185 0.575 3.355 2.085 ;
+        RECT 3.185 3.515 3.355 6.625 ;
+        RECT 2.325 0.575 2.495 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.465 3.515 1.635 6.625 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 3.125 3.485 3.415 3.715 ;
+        RECT 3.125 1.885 3.415 2.115 ;
+        RECT 3.18 1.885 3.35 3.715 ;
+        RECT 0.545 3.515 3.415 3.685 ;
+        RECT 0.545 1.915 3.415 2.085 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.265 1.885 2.555 2.115 ;
+        RECT 2.32 1.885 2.49 3.715 ;
+        RECT 1.405 3.485 1.695 3.715 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+        RECT 1.465 3.515 1.635 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 2.325 1.915 2.495 2.085 ;
+        RECT 3.185 3.515 3.355 3.685 ;
+        RECT 3.185 1.915 3.355 2.085 ;
+    END
+  END Y
+END INVX8
+
+MACRO INVXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN INVXL 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.3435 LAYER met1 ;
+      ANTENNAGATEAREA 0.3435 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.244978 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.244978 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.387191 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.387191 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.084134 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.205 2.315 0.7 2.485 ;
+      LAYER met1 ;
+        RECT 0.135 2.255 0.415 2.555 ;
+      LAYER mcon ;
+        RECT 0.205 2.315 0.375 2.485 ;
+    END
+  END A
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.175 0 0.345 1.075 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.175 5.105 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.605 1.885 0.775 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END INVXL
+
+MACRO NAND2X1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NAND2X1 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 0.965 0 1.135 2.095 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 1.035 3.66 1.205 7.2 ;
+        RECT 0.175 3.66 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.415 3.365 ;
+      LAYER mcon ;
+        RECT 0.165 3.115 0.335 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.094067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.75 3.115 1.165 3.285 ;
+      LAYER met1 ;
+        RECT 0.935 3.035 1.215 3.365 ;
+      LAYER mcon ;
+        RECT 0.995 3.115 1.165 3.285 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.37 LAYER met1 ;
+    ANTENNADIFFAREA 0.53 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+        RECT 0.175 0.575 0.345 2.485 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.605 2.315 0.775 3.715 ;
+        RECT 0.115 2.315 0.775 2.485 ;
+        RECT 0.115 2.285 0.405 2.515 ;
+      LAYER mcon ;
+        RECT 0.175 2.315 0.345 2.485 ;
+        RECT 0.605 3.515 0.775 3.685 ;
+    END
+  END Y
+END NAND2X1
+
+MACRO NAND2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NAND2XL 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 0.965 0 1.135 1.755 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 1.035 5.105 1.205 7.2 ;
+        RECT 0.175 5.105 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.4365 LAYER met1 ;
+      ANTENNAGATEAREA 0.4365 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.159679 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.159679 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.26575 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.26575 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.066208 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 3.115 0.58 3.285 ;
+      LAYER met1 ;
+        RECT 0.135 3.035 0.42 3.365 ;
+      LAYER mcon ;
+        RECT 0.17 3.115 0.34 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.4365 LAYER met1 ;
+      ANTENNAGATEAREA 0.4365 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.149943 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.149943 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.254296 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.254296 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.066208 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.73 2.715 1.115 2.885 ;
+      LAYER met1 ;
+        RECT 0.915 2.635 1.2 2.965 ;
+      LAYER mcon ;
+        RECT 0.945 2.715 1.115 2.885 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.7959 LAYER met1 ;
+    ANTENNADIFFAREA 0.3339 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+        RECT 0.175 0.575 0.345 2.085 ;
+      LAYER met1 ;
+        RECT 0.545 3.485 0.835 3.715 ;
+        RECT 0.605 1.915 0.775 3.715 ;
+        RECT 0.115 1.915 0.775 2.085 ;
+        RECT 0.115 1.885 0.405 2.115 ;
+      LAYER mcon ;
+        RECT 0.175 1.915 0.345 2.085 ;
+        RECT 0.605 3.515 0.775 3.685 ;
+    END
+  END Y
+END NAND2XL
+
+MACRO NAND3X1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NAND3X1 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.9 LAYER met1 ;
+      ANTENNAGATEAREA 0.3 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.187 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.187 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.333333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.333333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.096333 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 2.58 3.515 2.91 3.685 ;
+        RECT 0.25 3.515 0.58 3.685 ;
+      LAYER met1 ;
+        RECT 2.6 3.485 2.89 3.715 ;
+        RECT 0.27 3.515 2.89 3.685 ;
+        RECT 0.27 3.485 0.56 3.715 ;
+      LAYER mcon ;
+        RECT 0.33 3.515 0.5 3.685 ;
+        RECT 2.66 3.515 2.83 3.685 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.9 LAYER met1 ;
+      ANTENNAGATEAREA 0.3 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.187 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.187 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.333333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.333333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.096333 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 2.08 3.115 2.41 3.285 ;
+        RECT 0.75 3.115 1.08 3.285 ;
+      LAYER met1 ;
+        RECT 2.1 3.085 2.39 3.315 ;
+        RECT 0.77 3.115 2.39 3.285 ;
+        RECT 0.77 3.085 1.06 3.315 ;
+      LAYER mcon ;
+        RECT 0.83 3.115 1 3.285 ;
+        RECT 2.16 3.115 2.33 3.285 ;
+    END
+  END B
+  PIN C
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.9 LAYER met1 ;
+      ANTENNAGATEAREA 0.9 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.062333 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.062333 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.111111 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.111111 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.032111 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445 3.915 1.775 4.085 ;
+      LAYER met1 ;
+        RECT 1.465 3.915 2.18 4.085 ;
+        RECT 1.465 3.885 1.755 4.115 ;
+      LAYER mcon ;
+        RECT 1.525 3.915 1.695 4.085 ;
+    END
+  END C
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815 4.315 2.985 6.625 ;
+        RECT 0.175 4.315 2.985 4.485 ;
+        RECT 1.955 3.515 2.125 6.625 ;
+        RECT 1.525 3.515 2.125 3.685 ;
+        RECT 1.525 0.575 1.695 3.685 ;
+        RECT 1.035 4.315 1.205 6.625 ;
+        RECT 0.175 4.315 0.345 6.625 ;
+    END
+  END Y
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.815 0 2.985 2.35 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.385 4.765 2.555 7.2 ;
+        RECT 1.525 4.765 1.695 7.2 ;
+        RECT 0.605 5.785 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+    END
+  END vdd
+  OBS
+    LAYER met1 ;
+      RECT 2.325 2.685 2.615 2.915 ;
+      RECT 0.545 2.685 0.835 2.915 ;
+      RECT 0.545 2.715 2.615 2.885 ;
+      RECT 1.895 2.285 2.185 2.515 ;
+      RECT 0.975 2.285 1.265 2.515 ;
+      RECT 0.975 2.315 2.185 2.485 ;
+    LAYER mcon ;
+      RECT 2.385 2.715 2.555 2.885 ;
+      RECT 1.955 2.315 2.125 2.485 ;
+      RECT 1.035 2.315 1.205 2.485 ;
+      RECT 0.605 2.715 0.775 2.885 ;
+    LAYER li1 ;
+      RECT 2.385 0.575 2.555 2.885 ;
+      RECT 1.955 0.575 2.125 2.485 ;
+      RECT 1.035 0.575 1.205 2.485 ;
+      RECT 0.605 0.575 0.775 2.885 ;
+  END
+END NAND3X1
+
+MACRO NAND3XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NAND3XL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.141279 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.141279 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.228311 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.228311 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 3.115 0.705 3.285 ;
+      LAYER met1 ;
+        RECT 0.5 3.055 0.78 3.355 ;
+      LAYER mcon ;
+        RECT 0.535 3.115 0.705 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.130411 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.130411 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.215525 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.215525 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.73 3.515 1.15 3.685 ;
+      LAYER met1 ;
+        RECT 0.95 3.385 1.29 3.75 ;
+      LAYER mcon ;
+        RECT 0.98 3.515 1.15 3.685 ;
+    END
+  END B
+  PIN C
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.5475 LAYER met1 ;
+      ANTENNAGATEAREA 0.5475 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.155251 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.155251 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.244749 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.244749 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.052785 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 1.2 3.06 1.7 3.23 ;
+      LAYER met1 ;
+        RECT 1.5 2.995 1.81 3.295 ;
+      LAYER mcon ;
+        RECT 1.53 3.06 1.7 3.23 ;
+    END
+  END C
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.325 0 1.495 2.095 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 1.465 5.105 1.635 7.2 ;
+        RECT 0.605 5.105 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.42925 LAYER met1 ;
+    ANTENNADIFFAREA 0.53 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035 3.915 1.205 6.625 ;
+        RECT 0.175 3.915 1.205 4.085 ;
+        RECT 0.175 3.515 0.345 6.625 ;
+        RECT 0.175 0.575 0.345 2.485 ;
+      LAYER met1 ;
+        RECT 0.115 3.485 0.405 3.715 ;
+        RECT 0.115 2.285 0.405 2.515 ;
+        RECT 0.175 2.285 0.345 3.715 ;
+      LAYER mcon ;
+        RECT 0.175 3.515 0.345 3.685 ;
+        RECT 0.175 2.315 0.345 2.485 ;
+    END
+  END Y
+END NAND3XL
+
+MACRO NOR2X1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NOR2X1 0 0 ;
+  SIZE 2.31 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.655 3.115 1.13 3.285 ;
+      LAYER met1 ;
+        RECT 0.585 3.055 0.875 3.365 ;
+      LAYER mcon ;
+        RECT 0.655 3.115 0.825 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 2.02 2.885 ;
+      LAYER met1 ;
+        RECT 1.8 2.645 2.09 2.95 ;
+      LAYER mcon ;
+        RECT 1.85 2.715 2.02 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.31 0.305 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.31 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.31 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.31 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.035 3.515 1.205 6.35 ;
+      LAYER met1 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 0.975 3.515 1.635 3.685 ;
+        RECT 1.465 1.885 1.635 3.685 ;
+        RECT 0.975 3.485 1.265 3.715 ;
+      LAYER mcon ;
+        RECT 1.035 3.515 1.205 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER li1 ;
+      RECT 0.605 6.545 1.635 6.715 ;
+      RECT 1.465 3.745 1.635 6.715 ;
+      RECT 0.605 3.745 0.775 6.715 ;
+  END
+END NOR2X1
+
+MACRO NOR2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN NOR2XL 0 0 ;
+  SIZE 1.43 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.43 0.305 ;
+        RECT 1.035 0 1.205 1.075 ;
+        RECT 0.175 0 0.345 1.075 ;
+      LAYER met1 ;
+        RECT 0 0 1.43 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.43 7.2 ;
+        RECT 0.965 3.745 1.135 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.43 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.546 LAYER met1 ;
+      ANTENNAGATEAREA 0.546 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.127656 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.127656 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.212454 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.212454 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.05293 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.135 2.635 0.375 2.985 ;
+      LAYER mcon ;
+        RECT 0.17 2.715 0.34 2.885 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.546 LAYER met1 ;
+      ANTENNAGATEAREA 0.546 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.127656 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.127656 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.212454 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.212454 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.05293 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.73 2.315 1.14 2.485 ;
+      LAYER met1 ;
+        RECT 0.925 2.255 1.17 2.615 ;
+      LAYER mcon ;
+        RECT 0.97 2.315 1.14 2.485 ;
+    END
+  END B
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.9742 LAYER met1 ;
+    ANTENNADIFFAREA 0.1792 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 2.085 ;
+        RECT 0.175 3.515 0.345 6.625 ;
+      LAYER met1 ;
+        RECT 0.545 1.885 0.835 2.115 ;
+        RECT 0.115 3.515 0.775 3.685 ;
+        RECT 0.605 1.885 0.775 3.685 ;
+        RECT 0.115 3.485 0.405 3.715 ;
+      LAYER mcon ;
+        RECT 0.175 3.515 0.345 3.685 ;
+        RECT 0.605 1.915 0.775 2.085 ;
+    END
+  END Y
+END NOR2XL
+
+MACRO OAI21XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN OAI21XL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A0
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.639 LAYER met1 ;
+      ANTENNAGATEAREA 0.639 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.045227 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.205 2.685 0.58 2.915 ;
+      LAYER mcon ;
+        RECT 0.33 2.715 0.5 2.885 ;
+    END
+  END A0
+  PIN A1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.639 LAYER met1 ;
+      ANTENNAGATEAREA 0.639 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.087793 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.156495 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.045227 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.73 2.315 1.06 2.485 ;
+      LAYER met1 ;
+        RECT 0.685 2.285 1.06 2.515 ;
+      LAYER mcon ;
+        RECT 0.81 2.315 0.98 2.485 ;
+    END
+  END A1
+  PIN B1
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.4365 LAYER met1 ;
+      ANTENNAGATEAREA 0.4365 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.220046 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.220046 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.33677 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.33677 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.066208 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995 3.115 1.56 3.285 ;
+      LAYER met1 ;
+        RECT 0.95 3.085 1.325 3.315 ;
+      LAYER mcon ;
+        RECT 1.075 3.115 1.245 3.285 ;
+    END
+  END B1
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 0.965 3.745 1.135 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.56615 LAYER met1 ;
+    ANTENNADIFFAREA 0.3339 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 2.085 ;
+        RECT 1.455 3.515 1.625 6.625 ;
+        RECT 0.175 3.515 0.345 6.625 ;
+      LAYER met1 ;
+        RECT 1.405 1.885 1.695 2.115 ;
+        RECT 1.395 3.485 1.685 3.715 ;
+        RECT 1.465 1.885 1.635 3.715 ;
+        RECT 0.115 3.52 1.685 3.685 ;
+        RECT 0.115 3.485 0.405 3.715 ;
+      LAYER mcon ;
+        RECT 0.175 3.515 0.345 3.685 ;
+        RECT 1.455 3.515 1.625 3.685 ;
+        RECT 1.465 1.915 1.635 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER li1 ;
+      RECT 0.175 1.915 1.205 2.085 ;
+      RECT 1.035 0.575 1.205 2.085 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+  END
+END OAI21XL
+
+MACRO OR2X1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN OR2X1 0 0 ;
+  SIZE 2.75 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.655 3.115 1.13 3.285 ;
+      LAYER met1 ;
+        RECT 0.585 3.055 0.875 3.365 ;
+      LAYER mcon ;
+        RECT 0.655 3.115 0.825 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 2.02 2.885 ;
+      LAYER met1 ;
+        RECT 1.8 2.645 2.09 2.95 ;
+      LAYER mcon ;
+        RECT 1.85 2.715 2.02 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 2.75 0.305 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 2.75 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 2.75 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 2.75 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325 0.575 2.495 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+      LAYER met1 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.265 1.885 2.555 2.115 ;
+        RECT 2.325 1.885 2.495 3.715 ;
+      LAYER mcon ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 2.325 1.915 2.495 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.975 3.485 1.265 3.715 ;
+      RECT 0.975 3.515 1.635 3.685 ;
+      RECT 1.465 1.885 1.635 3.685 ;
+      RECT 1.405 2.315 1.695 2.515 ;
+      RECT 1.405 2.285 1.69 2.515 ;
+      RECT 1.405 1.885 1.695 2.115 ;
+    LAYER mcon ;
+      RECT 1.465 1.915 1.635 2.085 ;
+      RECT 1.465 2.315 1.635 2.485 ;
+      RECT 1.035 3.515 1.205 3.685 ;
+    LAYER li1 ;
+      RECT 1.465 2.315 2.42 2.485 ;
+      RECT 1.465 0.575 1.635 2.485 ;
+      RECT 0.605 6.545 1.635 6.715 ;
+      RECT 1.465 3.745 1.635 6.715 ;
+      RECT 0.605 3.745 0.775 6.715 ;
+      RECT 1.035 3.515 1.205 6.35 ;
+  END
+END OR2X1
+
+MACRO OR2X2
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN OR2X2 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.655 3.115 1.13 3.285 ;
+      LAYER met1 ;
+        RECT 0.585 3.055 0.875 3.365 ;
+      LAYER mcon ;
+        RECT 0.655 3.115 0.825 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 2.02 2.885 ;
+      LAYER met1 ;
+        RECT 1.8 2.645 2.09 2.95 ;
+      LAYER mcon ;
+        RECT 1.85 2.715 2.02 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.755 0 2.925 1.415 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.12 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325 0.575 2.495 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+      LAYER met1 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.265 1.885 2.555 2.115 ;
+        RECT 2.325 1.885 2.495 3.715 ;
+      LAYER mcon ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 2.325 1.915 2.495 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.975 3.485 1.265 3.715 ;
+      RECT 0.975 3.515 1.635 3.685 ;
+      RECT 1.465 2.285 1.635 3.685 ;
+      RECT 1.405 2.315 1.695 2.515 ;
+      RECT 1.405 2.285 1.69 2.515 ;
+    LAYER mcon ;
+      RECT 1.465 2.315 1.635 2.485 ;
+      RECT 1.035 3.515 1.205 3.685 ;
+    LAYER li1 ;
+      RECT 1.465 2.315 2.42 2.485 ;
+      RECT 1.465 0.575 1.635 2.485 ;
+      RECT 0.605 6.545 1.635 6.715 ;
+      RECT 1.465 3.745 1.635 6.715 ;
+      RECT 0.605 3.745 0.775 6.715 ;
+      RECT 1.035 3.515 1.205 6.35 ;
+  END
+END OR2X2
+
+MACRO OR2X4
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN OR2X4 0 0 ;
+  SIZE 4.07 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.076905 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.122857 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.655 3.115 1.13 3.285 ;
+      LAYER met1 ;
+        RECT 0.585 3.055 0.875 3.365 ;
+      LAYER mcon ;
+        RECT 0.655 3.115 0.825 3.285 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.286571 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.369524 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.25 2.715 2.02 2.885 ;
+      LAYER met1 ;
+        RECT 1.8 2.645 2.09 2.95 ;
+      LAYER mcon ;
+        RECT 1.85 2.715 2.02 2.885 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 4.07 0.305 ;
+        RECT 3.615 0 3.785 1.415 ;
+        RECT 2.755 0 2.925 1.415 ;
+        RECT 1.895 0 2.065 1.415 ;
+        RECT 1.035 0 1.205 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 4.07 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 4.07 7.2 ;
+        RECT 3.615 3.745 3.785 7.2 ;
+        RECT 2.755 3.745 2.925 7.2 ;
+        RECT 1.895 3.745 2.065 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 4.07 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 2.24 LAYER met1 ;
+    ANTENNADIFFAREA 0.28 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185 0.575 3.355 2.085 ;
+        RECT 3.185 3.515 3.355 6.625 ;
+        RECT 2.325 0.575 2.495 2.085 ;
+        RECT 2.325 3.515 2.495 6.625 ;
+      LAYER met1 ;
+        RECT 3.125 3.485 3.415 3.715 ;
+        RECT 3.125 1.885 3.415 2.115 ;
+        RECT 3.185 1.885 3.355 3.715 ;
+        RECT 2.265 3.515 3.415 3.685 ;
+        RECT 2.265 1.915 3.415 2.085 ;
+        RECT 2.265 3.485 2.555 3.715 ;
+        RECT 2.265 1.885 2.555 2.115 ;
+        RECT 2.325 1.885 2.495 3.715 ;
+      LAYER mcon ;
+        RECT 2.325 3.515 2.495 3.685 ;
+        RECT 2.325 1.915 2.495 2.085 ;
+        RECT 3.185 3.515 3.355 3.685 ;
+        RECT 3.185 1.915 3.355 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.975 3.485 1.265 3.715 ;
+      RECT 0.975 3.515 1.635 3.685 ;
+      RECT 1.465 2.285 1.635 3.685 ;
+      RECT 1.405 2.315 1.695 2.515 ;
+      RECT 1.405 2.285 1.69 2.515 ;
+    LAYER mcon ;
+      RECT 1.465 2.315 1.635 2.485 ;
+      RECT 1.035 3.515 1.205 3.685 ;
+    LAYER li1 ;
+      RECT 1.465 2.315 2.42 2.485 ;
+      RECT 1.465 0.575 1.635 2.485 ;
+      RECT 0.605 6.545 1.635 6.715 ;
+      RECT 1.465 3.745 1.635 6.715 ;
+      RECT 0.605 3.745 0.775 6.715 ;
+      RECT 1.035 3.515 1.205 6.35 ;
+  END
+END OR2X4
+
+MACRO OR2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN OR2XL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 1.035 0 1.205 1.075 ;
+        RECT 0.175 0 0.345 1.075 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 0.965 3.745 1.135 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.546 LAYER met1 ;
+      ANTENNAGATEAREA 0.546 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.129212 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.129212 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.214286 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.214286 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.05293 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.165 2.715 0.58 2.885 ;
+      LAYER met1 ;
+        RECT 0.13 2.58 0.365 2.99 ;
+      LAYER mcon ;
+        RECT 0.165 2.715 0.335 2.885 ;
+    END
+  END A
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.60685 LAYER met1 ;
+    ANTENNADIFFAREA 0.1696 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465 0.575 1.635 1.685 ;
+        RECT 1.455 3.515 1.625 6.625 ;
+      LAYER met1 ;
+        RECT 1.405 1.485 1.695 1.715 ;
+        RECT 1.395 3.485 1.685 3.715 ;
+        RECT 1.465 1.485 1.635 3.715 ;
+      LAYER mcon ;
+        RECT 1.455 3.515 1.625 3.685 ;
+        RECT 1.465 1.515 1.635 1.685 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.115 3.515 0.775 3.685 ;
+      RECT 0.605 1.885 0.775 3.685 ;
+      RECT 0.545 1.885 0.835 2.115 ;
+      RECT 0.94 2.225 1.175 2.63 ;
+    LAYER mcon ;
+      RECT 0.975 2.315 1.145 2.485 ;
+      RECT 0.605 1.915 0.775 2.085 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 0.605 1.915 1.56 2.085 ;
+      RECT 0.605 0.575 0.775 2.085 ;
+      RECT 0.73 2.315 1.145 2.485 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END OR2XL
+
+MACRO TBUFXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN TBUFXL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.160083 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.160083 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.245 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.245 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.925 3.115 1.49 3.285 ;
+      LAYER met1 ;
+        RECT 0.79 3.085 1.155 3.315 ;
+      LAYER mcon ;
+        RECT 0.925 3.115 1.095 3.285 ;
+    END
+  END A
+  PIN OE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.75 LAYER met1 ;
+      ANTENNAGATEAREA 0.75 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.128067 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.128067 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.196 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.196 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.038533 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 2.715 0.735 2.885 ;
+      LAYER met1 ;
+        RECT 0.505 2.685 0.87 2.915 ;
+      LAYER mcon ;
+        RECT 0.565 2.715 0.735 2.885 ;
+    END
+  END OE
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.395 0.575 1.565 2.085 ;
+        RECT 1.395 3.515 1.565 6.625 ;
+      LAYER met1 ;
+        RECT 1.335 3.485 1.625 3.715 ;
+        RECT 1.335 1.885 1.625 2.115 ;
+        RECT 1.395 1.885 1.565 3.715 ;
+      LAYER mcon ;
+        RECT 1.395 3.515 1.565 3.685 ;
+        RECT 1.395 1.915 1.565 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.175 2.285 0.345 3.715 ;
+      RECT 0.115 2.285 0.405 2.515 ;
+    LAYER mcon ;
+      RECT 0.175 2.315 0.345 2.485 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.01 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END TBUFXL
+
+MACRO TIEHI
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN TIEHI 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.795 LAYER met1 ;
+    ANTENNADIFFAREA 0.795 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 3.515 0.775 6.625 ;
+      LAYER met1 ;
+        RECT 0.47 3.485 0.835 3.715 ;
+      LAYER mcon ;
+        RECT 0.605 3.515 0.775 3.685 ;
+    END
+  END Y
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+  OBS
+    LAYER li1 ;
+      RECT 0.37 1.915 0.775 2.085 ;
+      RECT 0.605 0.575 0.775 2.085 ;
+  END
+END TIEHI
+
+MACRO TIELO
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN TIELO 0 0 ;
+  SIZE 0.99 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 0.265 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605 0.575 0.775 1.685 ;
+      LAYER met1 ;
+        RECT 0.47 1.485 0.835 1.715 ;
+      LAYER mcon ;
+        RECT 0.605 1.515 0.775 1.685 ;
+    END
+  END Y
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 0.99 0.305 ;
+        RECT 0.175 0 0.345 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 0.99 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 0.99 7.2 ;
+        RECT 0.175 3.745 0.345 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 0.99 7.2 ;
+    END
+  END vdd
+  OBS
+    LAYER li1 ;
+      RECT 0.605 3.115 0.775 6.625 ;
+      RECT 0.37 3.115 0.775 3.285 ;
+  END
+END TIELO
+
+MACRO TNBUFXL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN TNBUFXL 0 0 ;
+  SIZE 1.87 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 0.6 LAYER met1 ;
+      ANTENNAGATEAREA 0.6 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.164333 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.164333 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.25 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.25 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.048167 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.91 3.115 1.49 3.285 ;
+      LAYER met1 ;
+        RECT 0.775 3.085 1.14 3.315 ;
+      LAYER mcon ;
+        RECT 0.91 3.115 1.08 3.285 ;
+    END
+  END A
+  PIN OE
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.05 LAYER met1 ;
+      ANTENNAGATEAREA 1.05 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.091476 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.091476 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.14 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.14 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.027524 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 2.715 0.735 2.885 ;
+      LAYER met1 ;
+        RECT 0.505 2.685 0.87 2.915 ;
+      LAYER mcon ;
+        RECT 0.565 2.715 0.735 2.885 ;
+    END
+  END OE
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 1.87 0.305 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 1.87 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 1.87 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 1.87 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.06 LAYER met1 ;
+    ANTENNADIFFAREA 0.265 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.395 0.575 1.565 2.085 ;
+        RECT 1.395 3.515 1.565 6.625 ;
+      LAYER met1 ;
+        RECT 1.335 3.485 1.625 3.715 ;
+        RECT 1.335 1.885 1.625 2.115 ;
+        RECT 1.395 1.885 1.565 3.715 ;
+      LAYER mcon ;
+        RECT 1.395 3.515 1.565 3.685 ;
+        RECT 1.395 1.915 1.565 2.085 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.175 2.285 0.345 3.715 ;
+      RECT 0.115 2.285 0.405 2.515 ;
+    LAYER mcon ;
+      RECT 0.175 2.315 0.345 2.485 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 0.175 2.315 1.01 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END TNBUFXL
+
+MACRO XNOR2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN XNOR2XL 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.323 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.323 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.408333 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.408333 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 2.715 2.45 2.885 ;
+      LAYER met1 ;
+        RECT 0.19 2.685 0.555 2.915 ;
+      LAYER mcon ;
+        RECT 0.25 2.715 0.42 2.885 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.394542 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.394542 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.4925 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.4925 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.175 3.115 2.96 3.285 ;
+      LAYER met1 ;
+        RECT 2.575 3.085 2.94 3.315 ;
+      LAYER mcon ;
+        RECT 2.71 3.115 2.88 3.285 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.355 0 2.525 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.355 3.745 2.525 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.8 LAYER met1 ;
+    ANTENNADIFFAREA 0.45 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.395 0.575 1.735 1.415 ;
+        RECT 1.395 3.66 1.735 6.625 ;
+        RECT 1.48 3.515 1.65 6.625 ;
+      LAYER met1 ;
+        RECT 1.42 3.485 1.71 3.715 ;
+        RECT 1.42 1.085 1.71 1.315 ;
+        RECT 1.48 1.085 1.65 3.715 ;
+      LAYER mcon ;
+        RECT 1.48 3.515 1.65 3.685 ;
+        RECT 1.48 1.115 1.65 1.285 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 2.725 3.485 3.015 3.715 ;
+      RECT 2.2 3.485 3.015 3.625 ;
+      RECT 2.2 2.085 2.37 3.625 ;
+      RECT 2.2 2.085 2.955 2.225 ;
+      RECT 2.725 1.885 3.015 2.115 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.115 3.485 0.98 3.625 ;
+      RECT 0.81 2.315 0.98 3.625 ;
+      RECT 0.115 2.285 0.405 2.515 ;
+      RECT 0.115 2.315 0.98 2.485 ;
+    LAYER mcon ;
+      RECT 2.785 1.915 2.955 2.085 ;
+      RECT 2.785 3.515 2.955 3.685 ;
+      RECT 0.175 2.315 0.345 2.485 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 2.785 0.575 2.955 2.085 ;
+      RECT 1.16 1.915 1.49 2.085 ;
+      RECT 1.24 1.585 1.49 2.085 ;
+      RECT 1.24 1.585 2.955 1.755 ;
+      RECT 0.175 2.315 2.955 2.485 ;
+      RECT 2.2 1.96 2.37 2.485 ;
+      RECT 0.175 0.575 0.345 2.485 ;
+      RECT 2.785 3.515 2.955 6.625 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END XNOR2XL
+
+MACRO XOR2XL
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN XOR2XL 0 0 ;
+  SIZE 3.19 BY 7.2 ;
+  SYMMETRY X Y ;
+  SITE s8_osu130 ;
+  PIN A
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.442708 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.442708 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.549167 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.549167 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.17 2.315 2.955 2.485 ;
+        RECT 2.2 1.975 2.37 2.485 ;
+      LAYER met1 ;
+        RECT 0.19 2.285 0.555 2.515 ;
+      LAYER mcon ;
+        RECT 0.25 2.315 0.42 2.485 ;
+    END
+  END A
+  PIN B
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+      ANTENNAGATEAREA 1.2 LAYER met1 ;
+      ANTENNAGATEAREA 1.2 LAYER li1 ;
+      ANTENNAMAXAREACAR 0.394542 LAYER met1 ;
+      ANTENNAMAXAREACAR 0.394542 LAYER li1 ;
+      ANTENNAMAXSIDEAREACAR 0.4925 LAYER met1 ;
+      ANTENNAMAXSIDEAREACAR 0.4925 LAYER li1 ;
+      ANTENNAMAXCUTCAR 0.024083 LAYER mcon ;
+    PORT
+      LAYER li1 ;
+        RECT 0.175 3.115 2.96 3.285 ;
+      LAYER met1 ;
+        RECT 2.575 3.085 2.94 3.315 ;
+      LAYER mcon ;
+        RECT 2.71 3.115 2.88 3.285 ;
+    END
+  END B
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 0 3.19 0.305 ;
+        RECT 2.355 0 2.525 1.415 ;
+        RECT 0.605 0 0.775 1.415 ;
+      LAYER met1 ;
+        RECT 0 0 3.19 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER li1 ;
+        RECT 0 6.895 3.19 7.2 ;
+        RECT 2.355 3.745 2.525 7.2 ;
+        RECT 0.605 3.745 0.775 7.2 ;
+      LAYER met1 ;
+        RECT 0 6.895 3.19 7.2 ;
+    END
+  END vdd
+  PIN Y
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.8 LAYER met1 ;
+    ANTENNADIFFAREA 0.45 LAYER li1 ;
+    PORT
+      LAYER li1 ;
+        RECT 1.395 0.575 1.735 1.415 ;
+        RECT 1.395 3.66 1.735 6.625 ;
+        RECT 1.48 3.515 1.65 6.625 ;
+      LAYER met1 ;
+        RECT 1.42 3.485 1.71 3.715 ;
+        RECT 1.42 1.085 1.71 1.315 ;
+        RECT 1.48 1.085 1.65 3.715 ;
+      LAYER mcon ;
+        RECT 1.48 3.515 1.65 3.685 ;
+        RECT 1.48 1.115 1.65 1.285 ;
+    END
+  END Y
+  OBS
+    LAYER met1 ;
+      RECT 2.725 3.485 3.015 3.715 ;
+      RECT 2.15 3.485 3.015 3.685 ;
+      RECT 2.15 2.085 2.32 3.685 ;
+      RECT 2.15 2.085 2.955 2.285 ;
+      RECT 2.725 1.885 3.015 2.115 ;
+      RECT 0.115 3.485 0.405 3.715 ;
+      RECT 0.175 2.685 0.345 3.715 ;
+      RECT 0.115 2.685 0.405 2.915 ;
+      RECT 0.115 2.685 0.98 2.885 ;
+      RECT 0.81 1.915 0.98 2.885 ;
+      RECT 0.115 1.915 0.98 2.115 ;
+      RECT 0.115 1.885 0.405 2.115 ;
+    LAYER mcon ;
+      RECT 2.785 1.915 2.955 2.085 ;
+      RECT 2.785 3.515 2.955 3.685 ;
+      RECT 0.175 1.915 0.345 2.085 ;
+      RECT 0.175 2.715 0.345 2.885 ;
+      RECT 0.175 3.515 0.345 3.685 ;
+    LAYER li1 ;
+      RECT 2.785 0.575 2.955 2.085 ;
+      RECT 1.16 1.915 1.49 2.085 ;
+      RECT 1.24 1.585 1.49 2.085 ;
+      RECT 1.24 1.585 2.955 1.755 ;
+      RECT 2.785 3.515 2.955 6.625 ;
+      RECT 0.175 2.715 2.45 2.885 ;
+      RECT 0.175 0.575 0.345 2.085 ;
+      RECT 0.175 3.515 0.345 6.625 ;
+  END
+END XOR2XL
+
+END LIBRARY
diff --git a/tech/sky130_osu_sc.lef b/lef/sky130_osu_sc.tlef
similarity index 100%
rename from tech/sky130_osu_sc.lef
rename to lef/sky130_osu_sc.tlef
diff --git a/timing/sky130_osu_sc_FF_1P8_25C.lib b/lib/sky130_osu_sc_FF_1P8_25C.lib
similarity index 100%
rename from timing/sky130_osu_sc_FF_1P8_25C.lib
rename to lib/sky130_osu_sc_FF_1P8_25C.lib
diff --git a/timing/sky130_osu_sc_FF_1P8_25C.lib.json b/lib/sky130_osu_sc_FF_1P8_25C.lib.json
similarity index 100%
rename from timing/sky130_osu_sc_FF_1P8_25C.lib.json
rename to lib/sky130_osu_sc_FF_1P8_25C.lib.json
diff --git a/timing/sky130_osu_sc_FS_1P8_25C.lib b/lib/sky130_osu_sc_FS_1P8_25C.lib
similarity index 100%
rename from timing/sky130_osu_sc_FS_1P8_25C.lib
rename to lib/sky130_osu_sc_FS_1P8_25C.lib
diff --git a/timing/sky130_osu_sc_FS_1P8_25C.lib.json b/lib/sky130_osu_sc_FS_1P8_25C.lib.json
similarity index 100%
rename from timing/sky130_osu_sc_FS_1P8_25C.lib.json
rename to lib/sky130_osu_sc_FS_1P8_25C.lib.json
diff --git a/timing/sky130_osu_sc_SF_1P8_25C.lib b/lib/sky130_osu_sc_SF_1P8_25C.lib
similarity index 100%
rename from timing/sky130_osu_sc_SF_1P8_25C.lib
rename to lib/sky130_osu_sc_SF_1P8_25C.lib
diff --git a/timing/sky130_osu_sc_SF_1P8_25C.lib.json b/lib/sky130_osu_sc_SF_1P8_25C.lib.json
similarity index 100%
rename from timing/sky130_osu_sc_SF_1P8_25C.lib.json
rename to lib/sky130_osu_sc_SF_1P8_25C.lib.json
diff --git a/timing/sky130_osu_sc_SS_1P8_25C.lib b/lib/sky130_osu_sc_SS_1P8_25C.lib
similarity index 100%
rename from timing/sky130_osu_sc_SS_1P8_25C.lib
rename to lib/sky130_osu_sc_SS_1P8_25C.lib
diff --git a/timing/sky130_osu_sc_SS_1P8_25C.lib.json b/lib/sky130_osu_sc_SS_1P8_25C.lib.json
similarity index 100%
rename from timing/sky130_osu_sc_SS_1P8_25C.lib.json
rename to lib/sky130_osu_sc_SS_1P8_25C.lib.json
diff --git a/timing/sky130_osu_sc_TT_1P8_25C.lib b/lib/sky130_osu_sc_TT_1P8_25C.lib
similarity index 100%
rename from timing/sky130_osu_sc_TT_1P8_25C.lib
rename to lib/sky130_osu_sc_TT_1P8_25C.lib
diff --git a/timing/sky130_osu_sc_TT_1P8_25C.lib.json b/lib/sky130_osu_sc_TT_1P8_25C.lib.json
similarity index 100%
rename from timing/sky130_osu_sc_TT_1P8_25C.lib.json
rename to lib/sky130_osu_sc_TT_1P8_25C.lib.json
diff --git a/timing/sky130_osu_sc.v b/verilog/sky130_osu_sc.v
similarity index 100%
rename from timing/sky130_osu_sc.v
rename to verilog/sky130_osu_sc.v