blob: 50ba800c5e04ecb15005f6169adaa6e49b807ea4 [file] [log] [blame]
module u31 (
input [5:0] in,
output out
);
wire a, b, c, d, e, f;
assign {a, b, c, d, e, f} = in;
wire x = (((a^~b)&c)|(d^e))^((d|~a)&b)^f;
assign out = x;
endmodule