blob: 42b25f3e1a8786072cd1a8e5e07a4814107ea24f [file] [log] [blame]
module u21 (
input [3:0] in,
output out
);
wire a, b, c, d;
assign {a, b, c, d} = in;
wire x = (a&~b)^c^d;
assign out = x;
endmodule