blob: 3a10e7179e636fd0f05c0cdc78993dba0407d352 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN tiny_user_project ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 150000 170000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 302 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 302 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 302 BY 1 STEP 460 0 ;
TRACKS X 230 DO 326 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 500 STEP 340 LAYER li1 ;
TRACKS X 170 DO 441 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 500 STEP 340 LAYER met1 ;
TRACKS X 230 DO 326 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 369 STEP 460 LAYER met2 ;
TRACKS X 340 DO 220 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 250 STEP 680 LAYER met3 ;
TRACKS X 460 DO 163 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 185 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 44 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 50 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 21 STEP 6900 ;
GCELLGRID Y 0 DO 24 STEP 6900 ;
VIAS 3 ;
- via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
- via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
- via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 65 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 2220 ;
- FILLER_0_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 10880 ) N ;
- FILLER_0_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 10880 ) N ;
- FILLER_0_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 10880 ) N ;
- FILLER_0_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68540 10880 ) N ;
- FILLER_0_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 10880 ) N ;
- FILLER_0_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 10880 ) N ;
- FILLER_0_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 10880 ) N ;
- FILLER_0_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 10880 ) N ;
- FILLER_0_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 10880 ) N ;
- FILLER_0_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 10880 ) N ;
- FILLER_0_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 10880 ) N ;
- FILLER_0_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 10880 ) N ;
- FILLER_0_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 10880 ) N ;
- FILLER_0_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 10880 ) N ;
- FILLER_0_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 10880 ) N ;
- FILLER_0_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 10880 ) N ;
- FILLER_0_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 10880 ) N ;
- FILLER_0_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 10880 ) N ;
- FILLER_0_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 10880 ) N ;
- FILLER_0_277 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 132940 10880 ) N ;
- FILLER_0_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 10880 ) N ;
- FILLER_0_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 10880 ) N ;
- FILLER_0_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 10880 ) N ;
- FILLER_0_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 10880 ) N ;
- FILLER_0_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 10880 ) N ;
- FILLER_0_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 10880 ) N ;
- FILLER_0_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 10880 ) N ;
- FILLER_0_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 10880 ) N ;
- FILLER_0_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 10880 ) N ;
- FILLER_0_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 10880 ) N ;
- FILLER_0_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 10880 ) N ;
- FILLER_10_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 38080 ) N ;
- FILLER_10_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 38080 ) N ;
- FILLER_10_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 38080 ) N ;
- FILLER_10_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 38080 ) N ;
- FILLER_10_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 38080 ) N ;
- FILLER_10_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 38080 ) N ;
- FILLER_10_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 38080 ) N ;
- FILLER_10_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 38080 ) N ;
- FILLER_10_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 38080 ) N ;
- FILLER_10_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 38080 ) N ;
- FILLER_10_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 38080 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 38080 ) N ;
- FILLER_10_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 38080 ) N ;
- FILLER_10_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 38080 ) N ;
- FILLER_10_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 40800 ) FS ;
- FILLER_11_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 40800 ) FS ;
- FILLER_11_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 40800 ) FS ;
- FILLER_11_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 40800 ) FS ;
- FILLER_11_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
- FILLER_11_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 40800 ) FS ;
- FILLER_11_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 40800 ) FS ;
- FILLER_11_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 40800 ) FS ;
- FILLER_11_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 43520 ) N ;
- FILLER_12_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 43520 ) N ;
- FILLER_12_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 43520 ) N ;
- FILLER_12_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 43520 ) N ;
- FILLER_12_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 43520 ) N ;
- FILLER_12_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 43520 ) N ;
- FILLER_12_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 43520 ) N ;
- FILLER_12_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 43520 ) N ;
- FILLER_12_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 43520 ) N ;
- FILLER_12_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 46240 ) FS ;
- FILLER_13_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 46240 ) FS ;
- FILLER_13_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 46240 ) FS ;
- FILLER_13_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 46240 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 46240 ) FS ;
- FILLER_13_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 46240 ) FS ;
- FILLER_13_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 46240 ) FS ;
- FILLER_13_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 46240 ) FS ;
- FILLER_13_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 46240 ) FS ;
- FILLER_13_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 46240 ) FS ;
- FILLER_13_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 48960 ) N ;
- FILLER_14_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 48960 ) N ;
- FILLER_14_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 48960 ) N ;
- FILLER_14_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 48960 ) N ;
- FILLER_14_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 48960 ) N ;
- FILLER_14_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 48960 ) N ;
- FILLER_14_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 48960 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 48960 ) N ;
- FILLER_14_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 48960 ) N ;
- FILLER_14_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 51680 ) FS ;
- FILLER_15_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 51680 ) FS ;
- FILLER_15_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 51680 ) FS ;
- FILLER_15_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 51680 ) FS ;
- FILLER_15_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 51680 ) FS ;
- FILLER_15_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 51680 ) FS ;
- FILLER_15_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 51680 ) FS ;
- FILLER_15_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 51680 ) FS ;
- FILLER_15_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 54400 ) N ;
- FILLER_16_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 54400 ) N ;
- FILLER_16_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 54400 ) N ;
- FILLER_16_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 54400 ) N ;
- FILLER_16_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 54400 ) N ;
- FILLER_16_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 54400 ) N ;
- FILLER_16_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 54400 ) N ;
- FILLER_16_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 54400 ) N ;
- FILLER_16_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 54400 ) N ;
- FILLER_16_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 54400 ) N ;
- FILLER_16_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 54400 ) N ;
- FILLER_16_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 57120 ) FS ;
- FILLER_17_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 57120 ) FS ;
- FILLER_17_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 57120 ) FS ;
- FILLER_17_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 57120 ) FS ;
- FILLER_17_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 57120 ) FS ;
- FILLER_17_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 57120 ) FS ;
- FILLER_17_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 57120 ) FS ;
- FILLER_17_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 57120 ) FS ;
- FILLER_17_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 57120 ) FS ;
- FILLER_17_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 57120 ) FS ;
- FILLER_17_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 59840 ) N ;
- FILLER_18_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 59840 ) N ;
- FILLER_18_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 59840 ) N ;
- FILLER_18_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 59840 ) N ;
- FILLER_18_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 59840 ) N ;
- FILLER_18_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 59840 ) N ;
- FILLER_18_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 59840 ) N ;
- FILLER_18_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 59840 ) N ;
- FILLER_18_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 62560 ) FS ;
- FILLER_19_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 62560 ) FS ;
- FILLER_19_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 62560 ) FS ;
- FILLER_19_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 62560 ) FS ;
- FILLER_19_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 62560 ) FS ;
- FILLER_19_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 62560 ) FS ;
- FILLER_19_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 62560 ) FS ;
- FILLER_1_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 13600 ) FS ;
- FILLER_1_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 13600 ) FS ;
- FILLER_1_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 13600 ) FS ;
- FILLER_1_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 13600 ) FS ;
- FILLER_1_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 13600 ) FS ;
- FILLER_1_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 13600 ) FS ;
- FILLER_1_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 13600 ) FS ;
- FILLER_1_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 13600 ) FS ;
- FILLER_1_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 13600 ) FS ;
- FILLER_1_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 13600 ) FS ;
- FILLER_1_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 13600 ) FS ;
- FILLER_1_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 13600 ) FS ;
- FILLER_1_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 13600 ) FS ;
- FILLER_1_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 13600 ) FS ;
- FILLER_1_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 13600 ) FS ;
- FILLER_1_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 13600 ) FS ;
- FILLER_1_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 13600 ) FS ;
- FILLER_1_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 13600 ) FS ;
- FILLER_1_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 13600 ) FS ;
- FILLER_1_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 13600 ) FS ;
- FILLER_1_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 13600 ) FS ;
- FILLER_1_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 13600 ) FS ;
- FILLER_1_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
- FILLER_1_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 13600 ) FS ;
- FILLER_1_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 13600 ) FS ;
- FILLER_1_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 13600 ) FS ;
- FILLER_1_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 13600 ) FS ;
- FILLER_1_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 13600 ) FS ;
- FILLER_1_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 13600 ) FS ;
- FILLER_1_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 65280 ) N ;
- FILLER_20_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 65280 ) N ;
- FILLER_20_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 65280 ) N ;
- FILLER_20_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 65280 ) N ;
- FILLER_20_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 65280 ) N ;
- FILLER_20_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 65280 ) N ;
- FILLER_20_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 65280 ) N ;
- FILLER_20_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 65280 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 65280 ) N ;
- FILLER_20_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 65280 ) N ;
- FILLER_20_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 68000 ) FS ;
- FILLER_21_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 68000 ) FS ;
- FILLER_21_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 68000 ) FS ;
- FILLER_21_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 68000 ) FS ;
- FILLER_21_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 68000 ) FS ;
- FILLER_21_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 68000 ) FS ;
- FILLER_21_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 68000 ) FS ;
- FILLER_21_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 68000 ) FS ;
- FILLER_21_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 70720 ) N ;
- FILLER_22_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 70720 ) N ;
- FILLER_22_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 70720 ) N ;
- FILLER_22_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 70720 ) N ;
- FILLER_22_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 70720 ) N ;
- FILLER_22_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 70720 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 70720 ) N ;
- FILLER_22_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 70720 ) N ;
- FILLER_22_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 73440 ) FS ;
- FILLER_23_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 73440 ) FS ;
- FILLER_23_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 73440 ) FS ;
- FILLER_23_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 73440 ) FS ;
- FILLER_23_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 73440 ) FS ;
- FILLER_23_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 73440 ) FS ;
- FILLER_23_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 73440 ) FS ;
- FILLER_23_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 73440 ) FS ;
- FILLER_23_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 76160 ) N ;
- FILLER_24_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 76160 ) N ;
- FILLER_24_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 76160 ) N ;
- FILLER_24_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 76160 ) N ;
- FILLER_24_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 76160 ) N ;
- FILLER_24_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 76160 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 76160 ) N ;
- FILLER_24_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 76160 ) N ;
- FILLER_24_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 76160 ) N ;
- FILLER_24_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 78880 ) FS ;
- FILLER_25_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 78880 ) FS ;
- FILLER_25_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 78880 ) FS ;
- FILLER_25_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 78880 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 78880 ) FS ;
- FILLER_25_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 78880 ) FS ;
- FILLER_25_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 78880 ) FS ;
- FILLER_25_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 78880 ) FS ;
- FILLER_25_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 78880 ) FS ;
- FILLER_25_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 81600 ) N ;
- FILLER_26_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 81600 ) N ;
- FILLER_26_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 81600 ) N ;
- FILLER_26_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 81600 ) N ;
- FILLER_26_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 81600 ) N ;
- FILLER_26_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 81600 ) N ;
- FILLER_26_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 81600 ) N ;
- FILLER_26_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 81600 ) N ;
- FILLER_26_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 84320 ) FS ;
- FILLER_27_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 84320 ) FS ;
- FILLER_27_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 84320 ) FS ;
- FILLER_27_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 84320 ) FS ;
- FILLER_27_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 84320 ) FS ;
- FILLER_27_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 84320 ) FS ;
- FILLER_27_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 84320 ) FS ;
- FILLER_27_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 84320 ) FS ;
- FILLER_27_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 87040 ) N ;
- FILLER_28_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 87040 ) N ;
- FILLER_28_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 87040 ) N ;
- FILLER_28_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 87040 ) N ;
- FILLER_28_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 87040 ) N ;
- FILLER_28_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 87040 ) N ;
- FILLER_28_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 87040 ) N ;
- FILLER_28_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 87040 ) N ;
- FILLER_28_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 87040 ) N ;
- FILLER_28_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 87040 ) N ;
- FILLER_28_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 87040 ) N ;
- FILLER_28_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 87040 ) N ;
- FILLER_28_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 87040 ) N ;
- FILLER_28_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 89760 ) FS ;
- FILLER_29_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 89760 ) FS ;
- FILLER_29_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 89760 ) FS ;
- FILLER_29_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 89760 ) FS ;
- FILLER_29_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 89760 ) FS ;
- FILLER_29_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 89760 ) FS ;
- FILLER_29_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 89760 ) FS ;
- FILLER_29_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 89760 ) FS ;
- FILLER_29_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 89760 ) FS ;
- FILLER_29_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 89760 ) FS ;
- FILLER_2_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 16320 ) N ;
- FILLER_2_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 16320 ) N ;
- FILLER_2_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 16320 ) N ;
- FILLER_2_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 16320 ) N ;
- FILLER_2_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 16320 ) N ;
- FILLER_2_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 16320 ) N ;
- FILLER_2_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 16320 ) N ;
- FILLER_2_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 16320 ) N ;
- FILLER_2_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 16320 ) N ;
- FILLER_2_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 16320 ) N ;
- FILLER_2_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 16320 ) N ;
- FILLER_2_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 16320 ) N ;
- FILLER_2_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 16320 ) N ;
- FILLER_2_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 16320 ) N ;
- FILLER_2_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 16320 ) N ;
- FILLER_2_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 16320 ) N ;
- FILLER_2_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 16320 ) N ;
- FILLER_2_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 16320 ) N ;
- FILLER_2_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 16320 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 16320 ) N ;
- FILLER_2_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 16320 ) N ;
- FILLER_2_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 16320 ) N ;
- FILLER_2_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 16320 ) N ;
- FILLER_2_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 16320 ) N ;
- FILLER_2_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 16320 ) N ;
- FILLER_2_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 16320 ) N ;
- FILLER_2_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 16320 ) N ;
- FILLER_30_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 92480 ) N ;
- FILLER_30_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 92480 ) N ;
- FILLER_30_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 92480 ) N ;
- FILLER_30_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 92480 ) N ;
- FILLER_30_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 92480 ) N ;
- FILLER_30_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 92480 ) N ;
- FILLER_30_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 92480 ) N ;
- FILLER_30_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 92480 ) N ;
- FILLER_30_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 92480 ) N ;
- FILLER_30_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 92480 ) N ;
- FILLER_30_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 92480 ) N ;
- FILLER_30_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 92480 ) N ;
- FILLER_30_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 92480 ) N ;
- FILLER_30_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 92480 ) N ;
- FILLER_30_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 95200 ) FS ;
- FILLER_31_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 95200 ) FS ;
- FILLER_31_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 95200 ) FS ;
- FILLER_31_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 95200 ) FS ;
- FILLER_31_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 95200 ) FS ;
- FILLER_31_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 95200 ) FS ;
- FILLER_31_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 95200 ) FS ;
- FILLER_31_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 95200 ) FS ;
- FILLER_31_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 95200 ) FS ;
- FILLER_31_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 95200 ) FS ;
- FILLER_31_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 95200 ) FS ;
- FILLER_31_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 95200 ) FS ;
- FILLER_31_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 95200 ) FS ;
- FILLER_31_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 95200 ) FS ;
- FILLER_31_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 95200 ) FS ;
- FILLER_31_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 95200 ) FS ;
- FILLER_31_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 95200 ) FS ;
- FILLER_31_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 95200 ) FS ;
- FILLER_31_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 95200 ) FS ;
- FILLER_31_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 97920 ) N ;
- FILLER_32_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 97920 ) N ;
- FILLER_32_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 97920 ) N ;
- FILLER_32_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 97920 ) N ;
- FILLER_32_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 97920 ) N ;
- FILLER_32_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 97920 ) N ;
- FILLER_32_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 97920 ) N ;
- FILLER_32_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 97920 ) N ;
- FILLER_32_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 97920 ) N ;
- FILLER_32_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 97920 ) N ;
- FILLER_32_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 97920 ) N ;
- FILLER_32_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 100640 ) FS ;
- FILLER_33_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 100640 ) FS ;
- FILLER_33_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 100640 ) FS ;
- FILLER_33_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 100640 ) FS ;
- FILLER_33_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 100640 ) FS ;
- FILLER_33_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 100640 ) FS ;
- FILLER_33_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 100640 ) FS ;
- FILLER_33_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 100640 ) FS ;
- FILLER_33_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 103360 ) N ;
- FILLER_34_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 103360 ) N ;
- FILLER_34_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 103360 ) N ;
- FILLER_34_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 103360 ) N ;
- FILLER_34_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 103360 ) N ;
- FILLER_34_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 103360 ) N ;
- FILLER_34_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 103360 ) N ;
- FILLER_34_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 103360 ) N ;
- FILLER_34_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 106080 ) FS ;
- FILLER_35_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 106080 ) FS ;
- FILLER_35_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 106080 ) FS ;
- FILLER_35_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 106080 ) FS ;
- FILLER_35_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 106080 ) FS ;
- FILLER_35_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 106080 ) FS ;
- FILLER_35_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 106080 ) FS ;
- FILLER_35_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 106080 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 106080 ) FS ;
- FILLER_35_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 106080 ) FS ;
- FILLER_35_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 106080 ) FS ;
- FILLER_35_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 106080 ) FS ;
- FILLER_35_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 106080 ) FS ;
- FILLER_35_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 108800 ) N ;
- FILLER_36_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 108800 ) N ;
- FILLER_36_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 108800 ) N ;
- FILLER_36_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 108800 ) N ;
- FILLER_36_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 108800 ) N ;
- FILLER_36_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 108800 ) N ;
- FILLER_36_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 108800 ) N ;
- FILLER_36_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 111520 ) FS ;
- FILLER_37_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 111520 ) FS ;
- FILLER_37_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 111520 ) FS ;
- FILLER_37_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 111520 ) FS ;
- FILLER_37_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 111520 ) FS ;
- FILLER_37_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 111520 ) FS ;
- FILLER_37_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 111520 ) FS ;
- FILLER_37_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 111520 ) FS ;
- FILLER_37_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 111520 ) FS ;
- FILLER_37_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 114240 ) N ;
- FILLER_38_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 114240 ) N ;
- FILLER_38_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 114240 ) N ;
- FILLER_38_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 114240 ) N ;
- FILLER_38_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 114240 ) N ;
- FILLER_38_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 116960 ) FS ;
- FILLER_39_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 116960 ) FS ;
- FILLER_39_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 116960 ) FS ;
- FILLER_39_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 116960 ) FS ;
- FILLER_39_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 116960 ) FS ;
- FILLER_39_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 116960 ) FS ;
- FILLER_39_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 116960 ) FS ;
- FILLER_39_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 116960 ) FS ;
- FILLER_39_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 19040 ) FS ;
- FILLER_3_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 19040 ) FS ;
- FILLER_3_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 19040 ) FS ;
- FILLER_3_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 19040 ) FS ;
- FILLER_3_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 19040 ) FS ;
- FILLER_3_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 19040 ) FS ;
- FILLER_3_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 19040 ) FS ;
- FILLER_3_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 19040 ) FS ;
- FILLER_3_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 19040 ) FS ;
- FILLER_3_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 19040 ) FS ;
- FILLER_3_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 19040 ) FS ;
- FILLER_3_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 19040 ) FS ;
- FILLER_3_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 19040 ) FS ;
- FILLER_3_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 19040 ) FS ;
- FILLER_3_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 19040 ) FS ;
- FILLER_3_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 119680 ) N ;
- FILLER_40_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 119680 ) N ;
- FILLER_40_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 119680 ) N ;
- FILLER_40_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 119680 ) N ;
- FILLER_40_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 119680 ) N ;
- FILLER_40_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 119680 ) N ;
- FILLER_40_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 119680 ) N ;
- FILLER_40_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 119680 ) N ;
- FILLER_40_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 119680 ) N ;
- FILLER_40_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 119680 ) N ;
- FILLER_40_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 122400 ) FS ;
- FILLER_41_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 122400 ) FS ;
- FILLER_41_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 122400 ) FS ;
- FILLER_41_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 122400 ) FS ;
- FILLER_41_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 125120 ) N ;
- FILLER_42_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 125120 ) N ;
- FILLER_42_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 125120 ) N ;
- FILLER_42_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 125120 ) N ;
- FILLER_42_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 125120 ) N ;
- FILLER_42_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 125120 ) N ;
- FILLER_42_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 125120 ) N ;
- FILLER_42_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 125120 ) N ;
- FILLER_42_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 125120 ) N ;
- FILLER_42_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 125120 ) N ;
- FILLER_42_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 125120 ) N ;
- FILLER_42_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 125120 ) N ;
- FILLER_42_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 127840 ) FS ;
- FILLER_43_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 127840 ) FS ;
- FILLER_43_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 127840 ) FS ;
- FILLER_43_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 127840 ) FS ;
- FILLER_43_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 127840 ) FS ;
- FILLER_43_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 127840 ) FS ;
- FILLER_43_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 127840 ) FS ;
- FILLER_43_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 127840 ) FS ;
- FILLER_43_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 130560 ) N ;
- FILLER_44_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 130560 ) N ;
- FILLER_44_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 130560 ) N ;
- FILLER_44_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 130560 ) N ;
- FILLER_44_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 130560 ) N ;
- FILLER_44_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 130560 ) N ;
- FILLER_44_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 130560 ) N ;
- FILLER_44_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 130560 ) N ;
- FILLER_44_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 130560 ) N ;
- FILLER_44_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 133280 ) FS ;
- FILLER_45_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 133280 ) FS ;
- FILLER_45_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 133280 ) FS ;
- FILLER_45_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 133280 ) FS ;
- FILLER_45_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 133280 ) FS ;
- FILLER_45_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 133280 ) FS ;
- FILLER_45_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 136000 ) N ;
- FILLER_46_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 136000 ) N ;
- FILLER_46_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 136000 ) N ;
- FILLER_46_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 136000 ) N ;
- FILLER_46_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 136000 ) N ;
- FILLER_46_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 136000 ) N ;
- FILLER_46_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 136000 ) N ;
- FILLER_46_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 136000 ) N ;
- FILLER_46_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 136000 ) N ;
- FILLER_46_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 136000 ) N ;
- FILLER_46_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 138720 ) FS ;
- FILLER_47_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 138720 ) FS ;
- FILLER_47_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 138720 ) FS ;
- FILLER_47_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 138720 ) FS ;
- FILLER_47_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 138720 ) FS ;
- FILLER_47_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 138720 ) FS ;
- FILLER_47_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 138720 ) FS ;
- FILLER_47_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 138720 ) FS ;
- FILLER_47_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 138720 ) FS ;
- FILLER_47_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 138720 ) FS ;
- FILLER_47_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 138720 ) FS ;
- FILLER_47_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 138720 ) FS ;
- FILLER_47_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 141440 ) N ;
- FILLER_48_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 141440 ) N ;
- FILLER_48_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 141440 ) N ;
- FILLER_48_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 141440 ) N ;
- FILLER_48_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 141440 ) N ;
- FILLER_48_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 141440 ) N ;
- FILLER_48_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 141440 ) N ;
- FILLER_48_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 141440 ) N ;
- FILLER_48_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 141440 ) N ;
- FILLER_48_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 141440 ) N ;
- FILLER_48_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 141440 ) N ;
- FILLER_48_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 144160 ) FS ;
- FILLER_49_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 144160 ) FS ;
- FILLER_49_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 144160 ) FS ;
- FILLER_49_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 144160 ) FS ;
- FILLER_49_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 144160 ) FS ;
- FILLER_49_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 144160 ) FS ;
- FILLER_49_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 144160 ) FS ;
- FILLER_49_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 144160 ) FS ;
- FILLER_49_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 144160 ) FS ;
- FILLER_4_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 21760 ) N ;
- FILLER_4_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 21760 ) N ;
- FILLER_4_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 21760 ) N ;
- FILLER_4_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 21760 ) N ;
- FILLER_4_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 21760 ) N ;
- FILLER_4_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 21760 ) N ;
- FILLER_4_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 21760 ) N ;
- FILLER_4_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 21760 ) N ;
- FILLER_4_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 21760 ) N ;
- FILLER_4_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 21760 ) N ;
- FILLER_4_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 21760 ) N ;
- FILLER_4_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 21760 ) N ;
- FILLER_4_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 21760 ) N ;
- FILLER_4_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 21760 ) N ;
- FILLER_4_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 21760 ) N ;
- FILLER_4_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 21760 ) N ;
- FILLER_4_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 146880 ) N ;
- FILLER_50_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 146880 ) N ;
- FILLER_50_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 146880 ) N ;
- FILLER_50_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 146880 ) N ;
- FILLER_50_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 146880 ) N ;
- FILLER_50_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 146880 ) N ;
- FILLER_50_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 146880 ) N ;
- FILLER_50_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 146880 ) N ;
- FILLER_50_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 146880 ) N ;
- FILLER_50_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 146880 ) N ;
- FILLER_50_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 146880 ) N ;
- FILLER_50_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 149600 ) FS ;
- FILLER_51_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 149600 ) FS ;
- FILLER_51_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 149600 ) FS ;
- FILLER_51_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 149600 ) FS ;
- FILLER_51_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 149600 ) FS ;
- FILLER_51_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 149600 ) FS ;
- FILLER_51_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 149600 ) FS ;
- FILLER_51_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 149600 ) FS ;
- FILLER_51_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 149600 ) FS ;
- FILLER_51_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 152320 ) N ;
- FILLER_52_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 152320 ) N ;
- FILLER_52_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 152320 ) N ;
- FILLER_52_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 152320 ) N ;
- FILLER_52_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 152320 ) N ;
- FILLER_52_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 152320 ) N ;
- FILLER_52_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 132940 152320 ) N ;
- FILLER_52_285 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 136620 152320 ) N ;
- FILLER_52_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 152320 ) N ;
- FILLER_52_290 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138920 152320 ) N ;
- FILLER_52_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 152320 ) N ;
- FILLER_52_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 152320 ) N ;
- FILLER_52_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 152320 ) N ;
- FILLER_52_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 152320 ) N ;
- FILLER_52_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 152320 ) N ;
- FILLER_53_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 155040 ) FS ;
- FILLER_53_106 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 54280 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 57500 155040 ) FS ;
- FILLER_53_118 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 59800 155040 ) FS ;
- FILLER_53_130 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 65320 155040 ) FS ;
- FILLER_53_138 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 69000 155040 ) FS ;
- FILLER_53_141 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 70380 155040 ) FS ;
- FILLER_53_146 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 72680 155040 ) FS ;
- FILLER_53_150 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 74520 155040 ) FS ;
- FILLER_53_154 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76360 155040 ) FS ;
- FILLER_53_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 83260 155040 ) FS ;
- FILLER_53_17 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13340 155040 ) FS ;
- FILLER_53_178 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 87400 155040 ) FS ;
- FILLER_53_186 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 91080 155040 ) FS ;
- FILLER_53_190 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92920 155040 ) FS ;
- FILLER_53_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 155040 ) FS ;
- FILLER_53_209 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 101660 155040 ) FS ;
- FILLER_53_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 155040 ) FS ;
- FILLER_53_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 155040 ) FS ;
- FILLER_53_230 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 111320 155040 ) FS ;
- FILLER_53_242 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 116840 155040 ) FS ;
- FILLER_53_246 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 118680 155040 ) FS ;
- FILLER_53_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 155040 ) FS ;
- FILLER_53_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 155040 ) FS ;
- FILLER_53_253 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 121900 155040 ) FS ;
- FILLER_53_262 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126040 155040 ) FS ;
- FILLER_53_274 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131560 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 134780 155040 ) FS ;
- FILLER_53_286 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 137080 155040 ) FS ;
- FILLER_53_29 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 18860 155040 ) FS ;
- FILLER_53_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 155040 ) FS ;
- FILLER_53_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 155040 ) FS ;
- FILLER_53_34 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 21160 155040 ) FS ;
- FILLER_53_42 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 24840 155040 ) FS ;
- FILLER_53_46 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26680 155040 ) FS ;
- FILLER_53_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 155040 ) FS ;
- FILLER_53_65 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 35420 155040 ) FS ;
- FILLER_53_70 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 37720 155040 ) FS ;
- FILLER_53_78 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 41400 155040 ) FS ;
- FILLER_53_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 155040 ) FS ;
- FILLER_53_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 155040 ) FS ;
- FILLER_53_97 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 50140 155040 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 24480 ) FS ;
- FILLER_5_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 24480 ) FS ;
- FILLER_5_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 24480 ) FS ;
- FILLER_5_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 24480 ) FS ;
- FILLER_5_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 24480 ) FS ;
- FILLER_5_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 24480 ) FS ;
- FILLER_5_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 24480 ) FS ;
- FILLER_5_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 24480 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 24480 ) FS ;
- FILLER_5_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 24480 ) FS ;
- FILLER_5_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 24480 ) FS ;
- FILLER_5_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 24480 ) FS ;
- FILLER_5_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 24480 ) FS ;
- FILLER_5_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 24480 ) FS ;
- FILLER_5_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 24480 ) FS ;
- FILLER_6_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 27200 ) N ;
- FILLER_6_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 27200 ) N ;
- FILLER_6_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 27200 ) N ;
- FILLER_6_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 27200 ) N ;
- FILLER_6_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 27200 ) N ;
- FILLER_6_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 27200 ) N ;
- FILLER_6_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 27200 ) N ;
- FILLER_6_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 27200 ) N ;
- FILLER_6_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 27200 ) N ;
- FILLER_6_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
- FILLER_7_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 29920 ) FS ;
- FILLER_7_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 29920 ) FS ;
- FILLER_7_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 29920 ) FS ;
- FILLER_7_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 29920 ) FS ;
- FILLER_7_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 29920 ) FS ;
- FILLER_7_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 29920 ) FS ;
- FILLER_7_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 29920 ) FS ;
- FILLER_7_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 29920 ) FS ;
- FILLER_7_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 29920 ) FS ;
- FILLER_7_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 29920 ) FS ;
- FILLER_7_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 29920 ) FS ;
- FILLER_8_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 32640 ) N ;
- FILLER_8_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 32640 ) N ;
- FILLER_8_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
- FILLER_8_277 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 32640 ) N ;
- FILLER_8_293 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 140300 32640 ) N ;
- FILLER_8_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 32640 ) N ;
- FILLER_8_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
- FILLER_8_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 32640 ) N ;
- FILLER_8_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 32640 ) N ;
- FILLER_8_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 32640 ) N ;
- FILLER_8_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 35360 ) FS ;
- FILLER_9_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 35360 ) FS ;
- FILLER_9_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 35360 ) FS ;
- FILLER_9_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 35360 ) FS ;
- FILLER_9_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 35360 ) FS ;
- FILLER_9_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 35360 ) FS ;
- FILLER_9_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 35360 ) FS ;
- FILLER_9_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 35360 ) FS ;
- FILLER_9_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 35360 ) FS ;
- FILLER_9_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 35360 ) FS ;
- FILLER_9_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 35360 ) FS ;
- FILLER_9_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 155040 ) S ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 24480 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 143060 144160 ) S ;
- TAP_108 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_109 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_110 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_111 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_112 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_113 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_114 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_115 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_116 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_117 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_118 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_119 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_120 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_121 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_122 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_123 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_124 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_125 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_126 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_127 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_128 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_129 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 155040 ) FS ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 155040 ) FS ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 155040 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 155040 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 155040 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- tiny_user_project_1 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 43520 ) N ;
- tiny_user_project_10 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 136000 ) N ;
- tiny_user_project_11 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 146880 ) N ;
- tiny_user_project_12 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 152320 ) N ;
- tiny_user_project_13 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 155040 ) S ;
- tiny_user_project_14 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 124660 155040 ) S ;
- tiny_user_project_15 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 109940 155040 ) S ;
- tiny_user_project_16 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 91540 155040 ) S ;
- tiny_user_project_17 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 74980 155040 ) S ;
- tiny_user_project_18 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 58420 155040 ) S ;
- tiny_user_project_19 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 41860 155040 ) S ;
- tiny_user_project_2 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 54400 ) N ;
- tiny_user_project_20 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 25300 155040 ) S ;
- tiny_user_project_21 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 8740 155040 ) S ;
- tiny_user_project_22 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 152320 ) FN ;
- tiny_user_project_23 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 141440 ) FN ;
- tiny_user_project_24 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 130560 ) FN ;
- tiny_user_project_25 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 119680 ) FN ;
- tiny_user_project_26 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 111520 ) S ;
- tiny_user_project_27 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 100640 ) S ;
- tiny_user_project_28 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 89760 ) S ;
- tiny_user_project_29 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 78880 ) S ;
- tiny_user_project_3 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 65280 ) N ;
- tiny_user_project_30 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 70720 ) FN ;
- tiny_user_project_31 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 59840 ) FN ;
- tiny_user_project_32 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 48960 ) FN ;
- tiny_user_project_33 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 38080 ) FN ;
- tiny_user_project_34 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 29920 ) S ;
- tiny_user_project_35 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 19040 ) S ;
- tiny_user_project_36 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 16320 ) N ;
- tiny_user_project_37 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 27200 ) N ;
- tiny_user_project_38 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 38080 ) N ;
- tiny_user_project_39 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 46240 ) FS ;
- tiny_user_project_4 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 73440 ) FS ;
- tiny_user_project_40 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 57120 ) FS ;
- tiny_user_project_41 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 68000 ) FS ;
- tiny_user_project_42 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 78880 ) FS ;
- tiny_user_project_43 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 87040 ) N ;
- tiny_user_project_44 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 97920 ) N ;
- tiny_user_project_45 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 108800 ) N ;
- tiny_user_project_46 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 119680 ) N ;
- tiny_user_project_47 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 127840 ) FS ;
- tiny_user_project_48 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 138720 ) FS ;
- tiny_user_project_49 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 149600 ) FS ;
- tiny_user_project_5 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 84320 ) FS ;
- tiny_user_project_50 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 137540 152320 ) N ;
- tiny_user_project_51 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 135700 155040 ) S ;
- tiny_user_project_52 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 119140 155040 ) S ;
- tiny_user_project_53 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 102580 155040 ) S ;
- tiny_user_project_54 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 86020 155040 ) S ;
- tiny_user_project_55 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 71300 155040 ) S ;
- tiny_user_project_56 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 52900 155040 ) S ;
- tiny_user_project_57 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 36340 155040 ) S ;
- tiny_user_project_58 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 19780 155040 ) S ;
- tiny_user_project_59 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 11960 155040 ) S ;
- tiny_user_project_6 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 95200 ) FS ;
- tiny_user_project_60 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 146880 ) FN ;
- tiny_user_project_61 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 138720 ) S ;
- tiny_user_project_62 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 127840 ) S ;
- tiny_user_project_63 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 116960 ) S ;
- tiny_user_project_64 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 106080 ) S ;
- tiny_user_project_65 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 97920 ) FN ;
- tiny_user_project_66 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 87040 ) FN ;
- tiny_user_project_67 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 76160 ) FN ;
- tiny_user_project_68 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 65280 ) FN ;
- tiny_user_project_69 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 57120 ) S ;
- tiny_user_project_7 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 106080 ) FS ;
- tiny_user_project_70 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 46240 ) S ;
- tiny_user_project_71 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 35360 ) S ;
- tiny_user_project_72 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 24480 ) S ;
- tiny_user_project_73 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 16320 ) FN ;
- tiny_user_project_74 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 13600 ) FS ;
- tiny_user_project_75 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 24480 ) FS ;
- tiny_user_project_76 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 32640 ) N ;
- tiny_user_project_8 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 114240 ) N ;
- tiny_user_project_9 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 140760 125120 ) N ;
END COMPONENTS
PINS 116 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 9860 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 111860 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 122060 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 132260 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 142460 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 152660 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 168000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 168000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 168000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 168000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 168000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 20060 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 168000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 168000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 168000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 168000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154700 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134300 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124100 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113900 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103700 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 30260 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 93500 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 83300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 73100 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62900 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52700 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 42500 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32300 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22100 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 40460 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 50660 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 60860 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 71060 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 81260 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 91460 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 101660 ) N ;
- io_oeb[0] + NET net36 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 16660 ) N ;
- io_oeb[10] + NET net46 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 118660 ) N ;
- io_oeb[11] + NET net47 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 128860 ) N ;
- io_oeb[12] + NET net48 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 139060 ) N ;
- io_oeb[13] + NET net49 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 149260 ) N ;
- io_oeb[14] + NET net50 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 159460 ) N ;
- io_oeb[15] + NET net51 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 168000 ) N ;
- io_oeb[16] + NET net52 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 168000 ) N ;
- io_oeb[17] + NET net53 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 168000 ) N ;
- io_oeb[18] + NET net54 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 168000 ) N ;
- io_oeb[19] + NET net55 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 168000 ) N ;
- io_oeb[1] + NET net37 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 26860 ) N ;
- io_oeb[20] + NET net56 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 168000 ) N ;
- io_oeb[21] + NET net57 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 168000 ) N ;
- io_oeb[22] + NET net58 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 168000 ) N ;
- io_oeb[23] + NET net59 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 168000 ) N ;
- io_oeb[24] + NET net60 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 147900 ) N ;
- io_oeb[25] + NET net61 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 137700 ) N ;
- io_oeb[26] + NET net62 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 127500 ) N ;
- io_oeb[27] + NET net63 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- io_oeb[28] + NET net64 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107100 ) N ;
- io_oeb[29] + NET net65 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- io_oeb[2] + NET net38 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 37060 ) N ;
- io_oeb[30] + NET net66 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86700 ) N ;
- io_oeb[31] + NET net67 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- io_oeb[32] + NET net68 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66300 ) N ;
- io_oeb[33] + NET net69 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- io_oeb[34] + NET net70 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45900 ) N ;
- io_oeb[35] + NET net71 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- io_oeb[36] + NET net72 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 25500 ) N ;
- io_oeb[37] + NET net73 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 15300 ) N ;
- io_oeb[3] + NET net39 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 47260 ) N ;
- io_oeb[4] + NET net40 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 57460 ) N ;
- io_oeb[5] + NET net41 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 67660 ) N ;
- io_oeb[6] + NET net42 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 77860 ) N ;
- io_oeb[7] + NET net43 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 88060 ) N ;
- io_oeb[8] + NET net44 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 98260 ) N ;
- io_oeb[9] + NET net45 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 108460 ) N ;
- io_out[0] + NET net74 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 13260 ) N ;
- io_out[10] + NET net8 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 115260 ) N ;
- io_out[11] + NET net9 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 125460 ) N ;
- io_out[12] + NET net10 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 135660 ) N ;
- io_out[13] + NET net11 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 145860 ) N ;
- io_out[14] + NET net12 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 156060 ) N ;
- io_out[15] + NET net13 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 168000 ) N ;
- io_out[16] + NET net14 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 168000 ) N ;
- io_out[17] + NET net15 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 168000 ) N ;
- io_out[18] + NET net16 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 168000 ) N ;
- io_out[19] + NET net17 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 168000 ) N ;
- io_out[1] + NET net75 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 23460 ) N ;
- io_out[20] + NET net18 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 168000 ) N ;
- io_out[21] + NET net19 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 168000 ) N ;
- io_out[22] + NET net20 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 168000 ) N ;
- io_out[23] + NET net21 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 168000 ) N ;
- io_out[24] + NET net22 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 151300 ) N ;
- io_out[25] + NET net23 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 141100 ) N ;
- io_out[26] + NET net24 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130900 ) N ;
- io_out[27] + NET net25 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120700 ) N ;
- io_out[28] + NET net26 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 110500 ) N ;
- io_out[29] + NET net27 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100300 ) N ;
- io_out[2] + NET net76 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 33660 ) N ;
- io_out[30] + NET net28 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90100 ) N ;
- io_out[31] + NET net29 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 79900 ) N ;
- io_out[32] + NET net30 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69700 ) N ;
- io_out[33] + NET net31 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 59500 ) N ;
- io_out[34] + NET net32 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49300 ) N ;
- io_out[35] + NET net33 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39100 ) N ;
- io_out[36] + NET net34 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- io_out[37] + NET net35 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18700 ) N ;
- io_out[3] + NET net1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 43860 ) N ;
- io_out[4] + NET net2 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 54060 ) N ;
- io_out[5] + NET net3 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 64260 ) N ;
- io_out[6] + NET net4 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 74460 ) N ;
- io_out[7] + NET net5 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 84660 ) N ;
- io_out[8] + NET net6 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 94860 ) N ;
- io_out[9] + NET net7 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 148000 105060 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -73680 ) ( 800 73680 )
+ LAYER met4 ( -35530 -73680 ) ( -33930 73680 )
+ LAYER met4 ( -70260 -73680 ) ( -68660 73680 )
+ LAYER met4 ( -104990 -73680 ) ( -103390 73680 )
+ FIXED ( 127075 84320 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -73680 ) ( 800 73680 )
+ LAYER met4 ( -35530 -73680 ) ( -33930 73680 )
+ LAYER met4 ( -70260 -73680 ) ( -68660 73680 )
+ LAYER met4 ( -104990 -73680 ) ( -103390 73680 )
+ FIXED ( 144440 84320 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 144440 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 144440 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 144440 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 144440 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 144440 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 144440 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 144440 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 144440 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 144440 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 144440 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 144440 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 144440 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 144440 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 144440 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 144440 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 144440 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 144440 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 144440 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 144440 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 144440 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 144440 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 144440 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 144440 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 144440 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 144440 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 144440 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 144440 13600 )
NEW met4 1600 + SHAPE STRIPE ( 127075 10640 ) ( 127075 158000 )
NEW met4 1600 + SHAPE STRIPE ( 92345 10640 ) ( 92345 158000 )
NEW met4 1600 + SHAPE STRIPE ( 57615 10640 ) ( 57615 158000 )
NEW met4 1600 + SHAPE STRIPE ( 22885 10640 ) ( 22885 158000 )
NEW met3 330 + SHAPE STRIPE ( 126285 155040 ) ( 127865 155040 )
NEW met3 0 + SHAPE STRIPE ( 127075 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 155040 ) ( 127845 155040 )
NEW met2 0 + SHAPE STRIPE ( 127075 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 149600 ) ( 127865 149600 )
NEW met3 0 + SHAPE STRIPE ( 127075 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 149600 ) ( 127845 149600 )
NEW met2 0 + SHAPE STRIPE ( 127075 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 144160 ) ( 127865 144160 )
NEW met3 0 + SHAPE STRIPE ( 127075 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 144160 ) ( 127845 144160 )
NEW met2 0 + SHAPE STRIPE ( 127075 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 138720 ) ( 127865 138720 )
NEW met3 0 + SHAPE STRIPE ( 127075 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 138720 ) ( 127845 138720 )
NEW met2 0 + SHAPE STRIPE ( 127075 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 133280 ) ( 127865 133280 )
NEW met3 0 + SHAPE STRIPE ( 127075 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 133280 ) ( 127845 133280 )
NEW met2 0 + SHAPE STRIPE ( 127075 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 127840 ) ( 127865 127840 )
NEW met3 0 + SHAPE STRIPE ( 127075 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 127840 ) ( 127845 127840 )
NEW met2 0 + SHAPE STRIPE ( 127075 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 122400 ) ( 127865 122400 )
NEW met3 0 + SHAPE STRIPE ( 127075 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 122400 ) ( 127845 122400 )
NEW met2 0 + SHAPE STRIPE ( 127075 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 116960 ) ( 127865 116960 )
NEW met3 0 + SHAPE STRIPE ( 127075 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 116960 ) ( 127845 116960 )
NEW met2 0 + SHAPE STRIPE ( 127075 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 111520 ) ( 127865 111520 )
NEW met3 0 + SHAPE STRIPE ( 127075 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 111520 ) ( 127845 111520 )
NEW met2 0 + SHAPE STRIPE ( 127075 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 106080 ) ( 127865 106080 )
NEW met3 0 + SHAPE STRIPE ( 127075 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 106080 ) ( 127845 106080 )
NEW met2 0 + SHAPE STRIPE ( 127075 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 100640 ) ( 127865 100640 )
NEW met3 0 + SHAPE STRIPE ( 127075 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 100640 ) ( 127845 100640 )
NEW met2 0 + SHAPE STRIPE ( 127075 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 95200 ) ( 127865 95200 )
NEW met3 0 + SHAPE STRIPE ( 127075 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 95200 ) ( 127845 95200 )
NEW met2 0 + SHAPE STRIPE ( 127075 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 89760 ) ( 127865 89760 )
NEW met3 0 + SHAPE STRIPE ( 127075 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 89760 ) ( 127845 89760 )
NEW met2 0 + SHAPE STRIPE ( 127075 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 84320 ) ( 127865 84320 )
NEW met3 0 + SHAPE STRIPE ( 127075 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 84320 ) ( 127845 84320 )
NEW met2 0 + SHAPE STRIPE ( 127075 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 78880 ) ( 127865 78880 )
NEW met3 0 + SHAPE STRIPE ( 127075 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 78880 ) ( 127845 78880 )
NEW met2 0 + SHAPE STRIPE ( 127075 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 73440 ) ( 127865 73440 )
NEW met3 0 + SHAPE STRIPE ( 127075 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 73440 ) ( 127845 73440 )
NEW met2 0 + SHAPE STRIPE ( 127075 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 68000 ) ( 127865 68000 )
NEW met3 0 + SHAPE STRIPE ( 127075 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 68000 ) ( 127845 68000 )
NEW met2 0 + SHAPE STRIPE ( 127075 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 62560 ) ( 127865 62560 )
NEW met3 0 + SHAPE STRIPE ( 127075 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 62560 ) ( 127845 62560 )
NEW met2 0 + SHAPE STRIPE ( 127075 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 57120 ) ( 127865 57120 )
NEW met3 0 + SHAPE STRIPE ( 127075 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 57120 ) ( 127845 57120 )
NEW met2 0 + SHAPE STRIPE ( 127075 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 51680 ) ( 127865 51680 )
NEW met3 0 + SHAPE STRIPE ( 127075 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 51680 ) ( 127845 51680 )
NEW met2 0 + SHAPE STRIPE ( 127075 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 46240 ) ( 127865 46240 )
NEW met3 0 + SHAPE STRIPE ( 127075 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 46240 ) ( 127845 46240 )
NEW met2 0 + SHAPE STRIPE ( 127075 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 40800 ) ( 127865 40800 )
NEW met3 0 + SHAPE STRIPE ( 127075 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 40800 ) ( 127845 40800 )
NEW met2 0 + SHAPE STRIPE ( 127075 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 35360 ) ( 127865 35360 )
NEW met3 0 + SHAPE STRIPE ( 127075 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 35360 ) ( 127845 35360 )
NEW met2 0 + SHAPE STRIPE ( 127075 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 29920 ) ( 127865 29920 )
NEW met3 0 + SHAPE STRIPE ( 127075 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 29920 ) ( 127845 29920 )
NEW met2 0 + SHAPE STRIPE ( 127075 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 24480 ) ( 127865 24480 )
NEW met3 0 + SHAPE STRIPE ( 127075 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 24480 ) ( 127845 24480 )
NEW met2 0 + SHAPE STRIPE ( 127075 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 19040 ) ( 127865 19040 )
NEW met3 0 + SHAPE STRIPE ( 127075 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 19040 ) ( 127845 19040 )
NEW met2 0 + SHAPE STRIPE ( 127075 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 126285 13600 ) ( 127865 13600 )
NEW met3 0 + SHAPE STRIPE ( 127075 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 126305 13600 ) ( 127845 13600 )
NEW met2 0 + SHAPE STRIPE ( 127075 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 127075 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 155040 ) ( 93135 155040 )
NEW met3 0 + SHAPE STRIPE ( 92345 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 155040 ) ( 93115 155040 )
NEW met2 0 + SHAPE STRIPE ( 92345 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 149600 ) ( 93135 149600 )
NEW met3 0 + SHAPE STRIPE ( 92345 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 149600 ) ( 93115 149600 )
NEW met2 0 + SHAPE STRIPE ( 92345 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 144160 ) ( 93135 144160 )
NEW met3 0 + SHAPE STRIPE ( 92345 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 144160 ) ( 93115 144160 )
NEW met2 0 + SHAPE STRIPE ( 92345 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 138720 ) ( 93135 138720 )
NEW met3 0 + SHAPE STRIPE ( 92345 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 138720 ) ( 93115 138720 )
NEW met2 0 + SHAPE STRIPE ( 92345 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 133280 ) ( 93135 133280 )
NEW met3 0 + SHAPE STRIPE ( 92345 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 133280 ) ( 93115 133280 )
NEW met2 0 + SHAPE STRIPE ( 92345 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 127840 ) ( 93135 127840 )
NEW met3 0 + SHAPE STRIPE ( 92345 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 127840 ) ( 93115 127840 )
NEW met2 0 + SHAPE STRIPE ( 92345 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 122400 ) ( 93135 122400 )
NEW met3 0 + SHAPE STRIPE ( 92345 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 122400 ) ( 93115 122400 )
NEW met2 0 + SHAPE STRIPE ( 92345 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 116960 ) ( 93135 116960 )
NEW met3 0 + SHAPE STRIPE ( 92345 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 116960 ) ( 93115 116960 )
NEW met2 0 + SHAPE STRIPE ( 92345 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 111520 ) ( 93135 111520 )
NEW met3 0 + SHAPE STRIPE ( 92345 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 111520 ) ( 93115 111520 )
NEW met2 0 + SHAPE STRIPE ( 92345 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 106080 ) ( 93135 106080 )
NEW met3 0 + SHAPE STRIPE ( 92345 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 106080 ) ( 93115 106080 )
NEW met2 0 + SHAPE STRIPE ( 92345 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 100640 ) ( 93135 100640 )
NEW met3 0 + SHAPE STRIPE ( 92345 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 100640 ) ( 93115 100640 )
NEW met2 0 + SHAPE STRIPE ( 92345 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 95200 ) ( 93135 95200 )
NEW met3 0 + SHAPE STRIPE ( 92345 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 95200 ) ( 93115 95200 )
NEW met2 0 + SHAPE STRIPE ( 92345 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 89760 ) ( 93135 89760 )
NEW met3 0 + SHAPE STRIPE ( 92345 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 89760 ) ( 93115 89760 )
NEW met2 0 + SHAPE STRIPE ( 92345 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 84320 ) ( 93135 84320 )
NEW met3 0 + SHAPE STRIPE ( 92345 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 84320 ) ( 93115 84320 )
NEW met2 0 + SHAPE STRIPE ( 92345 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 78880 ) ( 93135 78880 )
NEW met3 0 + SHAPE STRIPE ( 92345 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 78880 ) ( 93115 78880 )
NEW met2 0 + SHAPE STRIPE ( 92345 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 73440 ) ( 93135 73440 )
NEW met3 0 + SHAPE STRIPE ( 92345 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 73440 ) ( 93115 73440 )
NEW met2 0 + SHAPE STRIPE ( 92345 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 68000 ) ( 93135 68000 )
NEW met3 0 + SHAPE STRIPE ( 92345 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 68000 ) ( 93115 68000 )
NEW met2 0 + SHAPE STRIPE ( 92345 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 62560 ) ( 93135 62560 )
NEW met3 0 + SHAPE STRIPE ( 92345 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 62560 ) ( 93115 62560 )
NEW met2 0 + SHAPE STRIPE ( 92345 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 57120 ) ( 93135 57120 )
NEW met3 0 + SHAPE STRIPE ( 92345 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 57120 ) ( 93115 57120 )
NEW met2 0 + SHAPE STRIPE ( 92345 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 51680 ) ( 93135 51680 )
NEW met3 0 + SHAPE STRIPE ( 92345 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 51680 ) ( 93115 51680 )
NEW met2 0 + SHAPE STRIPE ( 92345 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 46240 ) ( 93135 46240 )
NEW met3 0 + SHAPE STRIPE ( 92345 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 46240 ) ( 93115 46240 )
NEW met2 0 + SHAPE STRIPE ( 92345 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 40800 ) ( 93135 40800 )
NEW met3 0 + SHAPE STRIPE ( 92345 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 40800 ) ( 93115 40800 )
NEW met2 0 + SHAPE STRIPE ( 92345 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 35360 ) ( 93135 35360 )
NEW met3 0 + SHAPE STRIPE ( 92345 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 35360 ) ( 93115 35360 )
NEW met2 0 + SHAPE STRIPE ( 92345 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 29920 ) ( 93135 29920 )
NEW met3 0 + SHAPE STRIPE ( 92345 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 29920 ) ( 93115 29920 )
NEW met2 0 + SHAPE STRIPE ( 92345 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 24480 ) ( 93135 24480 )
NEW met3 0 + SHAPE STRIPE ( 92345 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 24480 ) ( 93115 24480 )
NEW met2 0 + SHAPE STRIPE ( 92345 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 19040 ) ( 93135 19040 )
NEW met3 0 + SHAPE STRIPE ( 92345 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 19040 ) ( 93115 19040 )
NEW met2 0 + SHAPE STRIPE ( 92345 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 91555 13600 ) ( 93135 13600 )
NEW met3 0 + SHAPE STRIPE ( 92345 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 91575 13600 ) ( 93115 13600 )
NEW met2 0 + SHAPE STRIPE ( 92345 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 92345 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 155040 ) ( 58405 155040 )
NEW met3 0 + SHAPE STRIPE ( 57615 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 155040 ) ( 58385 155040 )
NEW met2 0 + SHAPE STRIPE ( 57615 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 149600 ) ( 58405 149600 )
NEW met3 0 + SHAPE STRIPE ( 57615 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 149600 ) ( 58385 149600 )
NEW met2 0 + SHAPE STRIPE ( 57615 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 144160 ) ( 58405 144160 )
NEW met3 0 + SHAPE STRIPE ( 57615 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 144160 ) ( 58385 144160 )
NEW met2 0 + SHAPE STRIPE ( 57615 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 138720 ) ( 58405 138720 )
NEW met3 0 + SHAPE STRIPE ( 57615 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 138720 ) ( 58385 138720 )
NEW met2 0 + SHAPE STRIPE ( 57615 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 133280 ) ( 58405 133280 )
NEW met3 0 + SHAPE STRIPE ( 57615 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 133280 ) ( 58385 133280 )
NEW met2 0 + SHAPE STRIPE ( 57615 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 127840 ) ( 58405 127840 )
NEW met3 0 + SHAPE STRIPE ( 57615 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 127840 ) ( 58385 127840 )
NEW met2 0 + SHAPE STRIPE ( 57615 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 122400 ) ( 58405 122400 )
NEW met3 0 + SHAPE STRIPE ( 57615 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 122400 ) ( 58385 122400 )
NEW met2 0 + SHAPE STRIPE ( 57615 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 116960 ) ( 58405 116960 )
NEW met3 0 + SHAPE STRIPE ( 57615 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 116960 ) ( 58385 116960 )
NEW met2 0 + SHAPE STRIPE ( 57615 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 111520 ) ( 58405 111520 )
NEW met3 0 + SHAPE STRIPE ( 57615 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 111520 ) ( 58385 111520 )
NEW met2 0 + SHAPE STRIPE ( 57615 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 106080 ) ( 58405 106080 )
NEW met3 0 + SHAPE STRIPE ( 57615 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 106080 ) ( 58385 106080 )
NEW met2 0 + SHAPE STRIPE ( 57615 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 100640 ) ( 58405 100640 )
NEW met3 0 + SHAPE STRIPE ( 57615 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 100640 ) ( 58385 100640 )
NEW met2 0 + SHAPE STRIPE ( 57615 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 95200 ) ( 58405 95200 )
NEW met3 0 + SHAPE STRIPE ( 57615 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 95200 ) ( 58385 95200 )
NEW met2 0 + SHAPE STRIPE ( 57615 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 89760 ) ( 58405 89760 )
NEW met3 0 + SHAPE STRIPE ( 57615 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 89760 ) ( 58385 89760 )
NEW met2 0 + SHAPE STRIPE ( 57615 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 84320 ) ( 58405 84320 )
NEW met3 0 + SHAPE STRIPE ( 57615 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 84320 ) ( 58385 84320 )
NEW met2 0 + SHAPE STRIPE ( 57615 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 78880 ) ( 58405 78880 )
NEW met3 0 + SHAPE STRIPE ( 57615 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 78880 ) ( 58385 78880 )
NEW met2 0 + SHAPE STRIPE ( 57615 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 73440 ) ( 58405 73440 )
NEW met3 0 + SHAPE STRIPE ( 57615 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 73440 ) ( 58385 73440 )
NEW met2 0 + SHAPE STRIPE ( 57615 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 68000 ) ( 58405 68000 )
NEW met3 0 + SHAPE STRIPE ( 57615 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 68000 ) ( 58385 68000 )
NEW met2 0 + SHAPE STRIPE ( 57615 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 62560 ) ( 58405 62560 )
NEW met3 0 + SHAPE STRIPE ( 57615 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 62560 ) ( 58385 62560 )
NEW met2 0 + SHAPE STRIPE ( 57615 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 57120 ) ( 58405 57120 )
NEW met3 0 + SHAPE STRIPE ( 57615 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 57120 ) ( 58385 57120 )
NEW met2 0 + SHAPE STRIPE ( 57615 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 51680 ) ( 58405 51680 )
NEW met3 0 + SHAPE STRIPE ( 57615 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 51680 ) ( 58385 51680 )
NEW met2 0 + SHAPE STRIPE ( 57615 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 46240 ) ( 58405 46240 )
NEW met3 0 + SHAPE STRIPE ( 57615 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 46240 ) ( 58385 46240 )
NEW met2 0 + SHAPE STRIPE ( 57615 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 40800 ) ( 58405 40800 )
NEW met3 0 + SHAPE STRIPE ( 57615 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 40800 ) ( 58385 40800 )
NEW met2 0 + SHAPE STRIPE ( 57615 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 35360 ) ( 58405 35360 )
NEW met3 0 + SHAPE STRIPE ( 57615 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 35360 ) ( 58385 35360 )
NEW met2 0 + SHAPE STRIPE ( 57615 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 29920 ) ( 58405 29920 )
NEW met3 0 + SHAPE STRIPE ( 57615 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 29920 ) ( 58385 29920 )
NEW met2 0 + SHAPE STRIPE ( 57615 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 24480 ) ( 58405 24480 )
NEW met3 0 + SHAPE STRIPE ( 57615 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 24480 ) ( 58385 24480 )
NEW met2 0 + SHAPE STRIPE ( 57615 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 19040 ) ( 58405 19040 )
NEW met3 0 + SHAPE STRIPE ( 57615 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 19040 ) ( 58385 19040 )
NEW met2 0 + SHAPE STRIPE ( 57615 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 56825 13600 ) ( 58405 13600 )
NEW met3 0 + SHAPE STRIPE ( 57615 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 56845 13600 ) ( 58385 13600 )
NEW met2 0 + SHAPE STRIPE ( 57615 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 57615 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 155040 ) ( 23675 155040 )
NEW met3 0 + SHAPE STRIPE ( 22885 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 155040 ) ( 23655 155040 )
NEW met2 0 + SHAPE STRIPE ( 22885 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 149600 ) ( 23675 149600 )
NEW met3 0 + SHAPE STRIPE ( 22885 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 149600 ) ( 23655 149600 )
NEW met2 0 + SHAPE STRIPE ( 22885 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 144160 ) ( 23675 144160 )
NEW met3 0 + SHAPE STRIPE ( 22885 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 144160 ) ( 23655 144160 )
NEW met2 0 + SHAPE STRIPE ( 22885 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 138720 ) ( 23675 138720 )
NEW met3 0 + SHAPE STRIPE ( 22885 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 138720 ) ( 23655 138720 )
NEW met2 0 + SHAPE STRIPE ( 22885 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 133280 ) ( 23675 133280 )
NEW met3 0 + SHAPE STRIPE ( 22885 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 133280 ) ( 23655 133280 )
NEW met2 0 + SHAPE STRIPE ( 22885 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 127840 ) ( 23675 127840 )
NEW met3 0 + SHAPE STRIPE ( 22885 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 127840 ) ( 23655 127840 )
NEW met2 0 + SHAPE STRIPE ( 22885 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 122400 ) ( 23675 122400 )
NEW met3 0 + SHAPE STRIPE ( 22885 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 122400 ) ( 23655 122400 )
NEW met2 0 + SHAPE STRIPE ( 22885 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 116960 ) ( 23675 116960 )
NEW met3 0 + SHAPE STRIPE ( 22885 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 116960 ) ( 23655 116960 )
NEW met2 0 + SHAPE STRIPE ( 22885 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 111520 ) ( 23675 111520 )
NEW met3 0 + SHAPE STRIPE ( 22885 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 111520 ) ( 23655 111520 )
NEW met2 0 + SHAPE STRIPE ( 22885 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 106080 ) ( 23675 106080 )
NEW met3 0 + SHAPE STRIPE ( 22885 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 106080 ) ( 23655 106080 )
NEW met2 0 + SHAPE STRIPE ( 22885 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 100640 ) ( 23675 100640 )
NEW met3 0 + SHAPE STRIPE ( 22885 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 100640 ) ( 23655 100640 )
NEW met2 0 + SHAPE STRIPE ( 22885 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 95200 ) ( 23675 95200 )
NEW met3 0 + SHAPE STRIPE ( 22885 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 95200 ) ( 23655 95200 )
NEW met2 0 + SHAPE STRIPE ( 22885 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 89760 ) ( 23675 89760 )
NEW met3 0 + SHAPE STRIPE ( 22885 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 89760 ) ( 23655 89760 )
NEW met2 0 + SHAPE STRIPE ( 22885 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 84320 ) ( 23675 84320 )
NEW met3 0 + SHAPE STRIPE ( 22885 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 84320 ) ( 23655 84320 )
NEW met2 0 + SHAPE STRIPE ( 22885 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 78880 ) ( 23675 78880 )
NEW met3 0 + SHAPE STRIPE ( 22885 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 78880 ) ( 23655 78880 )
NEW met2 0 + SHAPE STRIPE ( 22885 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 73440 ) ( 23675 73440 )
NEW met3 0 + SHAPE STRIPE ( 22885 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 73440 ) ( 23655 73440 )
NEW met2 0 + SHAPE STRIPE ( 22885 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 68000 ) ( 23675 68000 )
NEW met3 0 + SHAPE STRIPE ( 22885 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 68000 ) ( 23655 68000 )
NEW met2 0 + SHAPE STRIPE ( 22885 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 62560 ) ( 23675 62560 )
NEW met3 0 + SHAPE STRIPE ( 22885 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 62560 ) ( 23655 62560 )
NEW met2 0 + SHAPE STRIPE ( 22885 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 57120 ) ( 23675 57120 )
NEW met3 0 + SHAPE STRIPE ( 22885 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 57120 ) ( 23655 57120 )
NEW met2 0 + SHAPE STRIPE ( 22885 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 51680 ) ( 23675 51680 )
NEW met3 0 + SHAPE STRIPE ( 22885 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 51680 ) ( 23655 51680 )
NEW met2 0 + SHAPE STRIPE ( 22885 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 46240 ) ( 23675 46240 )
NEW met3 0 + SHAPE STRIPE ( 22885 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 46240 ) ( 23655 46240 )
NEW met2 0 + SHAPE STRIPE ( 22885 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 40800 ) ( 23675 40800 )
NEW met3 0 + SHAPE STRIPE ( 22885 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 40800 ) ( 23655 40800 )
NEW met2 0 + SHAPE STRIPE ( 22885 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 35360 ) ( 23675 35360 )
NEW met3 0 + SHAPE STRIPE ( 22885 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 35360 ) ( 23655 35360 )
NEW met2 0 + SHAPE STRIPE ( 22885 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 29920 ) ( 23675 29920 )
NEW met3 0 + SHAPE STRIPE ( 22885 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 29920 ) ( 23655 29920 )
NEW met2 0 + SHAPE STRIPE ( 22885 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 24480 ) ( 23675 24480 )
NEW met3 0 + SHAPE STRIPE ( 22885 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 24480 ) ( 23655 24480 )
NEW met2 0 + SHAPE STRIPE ( 22885 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 19040 ) ( 23675 19040 )
NEW met3 0 + SHAPE STRIPE ( 22885 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 19040 ) ( 23655 19040 )
NEW met2 0 + SHAPE STRIPE ( 22885 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 22095 13600 ) ( 23675 13600 )
NEW met3 0 + SHAPE STRIPE ( 22885 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 22115 13600 ) ( 23655 13600 )
NEW met2 0 + SHAPE STRIPE ( 22885 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 22885 13600 ) via2_3_1600_480_1_5_320_320 ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 145240 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 145240 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 145240 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 145240 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 145240 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 145240 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 145240 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 145240 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 145240 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 145240 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 145240 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 145240 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 145240 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 145240 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 145240 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 145240 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 145240 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 145240 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 145240 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 145240 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 145240 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 145240 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 145240 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 145240 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 145240 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 145240 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 145240 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 145240 10880 )
NEW met4 1600 + SHAPE STRIPE ( 144440 10640 ) ( 144440 158000 )
NEW met4 1600 + SHAPE STRIPE ( 109710 10640 ) ( 109710 158000 )
NEW met4 1600 + SHAPE STRIPE ( 74980 10640 ) ( 74980 158000 )
NEW met4 1600 + SHAPE STRIPE ( 40250 10640 ) ( 40250 158000 )
NEW met3 330 + SHAPE STRIPE ( 143650 157760 ) ( 145230 157760 )
NEW met3 0 + SHAPE STRIPE ( 144440 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 157760 ) ( 145210 157760 )
NEW met2 0 + SHAPE STRIPE ( 144440 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 152320 ) ( 145230 152320 )
NEW met3 0 + SHAPE STRIPE ( 144440 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 152320 ) ( 145210 152320 )
NEW met2 0 + SHAPE STRIPE ( 144440 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 146880 ) ( 145230 146880 )
NEW met3 0 + SHAPE STRIPE ( 144440 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 146880 ) ( 145210 146880 )
NEW met2 0 + SHAPE STRIPE ( 144440 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 141440 ) ( 145230 141440 )
NEW met3 0 + SHAPE STRIPE ( 144440 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 141440 ) ( 145210 141440 )
NEW met2 0 + SHAPE STRIPE ( 144440 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 136000 ) ( 145230 136000 )
NEW met3 0 + SHAPE STRIPE ( 144440 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 136000 ) ( 145210 136000 )
NEW met2 0 + SHAPE STRIPE ( 144440 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 130560 ) ( 145230 130560 )
NEW met3 0 + SHAPE STRIPE ( 144440 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 130560 ) ( 145210 130560 )
NEW met2 0 + SHAPE STRIPE ( 144440 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 125120 ) ( 145230 125120 )
NEW met3 0 + SHAPE STRIPE ( 144440 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 125120 ) ( 145210 125120 )
NEW met2 0 + SHAPE STRIPE ( 144440 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 119680 ) ( 145230 119680 )
NEW met3 0 + SHAPE STRIPE ( 144440 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 119680 ) ( 145210 119680 )
NEW met2 0 + SHAPE STRIPE ( 144440 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 114240 ) ( 145230 114240 )
NEW met3 0 + SHAPE STRIPE ( 144440 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 114240 ) ( 145210 114240 )
NEW met2 0 + SHAPE STRIPE ( 144440 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 108800 ) ( 145230 108800 )
NEW met3 0 + SHAPE STRIPE ( 144440 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 108800 ) ( 145210 108800 )
NEW met2 0 + SHAPE STRIPE ( 144440 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 103360 ) ( 145230 103360 )
NEW met3 0 + SHAPE STRIPE ( 144440 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 103360 ) ( 145210 103360 )
NEW met2 0 + SHAPE STRIPE ( 144440 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 97920 ) ( 145230 97920 )
NEW met3 0 + SHAPE STRIPE ( 144440 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 97920 ) ( 145210 97920 )
NEW met2 0 + SHAPE STRIPE ( 144440 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 92480 ) ( 145230 92480 )
NEW met3 0 + SHAPE STRIPE ( 144440 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 92480 ) ( 145210 92480 )
NEW met2 0 + SHAPE STRIPE ( 144440 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 87040 ) ( 145230 87040 )
NEW met3 0 + SHAPE STRIPE ( 144440 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 87040 ) ( 145210 87040 )
NEW met2 0 + SHAPE STRIPE ( 144440 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 81600 ) ( 145230 81600 )
NEW met3 0 + SHAPE STRIPE ( 144440 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 81600 ) ( 145210 81600 )
NEW met2 0 + SHAPE STRIPE ( 144440 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 76160 ) ( 145230 76160 )
NEW met3 0 + SHAPE STRIPE ( 144440 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 76160 ) ( 145210 76160 )
NEW met2 0 + SHAPE STRIPE ( 144440 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 70720 ) ( 145230 70720 )
NEW met3 0 + SHAPE STRIPE ( 144440 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 70720 ) ( 145210 70720 )
NEW met2 0 + SHAPE STRIPE ( 144440 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 65280 ) ( 145230 65280 )
NEW met3 0 + SHAPE STRIPE ( 144440 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 65280 ) ( 145210 65280 )
NEW met2 0 + SHAPE STRIPE ( 144440 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 59840 ) ( 145230 59840 )
NEW met3 0 + SHAPE STRIPE ( 144440 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 59840 ) ( 145210 59840 )
NEW met2 0 + SHAPE STRIPE ( 144440 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 54400 ) ( 145230 54400 )
NEW met3 0 + SHAPE STRIPE ( 144440 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 54400 ) ( 145210 54400 )
NEW met2 0 + SHAPE STRIPE ( 144440 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 48960 ) ( 145230 48960 )
NEW met3 0 + SHAPE STRIPE ( 144440 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 48960 ) ( 145210 48960 )
NEW met2 0 + SHAPE STRIPE ( 144440 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 43520 ) ( 145230 43520 )
NEW met3 0 + SHAPE STRIPE ( 144440 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 43520 ) ( 145210 43520 )
NEW met2 0 + SHAPE STRIPE ( 144440 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 38080 ) ( 145230 38080 )
NEW met3 0 + SHAPE STRIPE ( 144440 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 38080 ) ( 145210 38080 )
NEW met2 0 + SHAPE STRIPE ( 144440 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 32640 ) ( 145230 32640 )
NEW met3 0 + SHAPE STRIPE ( 144440 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 32640 ) ( 145210 32640 )
NEW met2 0 + SHAPE STRIPE ( 144440 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 27200 ) ( 145230 27200 )
NEW met3 0 + SHAPE STRIPE ( 144440 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 27200 ) ( 145210 27200 )
NEW met2 0 + SHAPE STRIPE ( 144440 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 21760 ) ( 145230 21760 )
NEW met3 0 + SHAPE STRIPE ( 144440 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 21760 ) ( 145210 21760 )
NEW met2 0 + SHAPE STRIPE ( 144440 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 16320 ) ( 145230 16320 )
NEW met3 0 + SHAPE STRIPE ( 144440 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 16320 ) ( 145210 16320 )
NEW met2 0 + SHAPE STRIPE ( 144440 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 143650 10880 ) ( 145230 10880 )
NEW met3 0 + SHAPE STRIPE ( 144440 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 143670 10880 ) ( 145210 10880 )
NEW met2 0 + SHAPE STRIPE ( 144440 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 144440 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 157760 ) ( 110500 157760 )
NEW met3 0 + SHAPE STRIPE ( 109710 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 157760 ) ( 110480 157760 )
NEW met2 0 + SHAPE STRIPE ( 109710 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 152320 ) ( 110500 152320 )
NEW met3 0 + SHAPE STRIPE ( 109710 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 152320 ) ( 110480 152320 )
NEW met2 0 + SHAPE STRIPE ( 109710 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 146880 ) ( 110500 146880 )
NEW met3 0 + SHAPE STRIPE ( 109710 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 146880 ) ( 110480 146880 )
NEW met2 0 + SHAPE STRIPE ( 109710 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 141440 ) ( 110500 141440 )
NEW met3 0 + SHAPE STRIPE ( 109710 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 141440 ) ( 110480 141440 )
NEW met2 0 + SHAPE STRIPE ( 109710 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 136000 ) ( 110500 136000 )
NEW met3 0 + SHAPE STRIPE ( 109710 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 136000 ) ( 110480 136000 )
NEW met2 0 + SHAPE STRIPE ( 109710 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 130560 ) ( 110500 130560 )
NEW met3 0 + SHAPE STRIPE ( 109710 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 130560 ) ( 110480 130560 )
NEW met2 0 + SHAPE STRIPE ( 109710 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 125120 ) ( 110500 125120 )
NEW met3 0 + SHAPE STRIPE ( 109710 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 125120 ) ( 110480 125120 )
NEW met2 0 + SHAPE STRIPE ( 109710 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 119680 ) ( 110500 119680 )
NEW met3 0 + SHAPE STRIPE ( 109710 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 119680 ) ( 110480 119680 )
NEW met2 0 + SHAPE STRIPE ( 109710 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 114240 ) ( 110500 114240 )
NEW met3 0 + SHAPE STRIPE ( 109710 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 114240 ) ( 110480 114240 )
NEW met2 0 + SHAPE STRIPE ( 109710 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 108800 ) ( 110500 108800 )
NEW met3 0 + SHAPE STRIPE ( 109710 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 108800 ) ( 110480 108800 )
NEW met2 0 + SHAPE STRIPE ( 109710 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 103360 ) ( 110500 103360 )
NEW met3 0 + SHAPE STRIPE ( 109710 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 103360 ) ( 110480 103360 )
NEW met2 0 + SHAPE STRIPE ( 109710 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 97920 ) ( 110500 97920 )
NEW met3 0 + SHAPE STRIPE ( 109710 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 97920 ) ( 110480 97920 )
NEW met2 0 + SHAPE STRIPE ( 109710 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 92480 ) ( 110500 92480 )
NEW met3 0 + SHAPE STRIPE ( 109710 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 92480 ) ( 110480 92480 )
NEW met2 0 + SHAPE STRIPE ( 109710 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 87040 ) ( 110500 87040 )
NEW met3 0 + SHAPE STRIPE ( 109710 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 87040 ) ( 110480 87040 )
NEW met2 0 + SHAPE STRIPE ( 109710 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 81600 ) ( 110500 81600 )
NEW met3 0 + SHAPE STRIPE ( 109710 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 81600 ) ( 110480 81600 )
NEW met2 0 + SHAPE STRIPE ( 109710 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 76160 ) ( 110500 76160 )
NEW met3 0 + SHAPE STRIPE ( 109710 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 76160 ) ( 110480 76160 )
NEW met2 0 + SHAPE STRIPE ( 109710 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 70720 ) ( 110500 70720 )
NEW met3 0 + SHAPE STRIPE ( 109710 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 70720 ) ( 110480 70720 )
NEW met2 0 + SHAPE STRIPE ( 109710 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 65280 ) ( 110500 65280 )
NEW met3 0 + SHAPE STRIPE ( 109710 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 65280 ) ( 110480 65280 )
NEW met2 0 + SHAPE STRIPE ( 109710 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 59840 ) ( 110500 59840 )
NEW met3 0 + SHAPE STRIPE ( 109710 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 59840 ) ( 110480 59840 )
NEW met2 0 + SHAPE STRIPE ( 109710 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 54400 ) ( 110500 54400 )
NEW met3 0 + SHAPE STRIPE ( 109710 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 54400 ) ( 110480 54400 )
NEW met2 0 + SHAPE STRIPE ( 109710 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 48960 ) ( 110500 48960 )
NEW met3 0 + SHAPE STRIPE ( 109710 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 48960 ) ( 110480 48960 )
NEW met2 0 + SHAPE STRIPE ( 109710 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 43520 ) ( 110500 43520 )
NEW met3 0 + SHAPE STRIPE ( 109710 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 43520 ) ( 110480 43520 )
NEW met2 0 + SHAPE STRIPE ( 109710 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 38080 ) ( 110500 38080 )
NEW met3 0 + SHAPE STRIPE ( 109710 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 38080 ) ( 110480 38080 )
NEW met2 0 + SHAPE STRIPE ( 109710 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 32640 ) ( 110500 32640 )
NEW met3 0 + SHAPE STRIPE ( 109710 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 32640 ) ( 110480 32640 )
NEW met2 0 + SHAPE STRIPE ( 109710 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 27200 ) ( 110500 27200 )
NEW met3 0 + SHAPE STRIPE ( 109710 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 27200 ) ( 110480 27200 )
NEW met2 0 + SHAPE STRIPE ( 109710 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 21760 ) ( 110500 21760 )
NEW met3 0 + SHAPE STRIPE ( 109710 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 21760 ) ( 110480 21760 )
NEW met2 0 + SHAPE STRIPE ( 109710 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 16320 ) ( 110500 16320 )
NEW met3 0 + SHAPE STRIPE ( 109710 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 16320 ) ( 110480 16320 )
NEW met2 0 + SHAPE STRIPE ( 109710 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 108920 10880 ) ( 110500 10880 )
NEW met3 0 + SHAPE STRIPE ( 109710 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 108940 10880 ) ( 110480 10880 )
NEW met2 0 + SHAPE STRIPE ( 109710 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 109710 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 157760 ) ( 75770 157760 )
NEW met3 0 + SHAPE STRIPE ( 74980 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 157760 ) ( 75750 157760 )
NEW met2 0 + SHAPE STRIPE ( 74980 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 152320 ) ( 75770 152320 )
NEW met3 0 + SHAPE STRIPE ( 74980 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 152320 ) ( 75750 152320 )
NEW met2 0 + SHAPE STRIPE ( 74980 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 146880 ) ( 75770 146880 )
NEW met3 0 + SHAPE STRIPE ( 74980 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 146880 ) ( 75750 146880 )
NEW met2 0 + SHAPE STRIPE ( 74980 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 141440 ) ( 75770 141440 )
NEW met3 0 + SHAPE STRIPE ( 74980 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 141440 ) ( 75750 141440 )
NEW met2 0 + SHAPE STRIPE ( 74980 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 136000 ) ( 75770 136000 )
NEW met3 0 + SHAPE STRIPE ( 74980 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 136000 ) ( 75750 136000 )
NEW met2 0 + SHAPE STRIPE ( 74980 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 130560 ) ( 75770 130560 )
NEW met3 0 + SHAPE STRIPE ( 74980 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 130560 ) ( 75750 130560 )
NEW met2 0 + SHAPE STRIPE ( 74980 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 125120 ) ( 75770 125120 )
NEW met3 0 + SHAPE STRIPE ( 74980 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 125120 ) ( 75750 125120 )
NEW met2 0 + SHAPE STRIPE ( 74980 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 119680 ) ( 75770 119680 )
NEW met3 0 + SHAPE STRIPE ( 74980 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 119680 ) ( 75750 119680 )
NEW met2 0 + SHAPE STRIPE ( 74980 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 114240 ) ( 75770 114240 )
NEW met3 0 + SHAPE STRIPE ( 74980 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 114240 ) ( 75750 114240 )
NEW met2 0 + SHAPE STRIPE ( 74980 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 108800 ) ( 75770 108800 )
NEW met3 0 + SHAPE STRIPE ( 74980 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 108800 ) ( 75750 108800 )
NEW met2 0 + SHAPE STRIPE ( 74980 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 103360 ) ( 75770 103360 )
NEW met3 0 + SHAPE STRIPE ( 74980 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 103360 ) ( 75750 103360 )
NEW met2 0 + SHAPE STRIPE ( 74980 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 97920 ) ( 75770 97920 )
NEW met3 0 + SHAPE STRIPE ( 74980 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 97920 ) ( 75750 97920 )
NEW met2 0 + SHAPE STRIPE ( 74980 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 92480 ) ( 75770 92480 )
NEW met3 0 + SHAPE STRIPE ( 74980 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 92480 ) ( 75750 92480 )
NEW met2 0 + SHAPE STRIPE ( 74980 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 87040 ) ( 75770 87040 )
NEW met3 0 + SHAPE STRIPE ( 74980 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 87040 ) ( 75750 87040 )
NEW met2 0 + SHAPE STRIPE ( 74980 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 81600 ) ( 75770 81600 )
NEW met3 0 + SHAPE STRIPE ( 74980 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 81600 ) ( 75750 81600 )
NEW met2 0 + SHAPE STRIPE ( 74980 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 76160 ) ( 75770 76160 )
NEW met3 0 + SHAPE STRIPE ( 74980 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 76160 ) ( 75750 76160 )
NEW met2 0 + SHAPE STRIPE ( 74980 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 70720 ) ( 75770 70720 )
NEW met3 0 + SHAPE STRIPE ( 74980 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 70720 ) ( 75750 70720 )
NEW met2 0 + SHAPE STRIPE ( 74980 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 65280 ) ( 75770 65280 )
NEW met3 0 + SHAPE STRIPE ( 74980 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 65280 ) ( 75750 65280 )
NEW met2 0 + SHAPE STRIPE ( 74980 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 59840 ) ( 75770 59840 )
NEW met3 0 + SHAPE STRIPE ( 74980 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 59840 ) ( 75750 59840 )
NEW met2 0 + SHAPE STRIPE ( 74980 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 54400 ) ( 75770 54400 )
NEW met3 0 + SHAPE STRIPE ( 74980 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 54400 ) ( 75750 54400 )
NEW met2 0 + SHAPE STRIPE ( 74980 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 48960 ) ( 75770 48960 )
NEW met3 0 + SHAPE STRIPE ( 74980 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 48960 ) ( 75750 48960 )
NEW met2 0 + SHAPE STRIPE ( 74980 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 43520 ) ( 75770 43520 )
NEW met3 0 + SHAPE STRIPE ( 74980 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 43520 ) ( 75750 43520 )
NEW met2 0 + SHAPE STRIPE ( 74980 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 38080 ) ( 75770 38080 )
NEW met3 0 + SHAPE STRIPE ( 74980 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 38080 ) ( 75750 38080 )
NEW met2 0 + SHAPE STRIPE ( 74980 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 32640 ) ( 75770 32640 )
NEW met3 0 + SHAPE STRIPE ( 74980 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 32640 ) ( 75750 32640 )
NEW met2 0 + SHAPE STRIPE ( 74980 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 27200 ) ( 75770 27200 )
NEW met3 0 + SHAPE STRIPE ( 74980 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 27200 ) ( 75750 27200 )
NEW met2 0 + SHAPE STRIPE ( 74980 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 21760 ) ( 75770 21760 )
NEW met3 0 + SHAPE STRIPE ( 74980 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 21760 ) ( 75750 21760 )
NEW met2 0 + SHAPE STRIPE ( 74980 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 16320 ) ( 75770 16320 )
NEW met3 0 + SHAPE STRIPE ( 74980 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 16320 ) ( 75750 16320 )
NEW met2 0 + SHAPE STRIPE ( 74980 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 74190 10880 ) ( 75770 10880 )
NEW met3 0 + SHAPE STRIPE ( 74980 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 74210 10880 ) ( 75750 10880 )
NEW met2 0 + SHAPE STRIPE ( 74980 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 74980 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 157760 ) ( 41040 157760 )
NEW met3 0 + SHAPE STRIPE ( 40250 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 157760 ) ( 41020 157760 )
NEW met2 0 + SHAPE STRIPE ( 40250 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 152320 ) ( 41040 152320 )
NEW met3 0 + SHAPE STRIPE ( 40250 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 152320 ) ( 41020 152320 )
NEW met2 0 + SHAPE STRIPE ( 40250 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 146880 ) ( 41040 146880 )
NEW met3 0 + SHAPE STRIPE ( 40250 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 146880 ) ( 41020 146880 )
NEW met2 0 + SHAPE STRIPE ( 40250 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 141440 ) ( 41040 141440 )
NEW met3 0 + SHAPE STRIPE ( 40250 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 141440 ) ( 41020 141440 )
NEW met2 0 + SHAPE STRIPE ( 40250 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 136000 ) ( 41040 136000 )
NEW met3 0 + SHAPE STRIPE ( 40250 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 136000 ) ( 41020 136000 )
NEW met2 0 + SHAPE STRIPE ( 40250 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 130560 ) ( 41040 130560 )
NEW met3 0 + SHAPE STRIPE ( 40250 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 130560 ) ( 41020 130560 )
NEW met2 0 + SHAPE STRIPE ( 40250 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 125120 ) ( 41040 125120 )
NEW met3 0 + SHAPE STRIPE ( 40250 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 125120 ) ( 41020 125120 )
NEW met2 0 + SHAPE STRIPE ( 40250 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 119680 ) ( 41040 119680 )
NEW met3 0 + SHAPE STRIPE ( 40250 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 119680 ) ( 41020 119680 )
NEW met2 0 + SHAPE STRIPE ( 40250 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 114240 ) ( 41040 114240 )
NEW met3 0 + SHAPE STRIPE ( 40250 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 114240 ) ( 41020 114240 )
NEW met2 0 + SHAPE STRIPE ( 40250 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 108800 ) ( 41040 108800 )
NEW met3 0 + SHAPE STRIPE ( 40250 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 108800 ) ( 41020 108800 )
NEW met2 0 + SHAPE STRIPE ( 40250 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 103360 ) ( 41040 103360 )
NEW met3 0 + SHAPE STRIPE ( 40250 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 103360 ) ( 41020 103360 )
NEW met2 0 + SHAPE STRIPE ( 40250 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 97920 ) ( 41040 97920 )
NEW met3 0 + SHAPE STRIPE ( 40250 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 97920 ) ( 41020 97920 )
NEW met2 0 + SHAPE STRIPE ( 40250 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 92480 ) ( 41040 92480 )
NEW met3 0 + SHAPE STRIPE ( 40250 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 92480 ) ( 41020 92480 )
NEW met2 0 + SHAPE STRIPE ( 40250 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 87040 ) ( 41040 87040 )
NEW met3 0 + SHAPE STRIPE ( 40250 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 87040 ) ( 41020 87040 )
NEW met2 0 + SHAPE STRIPE ( 40250 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 81600 ) ( 41040 81600 )
NEW met3 0 + SHAPE STRIPE ( 40250 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 81600 ) ( 41020 81600 )
NEW met2 0 + SHAPE STRIPE ( 40250 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 76160 ) ( 41040 76160 )
NEW met3 0 + SHAPE STRIPE ( 40250 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 76160 ) ( 41020 76160 )
NEW met2 0 + SHAPE STRIPE ( 40250 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 70720 ) ( 41040 70720 )
NEW met3 0 + SHAPE STRIPE ( 40250 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 70720 ) ( 41020 70720 )
NEW met2 0 + SHAPE STRIPE ( 40250 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 65280 ) ( 41040 65280 )
NEW met3 0 + SHAPE STRIPE ( 40250 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 65280 ) ( 41020 65280 )
NEW met2 0 + SHAPE STRIPE ( 40250 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 59840 ) ( 41040 59840 )
NEW met3 0 + SHAPE STRIPE ( 40250 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 59840 ) ( 41020 59840 )
NEW met2 0 + SHAPE STRIPE ( 40250 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 54400 ) ( 41040 54400 )
NEW met3 0 + SHAPE STRIPE ( 40250 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 54400 ) ( 41020 54400 )
NEW met2 0 + SHAPE STRIPE ( 40250 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 48960 ) ( 41040 48960 )
NEW met3 0 + SHAPE STRIPE ( 40250 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 48960 ) ( 41020 48960 )
NEW met2 0 + SHAPE STRIPE ( 40250 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 43520 ) ( 41040 43520 )
NEW met3 0 + SHAPE STRIPE ( 40250 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 43520 ) ( 41020 43520 )
NEW met2 0 + SHAPE STRIPE ( 40250 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 38080 ) ( 41040 38080 )
NEW met3 0 + SHAPE STRIPE ( 40250 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 38080 ) ( 41020 38080 )
NEW met2 0 + SHAPE STRIPE ( 40250 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 32640 ) ( 41040 32640 )
NEW met3 0 + SHAPE STRIPE ( 40250 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 32640 ) ( 41020 32640 )
NEW met2 0 + SHAPE STRIPE ( 40250 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 27200 ) ( 41040 27200 )
NEW met3 0 + SHAPE STRIPE ( 40250 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 27200 ) ( 41020 27200 )
NEW met2 0 + SHAPE STRIPE ( 40250 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 21760 ) ( 41040 21760 )
NEW met3 0 + SHAPE STRIPE ( 40250 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 21760 ) ( 41020 21760 )
NEW met2 0 + SHAPE STRIPE ( 40250 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 16320 ) ( 41040 16320 )
NEW met3 0 + SHAPE STRIPE ( 40250 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 16320 ) ( 41020 16320 )
NEW met2 0 + SHAPE STRIPE ( 40250 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 39460 10880 ) ( 41040 10880 )
NEW met3 0 + SHAPE STRIPE ( 40250 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 39480 10880 ) ( 41020 10880 )
NEW met2 0 + SHAPE STRIPE ( 40250 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 40250 10880 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
NETS 114 ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- net1 ( PIN io_out[3] ) ( tiny_user_project_1 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 45220 ) ( * 45390 )
NEW met3 ( 141910 45220 ) ( 146740 * )
NEW met3 ( 146740 43860 0 ) ( * 45220 )
NEW li1 ( 141910 45390 ) L1M1_PR
NEW met1 ( 141910 45390 ) M1M2_PR
NEW met2 ( 141910 45220 ) M2M3_PR
NEW met1 ( 141910 45390 ) RECT ( -355 -70 0 70 ) ;
- net10 ( PIN io_out[12] ) ( tiny_user_project_10 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 137020 ) ( * 137190 )
NEW met3 ( 141910 137020 ) ( 146740 * )
NEW met3 ( 146740 135660 0 ) ( * 137020 )
NEW li1 ( 141910 137190 ) L1M1_PR
NEW met1 ( 141910 137190 ) M1M2_PR
NEW met2 ( 141910 137020 ) M2M3_PR
NEW met1 ( 141910 137190 ) RECT ( -355 -70 0 70 ) ;
- net11 ( PIN io_out[13] ) ( tiny_user_project_11 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 145860 ) ( * 148070 )
NEW met3 ( 141910 145860 ) ( 146740 * 0 )
NEW li1 ( 141910 148070 ) L1M1_PR
NEW met1 ( 141910 148070 ) M1M2_PR
NEW met2 ( 141910 145860 ) M2M3_PR
NEW met1 ( 141910 148070 ) RECT ( -355 -70 0 70 ) ;
- net12 ( PIN io_out[14] ) ( tiny_user_project_12 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 154530 ) ( * 156060 )
NEW met3 ( 141910 156060 ) ( 146740 * 0 )
NEW li1 ( 141910 154530 ) L1M1_PR
NEW met1 ( 141910 154530 ) M1M2_PR
NEW met2 ( 141910 156060 ) M2M3_PR
NEW met1 ( 141910 154530 ) RECT ( -355 -70 0 70 ) ;
- net13 ( PIN io_out[15] ) ( tiny_user_project_13 LO ) + USE SIGNAL
+ ROUTED met2 ( 140990 156570 ) ( * 166260 0 )
NEW li1 ( 140990 156570 ) L1M1_PR
NEW met1 ( 140990 156570 ) M1M2_PR
NEW met1 ( 140990 156570 ) RECT ( -355 -70 0 70 ) ;
- net14 ( PIN io_out[16] ) ( tiny_user_project_14 LO ) + USE SIGNAL
+ ROUTED met1 ( 124430 156570 ) ( 124890 * )
NEW met2 ( 124430 156570 ) ( * 166260 0 )
NEW li1 ( 124890 156570 ) L1M1_PR
NEW met1 ( 124430 156570 ) M1M2_PR ;
- net15 ( PIN io_out[17] ) ( tiny_user_project_15 LO ) + USE SIGNAL
+ ROUTED met1 ( 107870 156570 ) ( 110170 * )
NEW met2 ( 107870 156570 ) ( * 166260 0 )
NEW li1 ( 110170 156570 ) L1M1_PR
NEW met1 ( 107870 156570 ) M1M2_PR ;
- net16 ( PIN io_out[18] ) ( tiny_user_project_16 LO ) + USE SIGNAL
+ ROUTED met1 ( 91310 156570 ) ( 91770 * )
NEW met2 ( 91310 156570 ) ( * 166260 0 )
NEW li1 ( 91770 156570 ) L1M1_PR
NEW met1 ( 91310 156570 ) M1M2_PR ;
- net17 ( PIN io_out[19] ) ( tiny_user_project_17 LO ) + USE SIGNAL
+ ROUTED met1 ( 73830 156570 ) ( 75210 * )
NEW met2 ( 73830 156570 ) ( * 158780 )
NEW met2 ( 73830 158780 ) ( 74750 * )
NEW met2 ( 74750 158780 ) ( * 166260 0 )
NEW li1 ( 75210 156570 ) L1M1_PR
NEW met1 ( 73830 156570 ) M1M2_PR ;
- net18 ( PIN io_out[20] ) ( tiny_user_project_18 LO ) + USE SIGNAL
+ ROUTED met1 ( 58190 156570 ) ( 58650 * )
NEW met2 ( 58190 156570 ) ( * 166260 0 )
NEW li1 ( 58650 156570 ) L1M1_PR
NEW met1 ( 58190 156570 ) M1M2_PR ;
- net19 ( PIN io_out[21] ) ( tiny_user_project_19 LO ) + USE SIGNAL
+ ROUTED met1 ( 41630 156570 ) ( 42090 * )
NEW met2 ( 41630 156570 ) ( * 166260 0 )
NEW li1 ( 42090 156570 ) L1M1_PR
NEW met1 ( 41630 156570 ) M1M2_PR ;
- net2 ( PIN io_out[4] ) ( tiny_user_project_2 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 55420 ) ( * 55590 )
NEW met3 ( 141910 55420 ) ( 146740 * )
NEW met3 ( 146740 54060 0 ) ( * 55420 )
NEW li1 ( 141910 55590 ) L1M1_PR
NEW met1 ( 141910 55590 ) M1M2_PR
NEW met2 ( 141910 55420 ) M2M3_PR
NEW met1 ( 141910 55590 ) RECT ( -355 -70 0 70 ) ;
- net20 ( PIN io_out[22] ) ( tiny_user_project_20 LO ) + USE SIGNAL
+ ROUTED met1 ( 25070 156570 ) ( 25530 * )
NEW met2 ( 25070 156570 ) ( * 166260 0 )
NEW li1 ( 25530 156570 ) L1M1_PR
NEW met1 ( 25070 156570 ) M1M2_PR ;
- net21 ( PIN io_out[23] ) ( tiny_user_project_21 LO ) + USE SIGNAL
+ ROUTED met1 ( 8510 156570 ) ( 8970 * )
NEW met2 ( 8510 156570 ) ( * 166260 0 )
NEW li1 ( 8970 156570 ) L1M1_PR
NEW met1 ( 8510 156570 ) M1M2_PR ;
- net22 ( PIN io_out[24] ) ( tiny_user_project_22 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 151300 0 ) ( 8050 * )
NEW met2 ( 8050 151300 ) ( * 153510 )
NEW met2 ( 8050 151300 ) M2M3_PR
NEW li1 ( 8050 153510 ) L1M1_PR
NEW met1 ( 8050 153510 ) M1M2_PR
NEW met1 ( 8050 153510 ) RECT ( -355 -70 0 70 ) ;
- net23 ( PIN io_out[25] ) ( tiny_user_project_23 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 141100 0 ) ( 8050 * )
NEW met2 ( 8050 141100 ) ( * 142630 )
NEW met2 ( 8050 141100 ) M2M3_PR
NEW li1 ( 8050 142630 ) L1M1_PR
NEW met1 ( 8050 142630 ) M1M2_PR
NEW met1 ( 8050 142630 ) RECT ( -355 -70 0 70 ) ;
- net24 ( PIN io_out[26] ) ( tiny_user_project_24 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 130900 0 ) ( 8050 * )
NEW met2 ( 8050 130900 ) ( * 131750 )
NEW met2 ( 8050 130900 ) M2M3_PR
NEW li1 ( 8050 131750 ) L1M1_PR
NEW met1 ( 8050 131750 ) M1M2_PR
NEW met1 ( 8050 131750 ) RECT ( -355 -70 0 70 ) ;
- net25 ( PIN io_out[27] ) ( tiny_user_project_25 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 120700 0 ) ( 8050 * )
NEW met2 ( 8050 120700 ) ( * 120870 )
NEW met2 ( 8050 120700 ) M2M3_PR
NEW li1 ( 8050 120870 ) L1M1_PR
NEW met1 ( 8050 120870 ) M1M2_PR
NEW met1 ( 8050 120870 ) RECT ( -355 -70 0 70 ) ;
- net26 ( PIN io_out[28] ) ( tiny_user_project_26 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 110500 0 ) ( 8050 * )
NEW met2 ( 8050 110500 ) ( * 112030 )
NEW met2 ( 8050 110500 ) M2M3_PR
NEW li1 ( 8050 112030 ) L1M1_PR
NEW met1 ( 8050 112030 ) M1M2_PR
NEW met1 ( 8050 112030 ) RECT ( -355 -70 0 70 ) ;
- net27 ( PIN io_out[29] ) ( tiny_user_project_27 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 100300 0 ) ( 8050 * )
NEW met2 ( 8050 100300 ) ( * 101150 )
NEW met2 ( 8050 100300 ) M2M3_PR
NEW li1 ( 8050 101150 ) L1M1_PR
NEW met1 ( 8050 101150 ) M1M2_PR
NEW met1 ( 8050 101150 ) RECT ( -355 -70 0 70 ) ;
- net28 ( PIN io_out[30] ) ( tiny_user_project_28 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 90100 0 ) ( 8050 * )
NEW met2 ( 8050 90100 ) ( * 90270 )
NEW met2 ( 8050 90100 ) M2M3_PR
NEW li1 ( 8050 90270 ) L1M1_PR
NEW met1 ( 8050 90270 ) M1M2_PR
NEW met1 ( 8050 90270 ) RECT ( -355 -70 0 70 ) ;
- net29 ( PIN io_out[31] ) ( tiny_user_project_29 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 79900 0 ) ( 8050 * )
NEW met2 ( 8050 79900 ) ( * 80070 )
NEW met2 ( 8050 79900 ) M2M3_PR
NEW li1 ( 8050 80070 ) L1M1_PR
NEW met1 ( 8050 80070 ) M1M2_PR
NEW met1 ( 8050 80070 ) RECT ( -355 -70 0 70 ) ;
- net3 ( PIN io_out[5] ) ( tiny_user_project_3 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 64260 ) ( * 66470 )
NEW met3 ( 141910 64260 ) ( 146740 * 0 )
NEW li1 ( 141910 66470 ) L1M1_PR
NEW met1 ( 141910 66470 ) M1M2_PR
NEW met2 ( 141910 64260 ) M2M3_PR
NEW met1 ( 141910 66470 ) RECT ( -355 -70 0 70 ) ;
- net30 ( PIN io_out[32] ) ( tiny_user_project_30 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 69700 0 ) ( 8050 * )
NEW met2 ( 8050 69700 ) ( * 71910 )
NEW met2 ( 8050 69700 ) M2M3_PR
NEW li1 ( 8050 71910 ) L1M1_PR
NEW met1 ( 8050 71910 ) M1M2_PR
NEW met1 ( 8050 71910 ) RECT ( -355 -70 0 70 ) ;
- net31 ( PIN io_out[33] ) ( tiny_user_project_31 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 59500 0 ) ( 8050 * )
NEW met2 ( 8050 59500 ) ( * 61030 )
NEW met2 ( 8050 59500 ) M2M3_PR
NEW li1 ( 8050 61030 ) L1M1_PR
NEW met1 ( 8050 61030 ) M1M2_PR
NEW met1 ( 8050 61030 ) RECT ( -355 -70 0 70 ) ;
- net32 ( PIN io_out[34] ) ( tiny_user_project_32 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 49300 0 ) ( 8050 * )
NEW met2 ( 8050 49300 ) ( * 50150 )
NEW met2 ( 8050 49300 ) M2M3_PR
NEW li1 ( 8050 50150 ) L1M1_PR
NEW met1 ( 8050 50150 ) M1M2_PR
NEW met1 ( 8050 50150 ) RECT ( -355 -70 0 70 ) ;
- net33 ( PIN io_out[35] ) ( tiny_user_project_33 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 39100 0 ) ( 8050 * )
NEW met2 ( 8050 39100 ) ( * 39270 )
NEW met2 ( 8050 39100 ) M2M3_PR
NEW li1 ( 8050 39270 ) L1M1_PR
NEW met1 ( 8050 39270 ) M1M2_PR
NEW met1 ( 8050 39270 ) RECT ( -355 -70 0 70 ) ;
- net34 ( PIN io_out[36] ) ( tiny_user_project_34 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 28900 0 ) ( 8050 * )
NEW met2 ( 8050 28900 ) ( * 30430 )
NEW met2 ( 8050 28900 ) M2M3_PR
NEW li1 ( 8050 30430 ) L1M1_PR
NEW met1 ( 8050 30430 ) M1M2_PR
NEW met1 ( 8050 30430 ) RECT ( -355 -70 0 70 ) ;
- net35 ( PIN io_out[37] ) ( tiny_user_project_35 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 18700 0 ) ( 8050 * )
NEW met2 ( 8050 18700 ) ( * 19550 )
NEW met2 ( 8050 18700 ) M2M3_PR
NEW li1 ( 8050 19550 ) L1M1_PR
NEW met1 ( 8050 19550 ) M1M2_PR
NEW met1 ( 8050 19550 ) RECT ( -355 -70 0 70 ) ;
- net36 ( PIN io_oeb[0] ) ( tiny_user_project_36 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 18020 ) ( * 18190 )
NEW met3 ( 141910 18020 ) ( 146740 * )
NEW met3 ( 146740 16660 0 ) ( * 18020 )
NEW li1 ( 141910 18190 ) L1M1_PR
NEW met1 ( 141910 18190 ) M1M2_PR
NEW met2 ( 141910 18020 ) M2M3_PR
NEW met1 ( 141910 18190 ) RECT ( -355 -70 0 70 ) ;
- net37 ( PIN io_oeb[1] ) ( tiny_user_project_37 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 28220 ) ( * 28390 )
NEW met3 ( 141910 28220 ) ( 146740 * )
NEW met3 ( 146740 26860 0 ) ( * 28220 )
NEW li1 ( 141910 28390 ) L1M1_PR
NEW met1 ( 141910 28390 ) M1M2_PR
NEW met2 ( 141910 28220 ) M2M3_PR
NEW met1 ( 141910 28390 ) RECT ( -355 -70 0 70 ) ;
- net38 ( PIN io_oeb[2] ) ( tiny_user_project_38 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 37060 ) ( * 39270 )
NEW met3 ( 141910 37060 ) ( 146740 * 0 )
NEW li1 ( 141910 39270 ) L1M1_PR
NEW met1 ( 141910 39270 ) M1M2_PR
NEW met2 ( 141910 37060 ) M2M3_PR
NEW met1 ( 141910 39270 ) RECT ( -355 -70 0 70 ) ;
- net39 ( PIN io_oeb[3] ) ( tiny_user_project_39 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 47090 ) ( * 47260 )
NEW met3 ( 141910 47260 ) ( 146740 * 0 )
NEW li1 ( 141910 47090 ) L1M1_PR
NEW met1 ( 141910 47090 ) M1M2_PR
NEW met2 ( 141910 47260 ) M2M3_PR
NEW met1 ( 141910 47090 ) RECT ( -355 -70 0 70 ) ;
- net4 ( PIN io_out[6] ) ( tiny_user_project_4 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 74290 ) ( * 74460 )
NEW met3 ( 141910 74460 ) ( 146740 * 0 )
NEW li1 ( 141910 74290 ) L1M1_PR
NEW met1 ( 141910 74290 ) M1M2_PR
NEW met2 ( 141910 74460 ) M2M3_PR
NEW met1 ( 141910 74290 ) RECT ( -355 -70 0 70 ) ;
- net40 ( PIN io_oeb[4] ) ( tiny_user_project_40 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 57460 ) ( * 57630 )
NEW met3 ( 141910 57460 ) ( 146740 * 0 )
NEW li1 ( 141910 57630 ) L1M1_PR
NEW met1 ( 141910 57630 ) M1M2_PR
NEW met2 ( 141910 57460 ) M2M3_PR
NEW met1 ( 141910 57630 ) RECT ( -355 -70 0 70 ) ;
- net41 ( PIN io_oeb[5] ) ( tiny_user_project_41 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 67660 ) ( * 68510 )
NEW met3 ( 141910 67660 ) ( 146740 * 0 )
NEW li1 ( 141910 68510 ) L1M1_PR
NEW met1 ( 141910 68510 ) M1M2_PR
NEW met2 ( 141910 67660 ) M2M3_PR
NEW met1 ( 141910 68510 ) RECT ( -355 -70 0 70 ) ;
- net42 ( PIN io_oeb[6] ) ( tiny_user_project_42 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 77860 ) ( * 79390 )
NEW met3 ( 141910 77860 ) ( 146740 * 0 )
NEW li1 ( 141910 79390 ) L1M1_PR
NEW met1 ( 141910 79390 ) M1M2_PR
NEW met2 ( 141910 77860 ) M2M3_PR
NEW met1 ( 141910 79390 ) RECT ( -355 -70 0 70 ) ;
- net43 ( PIN io_oeb[7] ) ( tiny_user_project_43 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 88060 ) ( * 88230 )
NEW met3 ( 141910 88060 ) ( 146740 * 0 )
NEW li1 ( 141910 88230 ) L1M1_PR
NEW met1 ( 141910 88230 ) M1M2_PR
NEW met2 ( 141910 88060 ) M2M3_PR
NEW met1 ( 141910 88230 ) RECT ( -355 -70 0 70 ) ;
- net44 ( PIN io_oeb[8] ) ( tiny_user_project_44 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 99620 ) ( * 99790 )
NEW met3 ( 141910 99620 ) ( 146740 * )
NEW met3 ( 146740 98260 0 ) ( * 99620 )
NEW li1 ( 141910 99790 ) L1M1_PR
NEW met1 ( 141910 99790 ) M1M2_PR
NEW met2 ( 141910 99620 ) M2M3_PR
NEW met1 ( 141910 99790 ) RECT ( -355 -70 0 70 ) ;
- net45 ( PIN io_oeb[9] ) ( tiny_user_project_45 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 109820 ) ( * 109990 )
NEW met3 ( 141910 109820 ) ( 146740 * )
NEW met3 ( 146740 108460 0 ) ( * 109820 )
NEW li1 ( 141910 109990 ) L1M1_PR
NEW met1 ( 141910 109990 ) M1M2_PR
NEW met2 ( 141910 109820 ) M2M3_PR
NEW met1 ( 141910 109990 ) RECT ( -355 -70 0 70 ) ;
- net46 ( PIN io_oeb[10] ) ( tiny_user_project_46 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 118660 ) ( * 120870 )
NEW met3 ( 141910 118660 ) ( 146740 * 0 )
NEW li1 ( 141910 120870 ) L1M1_PR
NEW met1 ( 141910 120870 ) M1M2_PR
NEW met2 ( 141910 118660 ) M2M3_PR
NEW met1 ( 141910 120870 ) RECT ( -355 -70 0 70 ) ;
- net47 ( PIN io_oeb[11] ) ( tiny_user_project_47 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 128690 ) ( * 128860 )
NEW met3 ( 141910 128860 ) ( 146740 * 0 )
NEW li1 ( 141910 128690 ) L1M1_PR
NEW met1 ( 141910 128690 ) M1M2_PR
NEW met2 ( 141910 128860 ) M2M3_PR
NEW met1 ( 141910 128690 ) RECT ( -355 -70 0 70 ) ;
- net48 ( PIN io_oeb[12] ) ( tiny_user_project_48 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 139060 ) ( * 139230 )
NEW met3 ( 141910 139060 ) ( 146740 * 0 )
NEW li1 ( 141910 139230 ) L1M1_PR
NEW met1 ( 141910 139230 ) M1M2_PR
NEW met2 ( 141910 139060 ) M2M3_PR
NEW met1 ( 141910 139230 ) RECT ( -355 -70 0 70 ) ;
- net49 ( PIN io_oeb[13] ) ( tiny_user_project_49 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 149260 ) ( * 150110 )
NEW met3 ( 141910 149260 ) ( 146740 * 0 )
NEW li1 ( 141910 150110 ) L1M1_PR
NEW met1 ( 141910 150110 ) M1M2_PR
NEW met2 ( 141910 149260 ) M2M3_PR
NEW met1 ( 141910 150110 ) RECT ( -355 -70 0 70 ) ;
- net5 ( PIN io_out[7] ) ( tiny_user_project_5 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 84660 ) ( * 84830 )
NEW met3 ( 141910 84660 ) ( 146740 * 0 )
NEW li1 ( 141910 84830 ) L1M1_PR
NEW met1 ( 141910 84830 ) M1M2_PR
NEW met2 ( 141910 84660 ) M2M3_PR
NEW met1 ( 141910 84830 ) RECT ( -355 -70 0 70 ) ;
- net50 ( PIN io_oeb[14] ) ( tiny_user_project_50 LO ) + USE SIGNAL
+ ROUTED met3 ( 138690 159460 ) ( 146740 * 0 )
NEW met2 ( 138690 154530 ) ( * 159460 )
NEW met2 ( 138690 159460 ) M2M3_PR
NEW li1 ( 138690 154530 ) L1M1_PR
NEW met1 ( 138690 154530 ) M1M2_PR
NEW met1 ( 138690 154530 ) RECT ( -355 -70 0 70 ) ;
- net51 ( PIN io_oeb[15] ) ( tiny_user_project_51 LO ) + USE SIGNAL
+ ROUTED met1 ( 135470 156570 ) ( 135930 * )
NEW met2 ( 135470 156570 ) ( * 166260 0 )
NEW li1 ( 135930 156570 ) L1M1_PR
NEW met1 ( 135470 156570 ) M1M2_PR ;
- net52 ( PIN io_oeb[16] ) ( tiny_user_project_52 LO ) + USE SIGNAL
+ ROUTED met1 ( 118910 156570 ) ( 119370 * )
NEW met2 ( 118910 156570 ) ( * 166260 0 )
NEW li1 ( 119370 156570 ) L1M1_PR
NEW met1 ( 118910 156570 ) M1M2_PR ;
- net53 ( PIN io_oeb[17] ) ( tiny_user_project_53 LO ) + USE SIGNAL
+ ROUTED met1 ( 102350 156570 ) ( 102810 * )
NEW met2 ( 102350 156570 ) ( * 166260 0 )
NEW li1 ( 102810 156570 ) L1M1_PR
NEW met1 ( 102350 156570 ) M1M2_PR ;
- net54 ( PIN io_oeb[18] ) ( tiny_user_project_54 LO ) + USE SIGNAL
+ ROUTED met1 ( 85790 156570 ) ( 86250 * )
NEW met2 ( 85790 156570 ) ( * 166260 0 )
NEW li1 ( 86250 156570 ) L1M1_PR
NEW met1 ( 85790 156570 ) M1M2_PR ;
- net55 ( PIN io_oeb[19] ) ( tiny_user_project_55 LO ) + USE SIGNAL
+ ROUTED met1 ( 69230 156570 ) ( 71530 * )
NEW met2 ( 69230 156570 ) ( * 166260 0 )
NEW li1 ( 71530 156570 ) L1M1_PR
NEW met1 ( 69230 156570 ) M1M2_PR ;
- net56 ( PIN io_oeb[20] ) ( tiny_user_project_56 LO ) + USE SIGNAL
+ ROUTED met1 ( 52670 156570 ) ( 53130 * )
NEW met2 ( 52670 156570 ) ( * 166260 0 )
NEW li1 ( 53130 156570 ) L1M1_PR
NEW met1 ( 52670 156570 ) M1M2_PR ;
- net57 ( PIN io_oeb[21] ) ( tiny_user_project_57 LO ) + USE SIGNAL
+ ROUTED met1 ( 36110 156570 ) ( 36570 * )
NEW met2 ( 36110 156570 ) ( * 166260 0 )
NEW li1 ( 36570 156570 ) L1M1_PR
NEW met1 ( 36110 156570 ) M1M2_PR ;
- net58 ( PIN io_oeb[22] ) ( tiny_user_project_58 LO ) + USE SIGNAL
+ ROUTED met1 ( 19550 156570 ) ( 20010 * )
NEW met2 ( 19550 156570 ) ( * 166260 0 )
NEW li1 ( 20010 156570 ) L1M1_PR
NEW met1 ( 19550 156570 ) M1M2_PR ;
- net59 ( PIN io_oeb[23] ) ( tiny_user_project_59 LO ) + USE SIGNAL
+ ROUTED met1 ( 2990 156230 ) ( 12190 * )
NEW met2 ( 2990 156230 ) ( * 166260 0 )
NEW li1 ( 12190 156230 ) L1M1_PR
NEW met1 ( 2990 156230 ) M1M2_PR ;
- net6 ( PIN io_out[8] ) ( tiny_user_project_6 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 94860 ) ( * 95710 )
NEW met3 ( 141910 94860 ) ( 146740 * 0 )
NEW li1 ( 141910 95710 ) L1M1_PR
NEW met1 ( 141910 95710 ) M1M2_PR
NEW met2 ( 141910 94860 ) M2M3_PR
NEW met1 ( 141910 95710 ) RECT ( -355 -70 0 70 ) ;
- net60 ( PIN io_oeb[24] ) ( tiny_user_project_60 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 147900 0 ) ( 8050 * )
NEW met2 ( 8050 147900 ) ( * 148070 )
NEW met2 ( 8050 147900 ) M2M3_PR
NEW li1 ( 8050 148070 ) L1M1_PR
NEW met1 ( 8050 148070 ) M1M2_PR
NEW met1 ( 8050 148070 ) RECT ( -355 -70 0 70 ) ;
- net61 ( PIN io_oeb[25] ) ( tiny_user_project_61 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 137700 0 ) ( 8050 * )
NEW met2 ( 8050 137700 ) ( * 139230 )
NEW met2 ( 8050 137700 ) M2M3_PR
NEW li1 ( 8050 139230 ) L1M1_PR
NEW met1 ( 8050 139230 ) M1M2_PR
NEW met1 ( 8050 139230 ) RECT ( -355 -70 0 70 ) ;
- net62 ( PIN io_oeb[26] ) ( tiny_user_project_62 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 127500 0 ) ( 8050 * )
NEW met2 ( 8050 127500 ) ( * 128350 )
NEW met2 ( 8050 127500 ) M2M3_PR
NEW li1 ( 8050 128350 ) L1M1_PR
NEW met1 ( 8050 128350 ) M1M2_PR
NEW met1 ( 8050 128350 ) RECT ( -355 -70 0 70 ) ;
- net63 ( PIN io_oeb[27] ) ( tiny_user_project_63 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 117300 0 ) ( 8050 * )
NEW met2 ( 8050 117300 ) ( * 117470 )
NEW met2 ( 8050 117300 ) M2M3_PR
NEW li1 ( 8050 117470 ) L1M1_PR
NEW met1 ( 8050 117470 ) M1M2_PR
NEW met1 ( 8050 117470 ) RECT ( -355 -70 0 70 ) ;
- net64 ( PIN io_oeb[28] ) ( tiny_user_project_64 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 107100 0 ) ( 8050 * )
NEW met2 ( 8050 107100 ) ( * 107270 )
NEW met2 ( 8050 107100 ) M2M3_PR
NEW li1 ( 8050 107270 ) L1M1_PR
NEW met1 ( 8050 107270 ) M1M2_PR
NEW met1 ( 8050 107270 ) RECT ( -355 -70 0 70 ) ;
- net65 ( PIN io_oeb[29] ) ( tiny_user_project_65 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 96900 0 ) ( 8050 * )
NEW met2 ( 8050 96900 ) ( * 99110 )
NEW met2 ( 8050 96900 ) M2M3_PR
NEW li1 ( 8050 99110 ) L1M1_PR
NEW met1 ( 8050 99110 ) M1M2_PR
NEW met1 ( 8050 99110 ) RECT ( -355 -70 0 70 ) ;
- net66 ( PIN io_oeb[30] ) ( tiny_user_project_66 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 86700 0 ) ( 8050 * )
NEW met2 ( 8050 86700 ) ( * 88230 )
NEW met2 ( 8050 86700 ) M2M3_PR
NEW li1 ( 8050 88230 ) L1M1_PR
NEW met1 ( 8050 88230 ) M1M2_PR
NEW met1 ( 8050 88230 ) RECT ( -355 -70 0 70 ) ;
- net67 ( PIN io_oeb[31] ) ( tiny_user_project_67 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 76500 0 ) ( 8050 * )
NEW met2 ( 8050 76500 ) ( * 77350 )
NEW met2 ( 8050 76500 ) M2M3_PR
NEW li1 ( 8050 77350 ) L1M1_PR
NEW met1 ( 8050 77350 ) M1M2_PR
NEW met1 ( 8050 77350 ) RECT ( -355 -70 0 70 ) ;
- net68 ( PIN io_oeb[32] ) ( tiny_user_project_68 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 66300 0 ) ( 8050 * )
NEW met2 ( 8050 66300 ) ( * 66470 )
NEW met2 ( 8050 66300 ) M2M3_PR
NEW li1 ( 8050 66470 ) L1M1_PR
NEW met1 ( 8050 66470 ) M1M2_PR
NEW met1 ( 8050 66470 ) RECT ( -355 -70 0 70 ) ;
- net69 ( PIN io_oeb[33] ) ( tiny_user_project_69 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 56100 0 ) ( 8050 * )
NEW met2 ( 8050 56100 ) ( * 57630 )
NEW met2 ( 8050 56100 ) M2M3_PR
NEW li1 ( 8050 57630 ) L1M1_PR
NEW met1 ( 8050 57630 ) M1M2_PR
NEW met1 ( 8050 57630 ) RECT ( -355 -70 0 70 ) ;
- net7 ( PIN io_out[9] ) ( tiny_user_project_7 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 105060 ) ( * 106590 )
NEW met3 ( 141910 105060 ) ( 146740 * 0 )
NEW li1 ( 141910 106590 ) L1M1_PR
NEW met1 ( 141910 106590 ) M1M2_PR
NEW met2 ( 141910 105060 ) M2M3_PR
NEW met1 ( 141910 106590 ) RECT ( -355 -70 0 70 ) ;
- net70 ( PIN io_oeb[34] ) ( tiny_user_project_70 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 45900 0 ) ( 8050 * )
NEW met2 ( 8050 45900 ) ( * 46750 )
NEW met2 ( 8050 45900 ) M2M3_PR
NEW li1 ( 8050 46750 ) L1M1_PR
NEW met1 ( 8050 46750 ) M1M2_PR
NEW met1 ( 8050 46750 ) RECT ( -355 -70 0 70 ) ;
- net71 ( PIN io_oeb[35] ) ( tiny_user_project_71 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 35700 0 ) ( 8050 * )
NEW met2 ( 8050 35700 ) ( * 35870 )
NEW met2 ( 8050 35700 ) M2M3_PR
NEW li1 ( 8050 35870 ) L1M1_PR
NEW met1 ( 8050 35870 ) M1M2_PR
NEW met1 ( 8050 35870 ) RECT ( -355 -70 0 70 ) ;
- net72 ( PIN io_oeb[36] ) ( tiny_user_project_72 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 25500 0 ) ( 8050 * )
NEW met2 ( 8050 25500 ) ( * 25670 )
NEW met2 ( 8050 25500 ) M2M3_PR
NEW li1 ( 8050 25670 ) L1M1_PR
NEW met1 ( 8050 25670 ) M1M2_PR
NEW met1 ( 8050 25670 ) RECT ( -355 -70 0 70 ) ;
- net73 ( PIN io_oeb[37] ) ( tiny_user_project_73 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 15300 0 ) ( 8050 * )
NEW met2 ( 8050 15300 ) ( * 17510 )
NEW met2 ( 8050 15300 ) M2M3_PR
NEW li1 ( 8050 17510 ) L1M1_PR
NEW met1 ( 8050 17510 ) M1M2_PR
NEW met1 ( 8050 17510 ) RECT ( -355 -70 0 70 ) ;
- net74 ( PIN io_out[0] ) ( tiny_user_project_74 LO ) + USE SIGNAL
+ ROUTED met3 ( 141910 13260 ) ( 146740 * 0 )
NEW met2 ( 141910 13260 ) ( * 14110 )
NEW met2 ( 141910 13260 ) M2M3_PR
NEW li1 ( 141910 14110 ) L1M1_PR
NEW met1 ( 141910 14110 ) M1M2_PR
NEW met1 ( 141910 14110 ) RECT ( -355 -70 0 70 ) ;
- net75 ( PIN io_out[1] ) ( tiny_user_project_75 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 23460 ) ( * 24990 )
NEW met3 ( 141910 23460 ) ( 146740 * 0 )
NEW li1 ( 141910 24990 ) L1M1_PR
NEW met1 ( 141910 24990 ) M1M2_PR
NEW met2 ( 141910 23460 ) M2M3_PR
NEW met1 ( 141910 24990 ) RECT ( -355 -70 0 70 ) ;
- net76 ( PIN io_out[2] ) ( tiny_user_project_76 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 33660 ) ( * 33830 )
NEW met3 ( 141910 33660 ) ( 146740 * 0 )
NEW li1 ( 141910 33830 ) L1M1_PR
NEW met1 ( 141910 33830 ) M1M2_PR
NEW met2 ( 141910 33660 ) M2M3_PR
NEW met1 ( 141910 33830 ) RECT ( -355 -70 0 70 ) ;
- net8 ( PIN io_out[10] ) ( tiny_user_project_8 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 115260 ) ( * 115430 )
NEW met3 ( 141910 115260 ) ( 146740 * 0 )
NEW li1 ( 141910 115430 ) L1M1_PR
NEW met1 ( 141910 115430 ) M1M2_PR
NEW met2 ( 141910 115260 ) M2M3_PR
NEW met1 ( 141910 115430 ) RECT ( -355 -70 0 70 ) ;
- net9 ( PIN io_out[11] ) ( tiny_user_project_9 LO ) + USE SIGNAL
+ ROUTED met2 ( 141910 126820 ) ( * 126990 )
NEW met3 ( 141910 126820 ) ( 146740 * )
NEW met3 ( 146740 125460 0 ) ( * 126820 )
NEW li1 ( 141910 126990 ) L1M1_PR
NEW met1 ( 141910 126990 ) M1M2_PR
NEW met2 ( 141910 126820 ) M2M3_PR
NEW met1 ( 141910 126990 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN