deleted openlane_src and timing-scripts folders
diff --git a/dependencies/openlane_src b/dependencies/openlane_src
deleted file mode 160000
index cb59d1f..0000000
--- a/dependencies/openlane_src
+++ /dev/null
@@ -1 +0,0 @@
-Subproject commit cb59d1f84deb5cedbb5b0a3e3f3b4129a967c988
diff --git a/deps/timing-scripts b/deps/timing-scripts
deleted file mode 160000
index 51ad6b7..0000000
--- a/deps/timing-scripts
+++ /dev/null
@@ -1 +0,0 @@
-Subproject commit 51ad6b7bbb6d85a22c9c770711ede8f38ac69104