blob: ac687cd884eeca948358f5882a473f0dbbe590f5 [file] [log] [blame]
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.27 10.06 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 10.06 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.30 10.36 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.01 _3757_ (net)
0.07 0.00 10.36 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.27 10.64 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 10.64 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.03 0.09 10.73 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.73 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
10.73 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.73 data arrival time
-----------------------------------------------------------------------------
-1.06 slack (VIOLATED)
===========================================================================
report_checks --slack_max -0.01
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.27 10.06 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 10.06 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.30 10.36 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.01 _3757_ (net)
0.07 0.00 10.36 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.27 10.64 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 10.64 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.03 0.09 10.73 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.73 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
10.73 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.73 data arrival time
-----------------------------------------------------------------------------
-1.06 slack (VIOLATED)