blob: d77c33529b8785d813138fa3e042665857acc098 [file] [log] [blame]
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.49 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.39 1.25 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.08 0.00 1.25 ^ _3846_/A (sky130_fd_sc_hd__buf_2)
0.17 0.22 1.48 ^ _3846_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0503_ (net)
0.17 0.00 1.48 ^ _3928_/A (sky130_fd_sc_hd__buf_2)
0.14 0.23 1.70 ^ _3928_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0580_ (net)
0.14 0.00 1.70 ^ _3935_/B_N (sky130_fd_sc_hd__or2b_1)
0.05 0.25 1.95 v _3935_/X (sky130_fd_sc_hd__or2b_1)
1 0.00 _0586_ (net)
0.05 0.00 1.95 v _3939_/A2 (sky130_fd_sc_hd__a2111o_1)
0.09 0.46 2.41 v _3939_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0590_ (net)
0.09 0.00 2.41 v _3940_/B2 (sky130_fd_sc_hd__o22ai_4)
0.70 0.55 2.97 ^ _3940_/Y (sky130_fd_sc_hd__o22ai_4)
9 0.10 _0591_ (net)
0.70 0.01 2.97 ^ _5653_/A2 (sky130_fd_sc_hd__a211o_1)
0.14 0.31 3.28 ^ _5653_/X (sky130_fd_sc_hd__a211o_1)
3 0.01 _1994_ (net)
0.14 0.00 3.28 ^ _5654_/C (sky130_fd_sc_hd__or3_1)
0.09 0.17 3.45 ^ _5654_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _1995_ (net)
0.09 0.00 3.45 ^ _5656_/D (sky130_fd_sc_hd__nand4_1)
0.13 0.15 3.60 v _5656_/Y (sky130_fd_sc_hd__nand4_1)
3 0.01 _1997_ (net)
0.13 0.00 3.60 v _5659_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 3.84 v _5659_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2000_ (net)
0.06 0.00 3.84 v _5660_/C (sky130_fd_sc_hd__and3_1)
0.05 0.20 4.04 v _5660_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2001_ (net)
0.05 0.00 4.04 v _5667_/A (sky130_fd_sc_hd__or3_1)
0.07 0.40 4.44 v _5667_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _2008_ (net)
0.07 0.00 4.44 v _5668_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.21 4.64 v _5668_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _2009_ (net)
0.09 0.00 4.64 v _5675_/A (sky130_fd_sc_hd__and3_1)
0.04 0.18 4.82 v _5675_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2016_ (net)
0.04 0.00 4.82 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.14 0.74 5.56 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _2019_ (net)
0.14 0.00 5.56 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.35 5.92 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 5.92 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.03 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.03 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.35 0.36 6.39 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.35 0.00 6.39 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 6.57 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 6.57 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 6.80 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 6.80 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.27 0.26 7.06 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _2269_ (net)
0.27 0.00 7.06 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.19 0.32 7.38 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
4 0.02 _2462_ (net)
0.19 0.00 7.38 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 7.48 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.08 0.00 7.48 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.11 0.50 7.98 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 7.99 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.40 8.38 v _6383_/X (sky130_fd_sc_hd__a211o_4)
5 0.03 _2724_ (net)
0.09 0.00 8.38 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.08 0.29 8.67 v _6829_/X (sky130_fd_sc_hd__a22o_4)
3 0.04 _3151_ (net)
0.08 0.00 8.68 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.26 8.94 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 8.94 v _7645_/A1 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.29 v _7645_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _3757_ (net)
0.09 0.00 9.29 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.59 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 9.59 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 9.69 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 9.69 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
9.69 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.57 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.28 0.29 10.86 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.28 0.00 10.86 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.05 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.05 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.80 clock uncertainty
0.03 10.83 clock reconvergence pessimism
-0.10 10.73 library setup time
10.73 data required time
-----------------------------------------------------------------------------
10.73 data required time
-9.69 data arrival time
-----------------------------------------------------------------------------
1.04 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.