blob: 2ccc74da6958c6d955d138f795374c13820cdbf9 [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/tmp/merged.nom.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/tmp/merged.nom.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/results/routing/core.def
[INFO ODB-0128] Design: core
[INFO ODB-0130] Created 254 pins.
[INFO ODB-0131] Created 35762 components and 158326 component-terminals.
[INFO ODB-0132] Created 2 special nets and 136500 connections.
[INFO ODB-0133] Created 4896 nets and 21825 connections.
[INFO ODB-0134] Finished DEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/results/routing/core.def
Top-level design name: core
Found default power net 'vccd1'
Found default ground net 'vssd1'
Found 1 power ports.
Found 1 ground ports.
Modified power connections of 35762/35762 cells.