blob: 7e534b46cab644151e3f5f608288b0d2e607a732 [file] [log] [blame]
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 10.41 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 10.41 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 10.59 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _3797_ (net)
0.04 0.00 10.59 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 10.72 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 10.72 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 11.01 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 11.01 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 11.10 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.02 0.00 11.10 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
11.10 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.28 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.28 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.03 clock uncertainty
0.04 11.08 clock reconvergence pessimism
-0.10 10.98 library setup time
10.98 data required time
-----------------------------------------------------------------------------
10.98 data required time
-11.10 data arrival time
-----------------------------------------------------------------------------
-0.12 slack (VIOLATED)
===========================================================================
report_checks --slack_max -0.01
============================================================================
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 10.41 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 10.41 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 10.59 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _3797_ (net)
0.04 0.00 10.59 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 10.72 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 10.72 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 11.01 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 11.01 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 11.10 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.02 0.00 11.10 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
11.10 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.28 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.28 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.03 clock uncertainty
0.04 11.08 clock reconvergence pessimism
-0.10 10.98 library setup time
10.98 data required time
-----------------------------------------------------------------------------
10.98 data required time
-11.10 data arrival time
-----------------------------------------------------------------------------
-0.12 slack (VIOLATED)