blob: 273bb751d3ba3e720c7a88a4ef41ba4ce95214d7 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.35 1.43 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.08 0.00 1.43 ^ _3850_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.64 ^ _3850_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0507_ (net)
0.15 0.00 1.64 ^ _3869_/C_N (sky130_fd_sc_hd__nor3b_4)
0.91 0.82 2.46 ^ _3869_/Y (sky130_fd_sc_hd__nor3b_4)
20 0.09 _0526_ (net)
0.91 0.00 2.46 ^ _3950_/A2 (sky130_fd_sc_hd__a2111oi_2)
0.17 0.22 2.68 v _3950_/Y (sky130_fd_sc_hd__a2111oi_2)
2 0.01 _0600_ (net)
0.17 0.00 2.68 v _4874_/B2 (sky130_fd_sc_hd__a221o_2)
0.12 0.52 3.19 v _4874_/X (sky130_fd_sc_hd__a221o_2)
6 0.03 _1331_ (net)
0.12 0.00 3.20 v _4875_/B (sky130_fd_sc_hd__and2_4)
0.08 0.26 3.46 v _4875_/X (sky130_fd_sc_hd__and2_4)
20 0.06 _1332_ (net)
0.09 0.01 3.47 v _5654_/B (sky130_fd_sc_hd__or3_1)
0.10 0.42 3.89 v _5654_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _1995_ (net)
0.10 0.00 3.89 v _5655_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.24 4.13 v _5655_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _1996_ (net)
0.05 0.00 4.13 v _5659_/A1 (sky130_fd_sc_hd__a21o_1)
0.06 0.19 4.32 v _5659_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2000_ (net)
0.06 0.00 4.32 v _5660_/C (sky130_fd_sc_hd__and3_1)
0.05 0.20 4.52 v _5660_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2001_ (net)
0.05 0.00 4.52 v _5667_/A (sky130_fd_sc_hd__or3_1)
0.08 0.40 4.92 v _5667_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _2008_ (net)
0.08 0.00 4.92 v _5668_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.21 5.13 v _5668_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _2009_ (net)
0.10 0.00 5.13 v _5675_/A (sky130_fd_sc_hd__and3_1)
0.05 0.18 5.31 v _5675_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _2016_ (net)
0.05 0.00 5.31 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.15 0.75 6.07 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 6.07 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.43 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.43 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.55 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.55 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.93 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.93 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 7.12 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 7.12 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.35 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.35 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.64 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.64 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.23 0.37 8.00 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.23 0.00 8.01 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.12 8.12 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 8.12 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.12 0.51 8.64 v _6339_/X (sky130_fd_sc_hd__o311a_2)
4 0.03 _2680_ (net)
0.12 0.00 8.64 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.41 9.05 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 9.05 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 9.35 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 9.36 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.63 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.63 v _7645_/A1 (sky130_fd_sc_hd__mux2_1)
0.09 0.36 9.99 v _7645_/X (sky130_fd_sc_hd__mux2_1)
2 0.01 _3757_ (net)
0.09 0.00 9.99 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.31 10.29 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 10.29 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.39 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.39 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.39 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.00 10.89 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.08 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.08 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.83 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.76 library setup time
10.76 data required time
-----------------------------------------------------------------------------
10.76 data required time
-10.39 data arrival time
-----------------------------------------------------------------------------
0.37 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.68 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.68 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.24 1.92 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.92 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.21 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.22 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.53 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.53 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.78 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.78 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.20 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.20 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.70 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.74 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.97 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.97 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.41 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.42 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.56 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.56 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.79 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.79 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.87 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.87 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.08 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.08 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.32 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.32 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.46 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.46 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.96 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.97 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.34 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.34 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.47 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.47 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.90 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.90 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.13 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.13 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.33 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.33 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.66 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.66 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 8.11 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.12 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.70 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.70 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.98 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.98 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.33 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.33 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.05 0.19 9.52 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.01 _3797_ (net)
0.05 0.00 9.52 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 9.64 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 9.64 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 9.93 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 9.93 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 10.03 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.03 0.00 10.03 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
10.03 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.09 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 clknet_leaf_62_i_clk (net)
0.05 0.00 11.09 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.88 clock reconvergence pessimism
-0.10 10.78 library setup time
10.78 data required time
-----------------------------------------------------------------------------
10.78 data required time
-10.03 data arrival time
-----------------------------------------------------------------------------
0.75 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.68 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.68 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.24 1.92 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.92 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.21 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.22 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.53 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.53 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.78 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.78 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.20 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.20 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.70 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.74 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.97 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.97 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.41 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.42 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.56 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.56 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.79 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.79 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.87 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.87 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.08 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.08 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.32 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.32 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.46 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.46 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.96 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.97 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.34 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.34 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.47 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.47 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.90 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.90 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.13 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.13 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.33 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.33 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.66 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.66 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 8.11 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.12 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.70 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.70 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.98 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.98 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.33 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.33 v _7698_/A (sky130_fd_sc_hd__nor2_1)
0.14 0.17 9.50 ^ _7698_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _3802_ (net)
0.14 0.00 9.50 ^ _7699_/B (sky130_fd_sc_hd__xnor2_1)
0.06 0.09 9.59 v _7699_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3803_ (net)
0.06 0.00 9.59 v _7700_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.88 v _7700_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3804_ (net)
0.05 0.00 9.88 v _7701_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.98 v _7701_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0471_ (net)
0.03 0.00 9.98 v _8241_/D (sky130_fd_sc_hd__dfxtp_1)
9.98 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.09 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.04 0.00 11.09 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.77 library setup time
10.77 data required time
-----------------------------------------------------------------------------
10.77 data required time
-9.98 data arrival time
-----------------------------------------------------------------------------
0.79 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8239_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.68 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.68 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.24 1.92 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.92 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.21 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.22 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.53 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.53 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.78 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.78 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.20 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.20 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.70 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.74 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.97 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.97 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.41 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.42 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.56 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.56 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.79 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.79 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.87 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.87 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.08 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.08 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.32 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.32 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.46 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.46 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.96 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.97 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.34 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.34 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.47 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.47 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.90 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.90 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.13 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.13 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.33 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.33 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.66 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.66 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 8.11 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.12 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.70 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.70 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.98 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.98 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.33 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.33 v _7686_/B (sky130_fd_sc_hd__xnor2_1)
0.06 0.16 9.49 v _7686_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _3792_ (net)
0.06 0.00 9.49 v _7687_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.30 9.79 v _7687_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3793_ (net)
0.06 0.00 9.79 v _7688_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.90 v _7688_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0469_ (net)
0.03 0.00 9.90 v _8239_/D (sky130_fd_sc_hd__dfxtp_1)
9.90 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.09 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 clknet_leaf_62_i_clk (net)
0.05 0.00 11.09 ^ _8239_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.88 clock reconvergence pessimism
-0.10 10.77 library setup time
10.77 data required time
-----------------------------------------------------------------------------
10.77 data required time
-9.90 data arrival time
-----------------------------------------------------------------------------
0.88 slack (MET)
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8022_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.35 1.43 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.08 0.00 1.43 ^ _3850_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.64 ^ _3850_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0507_ (net)
0.15 0.00 1.64 ^ _3869_/C_N (sky130_fd_sc_hd__nor3b_4)
0.91 0.82 2.46 ^ _3869_/Y (sky130_fd_sc_hd__nor3b_4)
20 0.09 _0526_ (net)
0.91 0.00 2.46 ^ _3950_/A2 (sky130_fd_sc_hd__a2111oi_2)
0.17 0.22 2.68 v _3950_/Y (sky130_fd_sc_hd__a2111oi_2)
2 0.01 _0600_ (net)
0.17 0.00 2.68 v _4874_/B2 (sky130_fd_sc_hd__a221o_2)
0.12 0.52 3.19 v _4874_/X (sky130_fd_sc_hd__a221o_2)
6 0.03 _1331_ (net)
0.12 0.00 3.20 v _4875_/B (sky130_fd_sc_hd__and2_4)
0.08 0.26 3.46 v _4875_/X (sky130_fd_sc_hd__and2_4)
20 0.06 _1332_ (net)
0.09 0.01 3.47 v _5654_/B (sky130_fd_sc_hd__or3_1)
0.10 0.42 3.89 v _5654_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _1995_ (net)
0.10 0.00 3.89 v _5655_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.24 4.13 v _5655_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _1996_ (net)
0.05 0.00 4.13 v _5659_/A1 (sky130_fd_sc_hd__a21o_1)
0.06 0.19 4.32 v _5659_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2000_ (net)
0.06 0.00 4.32 v _5660_/C (sky130_fd_sc_hd__and3_1)
0.05 0.20 4.52 v _5660_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2001_ (net)
0.05 0.00 4.52 v _5667_/A (sky130_fd_sc_hd__or3_1)
0.08 0.40 4.92 v _5667_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _2008_ (net)
0.08 0.00 4.92 v _5668_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.21 5.13 v _5668_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _2009_ (net)
0.10 0.00 5.13 v _5675_/A (sky130_fd_sc_hd__and3_1)
0.05 0.18 5.31 v _5675_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _2016_ (net)
0.05 0.00 5.31 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.15 0.75 6.07 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 6.07 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.43 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.43 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.55 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.55 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.93 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.93 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 7.12 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 7.12 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.35 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.35 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.64 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.64 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.23 0.37 8.00 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.23 0.00 8.01 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.12 8.12 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 8.12 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.12 0.51 8.64 v _6339_/X (sky130_fd_sc_hd__o311a_2)
4 0.03 _2680_ (net)
0.12 0.00 8.64 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.41 9.05 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 9.05 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 9.35 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 9.36 v _6830_/B (sky130_fd_sc_hd__nand2_1)
0.05 0.09 9.45 ^ _6830_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _3152_ (net)
0.05 0.00 9.45 ^ _6834_/A2 (sky130_fd_sc_hd__a31oi_1)
0.07 0.09 9.54 v _6834_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.00 _3156_ (net)
0.07 0.00 9.54 v _6836_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.22 9.76 v _6836_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0253_ (net)
0.04 0.00 9.76 v _8022_/D (sky130_fd_sc_hd__dfxtp_4)
9.76 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.24 10.83 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.12 clknet_3_4_0_i_clk (net)
0.21 0.00 10.83 ^ clkbuf_leaf_57_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 11.00 ^ clkbuf_leaf_57_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_57_i_clk (net)
0.05 0.00 11.01 ^ _8022_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.25 10.76 clock uncertainty
0.03 10.79 clock reconvergence pessimism
-0.11 10.68 library setup time
10.68 data required time
-----------------------------------------------------------------------------
10.68 data required time
-9.76 data arrival time
-----------------------------------------------------------------------------
0.92 slack (MET)