blob: 00ee49351312115721f33f52a5324af4b8b7bafb [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO]: Setting RC values...
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
[INFO GPL-0002] DBU: 1000
[INFO GPL-0003] SiteSize: 460 2720
[INFO GPL-0004] CoreAreaLxLy: 5520 10880
[INFO GPL-0005] CoreAreaUxUy: 494040 486880
[INFO GPL-0006] NumInstances: 8070
[INFO GPL-0007] NumPlaceInstances: 4446
[INFO GPL-0008] NumFixedInstances: 3624
[INFO GPL-0009] NumDummyInstances: 0
[INFO GPL-0010] NumNets: 4505
[INFO GPL-0011] NumPins: 16494
[INFO GPL-0012] DieAreaLxLy: 0 0
[INFO GPL-0013] DieAreaUxUy: 500000 500000
[INFO GPL-0014] CoreAreaLxLy: 5520 10880
[INFO GPL-0015] CoreAreaUxUy: 494040 486880
[INFO GPL-0016] CoreArea: 232535520000
[INFO GPL-0017] NonPlaceInstsArea: 5410188800
[INFO GPL-0018] PlaceInstsArea: 44715385600
[INFO GPL-0019] Util(%): 19.69
[INFO GPL-0020] StdInstsArea: 44715385600
[INFO GPL-0021] MacroInstsArea: 0
[InitialPlace] Iter: 1 CG residual: 0.00046364 HPWL: 186363800
[InitialPlace] Iter: 2 CG residual: 0.00000581 HPWL: 114357211
[InitialPlace] Iter: 3 CG residual: 0.00000532 HPWL: 102597855
[InitialPlace] Iter: 4 CG residual: 0.00000097 HPWL: 94538056
[InitialPlace] Iter: 5 CG residual: 0.00000012 HPWL: 90488943
[INFO GPL-0031] FillerInit: NumGCells: 5912
[INFO GPL-0032] FillerInit: NumGNets: 4505
[INFO GPL-0033] FillerInit: NumGPins: 16494
[INFO GPL-0023] TargetDensity: 0.26
[INFO GPL-0024] AveragePlaceInstArea: 10057441
[INFO GPL-0025] IdealBinArea: 38682468
[INFO GPL-0026] IdealBinCnt: 6011
[INFO GPL-0027] TotalBinArea: 232535520000
[INFO GPL-0028] BinCnt: 64 64
[INFO GPL-0029] BinSize: 7634 7438
[INFO GPL-0030] NumBins: 4096
[NesterovSolve] Iter: 1 overflow: 0.979686 HPWL: 72888002
[NesterovSolve] Iter: 10 overflow: 0.946012 HPWL: 100682261
[NesterovSolve] Iter: 20 overflow: 0.923634 HPWL: 104757610
[NesterovSolve] Iter: 30 overflow: 0.903891 HPWL: 108010495
[NesterovSolve] Iter: 40 overflow: 0.894803 HPWL: 110451374
[NesterovSolve] Iter: 50 overflow: 0.889907 HPWL: 111106943
[NesterovSolve] Iter: 60 overflow: 0.888077 HPWL: 110944794
[NesterovSolve] Iter: 70 overflow: 0.885383 HPWL: 111127936
[NesterovSolve] Iter: 80 overflow: 0.883336 HPWL: 111645685
[NesterovSolve] Iter: 90 overflow: 0.882052 HPWL: 112104217
[NesterovSolve] Iter: 100 overflow: 0.881855 HPWL: 112304100
[NesterovSolve] Iter: 110 overflow: 0.882155 HPWL: 112181391
[NesterovSolve] Iter: 120 overflow: 0.882879 HPWL: 111861883
[NesterovSolve] Iter: 130 overflow: 0.883688 HPWL: 111510015
[NesterovSolve] Iter: 140 overflow: 0.884522 HPWL: 111264992
[NesterovSolve] Iter: 150 overflow: 0.88469 HPWL: 111282410
[NesterovSolve] Iter: 160 overflow: 0.883782 HPWL: 111673216
[NesterovSolve] Iter: 170 overflow: 0.881737 HPWL: 112473228
[NesterovSolve] Iter: 180 overflow: 0.878653 HPWL: 113727546
[NesterovSolve] Iter: 190 overflow: 0.873558 HPWL: 115543318
[NesterovSolve] Iter: 200 overflow: 0.86454 HPWL: 118038332
[NesterovSolve] Iter: 210 overflow: 0.853607 HPWL: 121422951
[NesterovSolve] Iter: 220 overflow: 0.837798 HPWL: 125871022
[NesterovSolve] Iter: 230 overflow: 0.820585 HPWL: 130999742
[NesterovSolve] Iter: 240 overflow: 0.797925 HPWL: 137067460
[INFO GPL-0100] worst slack 1.19e-09
[INFO GPL-0103] Weighted 453 nets.
[NesterovSolve] Iter: 250 overflow: 0.776315 HPWL: 144315303
[NesterovSolve] Iter: 260 overflow: 0.756743 HPWL: 149679469
[NesterovSolve] Iter: 270 overflow: 0.722294 HPWL: 157150064
[NesterovSolve] Iter: 280 overflow: 0.689845 HPWL: 164264814
[NesterovSolve] Iter: 290 overflow: 0.651203 HPWL: 170894393
[INFO GPL-0100] worst slack 1.02e-09
[INFO GPL-0103] Weighted 454 nets.
[NesterovSolve] Iter: 300 overflow: 0.610753 HPWL: 176610695
[NesterovSolve] Snapshot saved at iter = 302
[NesterovSolve] Iter: 310 overflow: 0.568239 HPWL: 182487081
[NesterovSolve] Iter: 320 overflow: 0.52247 HPWL: 188954954
[INFO GPL-0100] worst slack 6.41e-10
[INFO GPL-0103] Weighted 454 nets.
[NesterovSolve] Iter: 330 overflow: 0.47763 HPWL: 195261691
[NesterovSolve] Iter: 340 overflow: 0.421977 HPWL: 200123034
[NesterovSolve] Iter: 350 overflow: 0.369236 HPWL: 204717070
[NesterovSolve] Iter: 360 overflow: 0.323818 HPWL: 208416679
[NesterovSolve] Iter: 370 overflow: 0.293666 HPWL: 210751957
[INFO GPL-0100] worst slack 5.51e-10
[INFO GPL-0103] Weighted 452 nets.
[NesterovSolve] Iter: 380 overflow: 0.261359 HPWL: 213084930
[NesterovSolve] Iter: 390 overflow: 0.230916 HPWL: 214913341
[INFO GPL-0100] worst slack 6.02e-10
[INFO GPL-0103] Weighted 454 nets.
[NesterovSolve] Iter: 400 overflow: 0.202186 HPWL: 216362695
[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0
[INFO GPL-0036] TileLxLy: 0 0
[INFO GPL-0037] TileSize: 6900 6900
[INFO GPL-0038] TileCnt: 72 72
[INFO GPL-0039] numRoutingLayers: 6
[INFO GPL-0040] NumTiles: 5184
[INFO GPL-0063] TotalRouteOverflowH2: 0.0
[INFO GPL-0064] TotalRouteOverflowV2: 0.0
[INFO GPL-0065] OverflowTileCnt2: 0
[INFO GPL-0066] 0.5%RC: 1.0
[INFO GPL-0067] 1.0%RC: 1.0
[INFO GPL-0068] 2.0%RC: 0.9787128662118817
[INFO GPL-0069] 5.0%RC: 0.9213201367028869
[INFO GPL-0070] 0.5rcK: 1.0
[INFO GPL-0071] 1.0rcK: 1.0
[INFO GPL-0072] 2.0rcK: 0.0
[INFO GPL-0073] 5.0rcK: 0.0
[INFO GPL-0074] FinalRC: 1.0
[NesterovSolve] Iter: 410 overflow: 0.177502 HPWL: 217503213
[NesterovSolve] Iter: 420 overflow: 0.153832 HPWL: 218456213
[INFO GPL-0100] worst slack 4.71e-10
[INFO GPL-0103] Weighted 453 nets.
[NesterovSolve] Iter: 430 overflow: 0.133094 HPWL: 219282506
[NesterovSolve] Iter: 440 overflow: 0.113655 HPWL: 219813725
[NesterovSolve] Iter: 450 overflow: 0.0988313 HPWL: 220445730
[NesterovSolve] Finished with Overflow: 0.098831
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/placement/7-global.odb...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/placement/7-global.def...
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _8039_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8039_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _8039_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.34 0.34 ^ _8039_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 execute.alu_mul_div.mul_res[15] (net)
0.05 0.00 0.34 ^ _6997_/A (sky130_fd_sc_hd__xnor2_2)
0.02 0.05 0.40 v _6997_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _0270_ (net)
0.02 0.00 0.40 v _8039_/D (sky130_fd_sc_hd__dfxtp_2)
0.40 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _8039_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.40 data arrival time
-----------------------------------------------------------------------------
0.16 slack (MET)
Startpoint: _8024_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8024_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _8024_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.35 0.35 ^ _8024_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 execute.alu_mul_div.mul_res[0] (net)
0.05 0.00 0.35 ^ _6855_/A (sky130_fd_sc_hd__xnor2_2)
0.03 0.06 0.40 v _6855_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _0255_ (net)
0.03 0.00 0.40 v _8024_/D (sky130_fd_sc_hd__dfxtp_2)
0.40 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _8024_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.40 data arrival time
-----------------------------------------------------------------------------
0.17 slack (MET)
Startpoint: _7985_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7982_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7985_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.03 0.34 0.34 v _7985_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 execute.sreg_jtr_buff.o_d[1] (net)
0.03 0.00 0.34 v _5488_/A (sky130_fd_sc_hd__nand2_2)
0.04 0.05 0.38 ^ _5488_/Y (sky130_fd_sc_hd__nand2_2)
1 0.01 _1850_ (net)
0.04 0.00 0.38 ^ _5489_/A2 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.42 v _5489_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0213_ (net)
0.03 0.00 0.42 v _7982_/D (sky130_fd_sc_hd__dfxtp_2)
0.42 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7982_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.42 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _7986_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 0.34 v _5491_/A (sky130_fd_sc_hd__nand2_2)
0.04 0.05 0.39 ^ _5491_/Y (sky130_fd_sc_hd__nand2_2)
1 0.01 _1852_ (net)
0.04 0.00 0.39 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.43 v _5492_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0214_ (net)
0.03 0.00 0.43 v _7983_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7915_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7915_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7915_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 ^ _7915_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 decode.oc_rf_ie[3] (net)
0.04 0.00 0.34 ^ _5283_/B2 (sky130_fd_sc_hd__a32o_2)
0.04 0.10 0.44 ^ _5283_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _0146_ (net)
0.04 0.00 0.44 ^ _7915_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7915_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.27 10.06 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 10.06 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.30 10.36 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.01 _3757_ (net)
0.07 0.00 10.36 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.27 10.64 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 10.64 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.03 0.09 10.73 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.73 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
10.73 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.73 data arrival time
-----------------------------------------------------------------------------
-1.06 slack (VIOLATED)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8003_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6027_/A2 (sky130_fd_sc_hd__o211ai_2)
0.13 0.12 7.35 v _6027_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2368_ (net)
0.13 0.00 7.35 v _6439_/B (sky130_fd_sc_hd__and2b_2)
0.05 0.25 7.60 v _6439_/X (sky130_fd_sc_hd__and2b_2)
2 0.01 _2780_ (net)
0.05 0.00 7.60 v _6441_/B (sky130_fd_sc_hd__or2_2)
0.05 0.28 7.88 v _6441_/X (sky130_fd_sc_hd__or2_2)
1 0.00 _2782_ (net)
0.05 0.00 7.88 v _6462_/A3 (sky130_fd_sc_hd__a31o_2)
0.06 0.29 8.17 v _6462_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2803_ (net)
0.06 0.00 8.17 v _6477_/A (sky130_fd_sc_hd__or2b_2)
0.05 0.30 8.47 v _6477_/X (sky130_fd_sc_hd__or2b_2)
1 0.00 _2818_ (net)
0.05 0.00 8.47 v _6618_/A (sky130_fd_sc_hd__or4_2)
0.09 0.67 9.15 v _6618_/X (sky130_fd_sc_hd__or4_2)
1 0.00 _2959_ (net)
0.09 0.00 9.15 v _6653_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 9.67 v _6653_/X (sky130_fd_sc_hd__or3_2)
1 0.01 _2994_ (net)
0.09 0.00 9.67 v _6654_/D (sky130_fd_sc_hd__or4_2)
0.11 0.59 10.26 v _6654_/X (sky130_fd_sc_hd__or4_2)
1 0.01 _2995_ (net)
0.11 0.00 10.26 v _6655_/C1 (sky130_fd_sc_hd__o211ai_2)
0.13 0.08 10.35 ^ _6655_/Y (sky130_fd_sc_hd__o211ai_2)
1 0.00 _2996_ (net)
0.13 0.00 10.35 ^ _6656_/B1 (sky130_fd_sc_hd__o211a_2)
0.04 0.19 10.53 ^ _6656_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _0234_ (net)
0.04 0.00 10.53 ^ _8003_/D (sky130_fd_sc_hd__dfxtp_2)
10.53 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8003_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 9.71 library setup time
9.71 data required time
-----------------------------------------------------------------------------
9.71 data required time
-10.53 data arrival time
-----------------------------------------------------------------------------
-0.83 slack (VIOLATED)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8022_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _6830_/B (sky130_fd_sc_hd__nand2_2)
0.07 0.10 9.90 ^ _6830_/Y (sky130_fd_sc_hd__nand2_2)
1 0.00 _3152_ (net)
0.07 0.00 9.90 ^ _6834_/A2 (sky130_fd_sc_hd__a31oi_2)
0.11 0.08 9.98 v _6834_/Y (sky130_fd_sc_hd__a31oi_2)
1 0.00 _3156_ (net)
0.11 0.00 9.98 v _6836_/A2 (sky130_fd_sc_hd__o211a_2)
0.04 0.26 10.24 v _6836_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _0253_ (net)
0.04 0.00 10.24 v _8022_/D (sky130_fd_sc_hd__dfxtp_2)
10.24 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8022_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.09 9.66 library setup time
9.66 data required time
-----------------------------------------------------------------------------
9.66 data required time
-10.24 data arrival time
-----------------------------------------------------------------------------
-0.58 slack (VIOLATED)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8216_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7447_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.29 10.09 v _7447_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3575_ (net)
0.05 0.00 10.09 v _7448_/A (sky130_fd_sc_hd__buf_1)
0.02 0.09 10.17 v _7448_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0446_ (net)
0.02 0.00 10.17 v _8216_/D (sky130_fd_sc_hd__dfxtp_2)
10.17 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8216_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.17 data arrival time
-----------------------------------------------------------------------------
-0.50 slack (VIOLATED)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8006_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6678_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.28 9.73 v _6678_/X (sky130_fd_sc_hd__a21o_2)
1 0.01 _3016_ (net)
0.06 0.00 9.73 v _6680_/A2 (sky130_fd_sc_hd__a31o_2)
0.04 0.23 9.96 v _6680_/X (sky130_fd_sc_hd__a31o_2)
1 0.00 _3018_ (net)
0.04 0.00 9.96 v _6681_/B1_N (sky130_fd_sc_hd__o21ba_2)
0.03 0.22 10.18 ^ _6681_/X (sky130_fd_sc_hd__o21ba_2)
1 0.00 _0237_ (net)
0.03 0.00 10.18 ^ _8006_/D (sky130_fd_sc_hd__dfxtp_2)
10.18 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8006_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 9.71 library setup time
9.71 data required time
-----------------------------------------------------------------------------
9.71 data required time
-10.18 data arrival time
-----------------------------------------------------------------------------
-0.47 slack (VIOLATED)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.27 10.06 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 10.06 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.30 10.36 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.01 _3757_ (net)
0.07 0.00 10.36 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.27 10.64 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 10.64 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.03 0.09 10.73 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.73 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
10.73 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.73 data arrival time
-----------------------------------------------------------------------------
-1.06 slack (VIOLATED)
===========================================================================
report_checks --slack_max -0.01
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.38 0.38 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.38 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 0.70 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0503_ (net)
0.36 0.00 0.70 ^ _3856_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.26 0.96 v _3856_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0513_ (net)
0.04 0.00 0.96 v _3857_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 1.22 v _3857_/X (sky130_fd_sc_hd__buf_1)
10 0.04 _0514_ (net)
0.24 0.00 1.22 v _3982_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.30 1.52 v _3982_/X (sky130_fd_sc_hd__a22o_2)
1 0.00 _0628_ (net)
0.05 0.00 1.52 v _3989_/A1 (sky130_fd_sc_hd__o32ai_2)
1.74 1.46 2.98 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.11 _0635_ (net)
1.74 0.02 3.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.48 0.53 3.54 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.48 0.00 3.54 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.52 4.06 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 4.06 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.33 0.35 4.41 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.06 _1551_ (net)
0.33 0.00 4.41 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.37 4.79 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 4.79 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 5.00 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 5.00 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 5.23 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 5.24 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.26 5.49 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 5.49 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.55 6.04 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 6.04 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 6.15 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 6.15 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.09 6.24 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 6.24 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 6.55 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.35 0.39 6.94 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.02 _2337_ (net)
0.35 0.00 6.94 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.28 7.22 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 7.22 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_2)
0.09 0.06 7.28 v _6111_/Y (sky130_fd_sc_hd__a211oi_2)
2 0.01 _2452_ (net)
0.09 0.00 7.28 v _6113_/B1 (sky130_fd_sc_hd__a211o_2)
0.07 0.37 7.65 v _6113_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2454_ (net)
0.07 0.00 7.65 v _6115_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.46 8.11 v _6115_/X (sky130_fd_sc_hd__a311o_2)
3 0.02 _2456_ (net)
0.09 0.00 8.11 v _6121_/A3 (sky130_fd_sc_hd__a41o_2)
0.08 0.35 8.46 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.02 _2462_ (net)
0.08 0.00 8.46 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.35 8.81 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 8.81 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.11 0.19 9.01 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 9.01 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.12 0.44 9.44 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.03 _2724_ (net)
0.12 0.00 9.45 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.12 0.34 9.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.04 _3151_ (net)
0.12 0.01 9.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.27 10.06 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 10.06 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.30 10.36 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.01 _3757_ (net)
0.07 0.00 10.36 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.27 10.64 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 10.64 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.03 0.09 10.73 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.73 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
10.73 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-10.73 data arrival time
-----------------------------------------------------------------------------
-1.06 slack (VIOLATED)
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max slew
Pin Limit Slew Slack
------------------------------------------------------------
_5460_/A1 1.50 1.74 -0.24 (VIOLATED)
_5361_/A0 1.50 1.74 -0.24 (VIOLATED)
_4901_/A1 1.50 1.74 -0.24 (VIOLATED)
_3990_/A 1.50 1.74 -0.24 (VIOLATED)
_4620_/A 1.50 1.74 -0.24 (VIOLATED)
_6698_/A1 1.50 1.74 -0.24 (VIOLATED)
_5530_/A 1.50 1.74 -0.24 (VIOLATED)
_7526_/A2 1.50 1.74 -0.24 (VIOLATED)
_3989_/Y 1.50 1.74 -0.23 (VIOLATED)
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
_7877_/Q 10 11 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
_3989_/Y 0.09 0.11 -0.02 (VIOLATED)
===========================================================================
max slew violation count 9
max fanout violation count 1
max cap violation count 1
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns -5.03
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns -1.06
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack -1.06
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.16
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock i_clk
Latency CRPR Skew
_7769_/CLK ^
3.03
_7769_/CLK ^
2.74 0.00 0.29
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.18e-03 2.12e-04 4.25e-09 2.39e-03 38.5%
Combinational 1.76e-03 2.05e-03 1.36e-08 3.81e-03 61.5%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.94e-03 2.26e-03 1.79e-08 6.20e-03 100.0%
63.5% 36.5% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 48812 u^2 21% utilization.
area_report_end
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/placement/7-global.odb...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/placement/7-global.def...